diff --git a/exu.anno.json b/exu.anno.json new file mode 100644 index 00000000..3f5451bd --- /dev/null +++ b/exu.anno.json @@ -0,0 +1,160 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_bp_exu_mp_fghr", + "sources":[ + "~exu|exu>io_dec_exu_tlu_exu_dec_tlu_flush_lower_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_lsu_exu_exu_lsu_rs2_d", + "sources":[ + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs2_d", + "~exu|exu>io_dec_qual_lsu_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_en_d", + "~exu|exu>io_lsu_exu_lsu_nonblock_load_data", + "~exu|exu>io_dec_exu_decode_exu_dec_extint_stall", + "~exu|exu>io_dec_exu_decode_exu_exu_i0_result_x", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_result_r", + "~exu|exu>io_lsu_exu_lsu_result_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_flush_path_final", + "sources":[ + "~exu|exu>io_dec_exu_tlu_exu_dec_tlu_flush_path_r", + "~exu|exu>io_dec_exu_tlu_exu_dec_tlu_flush_lower_r", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_jal", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_sub", + "~exu|exu>io_dec_exu_ib_exu_dec_i0_pc_d", + "~exu|exu>io_dec_exu_dec_alu_dec_i0_br_immed_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall", + "~exu|exu>io_dec_exu_dec_alu_dec_i0_alu_decode_d", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_predict_t", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_predict_nt", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_bge", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_blt", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_beq", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_bne", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_unsign", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett", + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs2_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_immed_d", + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs1_d", + "~exu|exu>io_dbg_cmd_wrdata", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d", + "~exu|exu>io_lsu_exu_lsu_nonblock_load_data", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_en_d", + "~exu|exu>io_dec_exu_decode_exu_exu_i0_result_x", + "~exu|exu>io_dec_exu_ib_exu_dec_debug_wdata_rs1_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_result_r", + "~exu|exu>io_lsu_exu_lsu_result_m", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_select_pc_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_bp_exu_i0_br_index_r", + "sources":[ + "~exu|exu>io_dec_exu_tlu_exu_exu_i0_br_index_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_lsu_exu_exu_lsu_rs1_d", + "sources":[ + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs1_d", + "~exu|exu>io_dec_exu_tlu_exu_dec_tlu_meihap", + "~exu|exu>io_dec_exu_decode_exu_dec_extint_stall", + "~exu|exu>io_dec_qual_lsu_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_en_d", + "~exu|exu>io_lsu_exu_lsu_nonblock_load_data", + "~exu|exu>io_dec_exu_decode_exu_exu_i0_result_x", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_result_r", + "~exu|exu>io_lsu_exu_lsu_result_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_flush_final", + "sources":[ + "~exu|exu>io_dec_exu_tlu_exu_dec_tlu_flush_lower_r", + "~exu|exu>io_dec_exu_dec_alu_dec_i0_alu_decode_d", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_jal", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_predict_t", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_predict_nt", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_bge", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_sub", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_blt", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_beq", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_bne", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_unsign", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall", + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs1_d", + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs2_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_immed_d", + "~exu|exu>io_dbg_cmd_wrdata", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d", + "~exu|exu>io_lsu_exu_lsu_nonblock_load_data", + "~exu|exu>io_dec_exu_ib_exu_dec_i0_pc_d", + "~exu|exu>io_dec_exu_ib_exu_dec_debug_wdata_rs1_d", + "~exu|exu>io_dec_exu_decode_exu_exu_i0_result_x", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_select_pc_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_result_r", + "~exu|exu>io_lsu_exu_lsu_result_m", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_div_result", + "sources":[ + "~exu|exu>io_dec_exu_dec_div_dec_div_cancel" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_div_wren", + "sources":[ + "~exu|exu>io_dec_exu_dec_div_dec_div_cancel" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"exu.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~exu|exu>i0_rs2_d" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"exu" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/exu.fir b/exu.fir new file mode 100644 index 00000000..10c99b1e --- /dev/null +++ b/exu.fir @@ -0,0 +1,45228 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit exu : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module exu_alu_ctl : + input clock : Clock + input reset : AsyncReset + output io : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_csr_rddata_d : UInt<32>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, flip dec_i0_pc_d : UInt<31>, flip scan_mode : UInt<1>, flip flush_upper_x : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip enable : UInt<1>, flip i0_ap : {clz : UInt<1>, ctz : UInt<1>, pcnt : UInt<1>, sext_b : UInt<1>, sext_h : UInt<1>, slo : UInt<1>, sro : UInt<1>, min : UInt<1>, max : UInt<1>, pack : UInt<1>, packu : UInt<1>, packh : UInt<1>, rol : UInt<1>, ror : UInt<1>, grev : UInt<1>, gorc : UInt<1>, zbb : UInt<1>, sbset : UInt<1>, sbclr : UInt<1>, sbinv : UInt<1>, sbext : UInt<1>, sh1add : UInt<1>, sh2add : UInt<1>, sh3add : UInt<1>, zba : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip a_in : SInt<32>, flip b_in : UInt<32>, flip pp_in : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}}, result_ff : UInt<32>, flush_upper_out : UInt<1>, flush_final_out : UInt<1>, flush_path_out : UInt<31>, pred_correct_out : UInt<1>, predict_p_out : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}}} + + wire ap_clz : UInt<1> + ap_clz <= UInt<1>("h00") + wire ap_ctz : UInt<1> + ap_ctz <= UInt<1>("h00") + wire ap_pcnt : UInt<1> + ap_pcnt <= UInt<1>("h00") + wire ap_sext_b : UInt<1> + ap_sext_b <= UInt<1>("h00") + wire ap_sext_h : UInt<1> + ap_sext_h <= UInt<1>("h00") + wire ap_min : UInt<1> + ap_min <= UInt<1>("h00") + wire ap_max : UInt<1> + ap_max <= UInt<1>("h00") + wire ap_pack : UInt<1> + ap_pack <= UInt<1>("h00") + wire ap_packu : UInt<1> + ap_packu <= UInt<1>("h00") + wire ap_packh : UInt<1> + ap_packh <= UInt<1>("h00") + wire ap_rol : UInt<1> + ap_rol <= UInt<1>("h00") + wire ap_ror : UInt<1> + ap_ror <= UInt<1>("h00") + wire ap_rev : UInt<1> + ap_rev <= UInt<1>("h00") + wire ap_rev8 : UInt<1> + ap_rev8 <= UInt<1>("h00") + wire ap_orc_b : UInt<1> + ap_orc_b <= UInt<1>("h00") + wire ap_orc16 : UInt<1> + ap_orc16 <= UInt<1>("h00") + wire ap_zbb : UInt<1> + ap_zbb <= UInt<1>("h00") + wire ap_sbset : UInt<1> + ap_sbset <= UInt<1>("h00") + wire ap_sbclr : UInt<1> + ap_sbclr <= UInt<1>("h00") + wire ap_sbinv : UInt<1> + ap_sbinv <= UInt<1>("h00") + wire ap_sbext : UInt<1> + ap_sbext <= UInt<1>("h00") + wire ap_slo : UInt<1> + ap_slo <= UInt<1>("h00") + wire ap_sro : UInt<1> + ap_sro <= UInt<1>("h00") + wire ap_sh1add : UInt<1> + ap_sh1add <= UInt<1>("h00") + wire ap_sh2add : UInt<1> + ap_sh2add <= UInt<1>("h00") + wire ap_sh3add : UInt<1> + ap_sh3add <= UInt<1>("h00") + wire ap_zba : UInt<1> + ap_zba <= UInt<1>("h00") + ap_clz <= io.i0_ap.clz @[exu_alu_ctl.scala 65:21] + ap_ctz <= io.i0_ap.ctz @[exu_alu_ctl.scala 66:21] + ap_pcnt <= io.i0_ap.pcnt @[exu_alu_ctl.scala 67:21] + ap_sext_b <= io.i0_ap.sext_b @[exu_alu_ctl.scala 68:21] + ap_sext_h <= io.i0_ap.sext_h @[exu_alu_ctl.scala 69:21] + ap_min <= io.i0_ap.min @[exu_alu_ctl.scala 70:21] + ap_max <= io.i0_ap.max @[exu_alu_ctl.scala 71:21] + ap_pack <= io.i0_ap.pack @[exu_alu_ctl.scala 82:21] + ap_packu <= io.i0_ap.packu @[exu_alu_ctl.scala 83:21] + ap_packh <= io.i0_ap.packh @[exu_alu_ctl.scala 84:21] + ap_rol <= io.i0_ap.rol @[exu_alu_ctl.scala 85:21] + ap_ror <= io.i0_ap.ror @[exu_alu_ctl.scala 86:21] + node _T = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 87:49] + node _T_1 = eq(_T, UInt<5>("h01f")) @[exu_alu_ctl.scala 87:55] + node _T_2 = and(io.i0_ap.grev, _T_1) @[exu_alu_ctl.scala 87:39] + ap_rev <= _T_2 @[exu_alu_ctl.scala 87:21] + node _T_3 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 88:49] + node _T_4 = eq(_T_3, UInt<5>("h018")) @[exu_alu_ctl.scala 88:55] + node _T_5 = and(io.i0_ap.grev, _T_4) @[exu_alu_ctl.scala 88:39] + ap_rev8 <= _T_5 @[exu_alu_ctl.scala 88:21] + node _T_6 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 89:49] + node _T_7 = eq(_T_6, UInt<3>("h07")) @[exu_alu_ctl.scala 89:55] + node _T_8 = and(io.i0_ap.gorc, _T_7) @[exu_alu_ctl.scala 89:39] + ap_orc_b <= _T_8 @[exu_alu_ctl.scala 89:21] + node _T_9 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 90:49] + node _T_10 = eq(_T_9, UInt<5>("h010")) @[exu_alu_ctl.scala 90:55] + node _T_11 = and(io.i0_ap.gorc, _T_10) @[exu_alu_ctl.scala 90:39] + ap_orc16 <= _T_11 @[exu_alu_ctl.scala 90:21] + ap_zbb <= io.i0_ap.zbb @[exu_alu_ctl.scala 91:21] + ap_sbset <= io.i0_ap.sbset @[exu_alu_ctl.scala 105:21] + ap_sbclr <= io.i0_ap.sbclr @[exu_alu_ctl.scala 106:21] + ap_sbinv <= io.i0_ap.sbinv @[exu_alu_ctl.scala 107:21] + ap_sbext <= io.i0_ap.sbext @[exu_alu_ctl.scala 108:21] + ap_slo <= UInt<1>("h00") @[exu_alu_ctl.scala 119:21] + ap_sro <= UInt<1>("h00") @[exu_alu_ctl.scala 120:21] + ap_sh1add <= UInt<1>("h00") @[exu_alu_ctl.scala 128:21] + ap_sh2add <= UInt<1>("h00") @[exu_alu_ctl.scala 129:21] + ap_sh3add <= UInt<1>("h00") @[exu_alu_ctl.scala 130:21] + ap_zba <= UInt<1>("h00") @[exu_alu_ctl.scala 131:21] + node _T_12 = bits(io.scan_mode, 0, 0) @[exu_alu_ctl.scala 133:104] + wire _T_13 : UInt<31> @[lib.scala 636:38] + _T_13 <= UInt<1>("h00") @[lib.scala 636:38] + reg _T_14 : UInt, clock with : (reset => (reset, _T_13)) @[Reg.scala 27:20] + when io.enable : @[Reg.scala 28:19] + _T_14 <= io.dec_i0_pc_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + io.dec_alu.exu_i0_pc_x <= _T_14 @[exu_alu_ctl.scala 133:26] + wire result : UInt<32> + result <= UInt<1>("h00") + node _T_15 = and(io.enable, io.dec_alu.dec_i0_alu_decode_d) @[exu_alu_ctl.scala 135:43] + node _T_16 = bits(_T_15, 0, 0) @[lib.scala 8:44] + node _T_17 = bits(io.scan_mode, 0, 0) @[exu_alu_ctl.scala 135:95] + inst rvclkhdr of rvclkhdr_8 @[lib.scala 399:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 401:18] + rvclkhdr.io.en <= _T_16 @[lib.scala 402:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_18 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_16 : @[Reg.scala 28:19] + _T_18 <= result @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + io.result_ff <= _T_18 @[exu_alu_ctl.scala 135:16] + node _T_19 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 138:29] + node _T_20 = cat(_T_19, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_21 = asSInt(_T_20) @[exu_alu_ctl.scala 138:46] + node _T_22 = bits(io.a_in, 29, 0) @[exu_alu_ctl.scala 139:29] + node _T_23 = cat(_T_22, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_24 = asSInt(_T_23) @[exu_alu_ctl.scala 139:46] + node _T_25 = bits(io.a_in, 28, 0) @[exu_alu_ctl.scala 140:29] + node _T_26 = cat(_T_25, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_27 = asSInt(_T_26) @[exu_alu_ctl.scala 140:46] + node _T_28 = not(ap_zba) @[exu_alu_ctl.scala 141:5] + wire _T_29 : SInt<32> @[Mux.scala 27:72] + node _T_30 = asUInt(_T_21) @[Mux.scala 27:72] + node _T_31 = asSInt(_T_30) @[Mux.scala 27:72] + _T_29 <= _T_31 @[Mux.scala 27:72] + wire _T_32 : SInt<32> @[Mux.scala 27:72] + node _T_33 = asUInt(_T_24) @[Mux.scala 27:72] + node _T_34 = asSInt(_T_33) @[Mux.scala 27:72] + _T_32 <= _T_34 @[Mux.scala 27:72] + wire _T_35 : SInt<32> @[Mux.scala 27:72] + node _T_36 = asUInt(_T_27) @[Mux.scala 27:72] + node _T_37 = asSInt(_T_36) @[Mux.scala 27:72] + _T_35 <= _T_37 @[Mux.scala 27:72] + wire _T_38 : SInt<32> @[Mux.scala 27:72] + node _T_39 = asUInt(io.a_in) @[Mux.scala 27:72] + node _T_40 = asSInt(_T_39) @[Mux.scala 27:72] + _T_38 <= _T_40 @[Mux.scala 27:72] + node _T_41 = mux(ap_sh1add, _T_29, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_42 = mux(ap_sh2add, _T_32, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_43 = mux(ap_sh3add, _T_35, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_44 = mux(_T_28, _T_38, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_45 = or(_T_41, _T_42) @[Mux.scala 27:72] + node _T_46 = asSInt(_T_45) @[Mux.scala 27:72] + node _T_47 = or(_T_46, _T_43) @[Mux.scala 27:72] + node _T_48 = asSInt(_T_47) @[Mux.scala 27:72] + node _T_49 = or(_T_48, _T_44) @[Mux.scala 27:72] + node _T_50 = asSInt(_T_49) @[Mux.scala 27:72] + wire zba_a_in : SInt<32> @[Mux.scala 27:72] + node _T_51 = asUInt(_T_50) @[Mux.scala 27:72] + node _T_52 = asSInt(_T_51) @[Mux.scala 27:72] + zba_a_in <= _T_52 @[Mux.scala 27:72] + node _T_53 = bits(io.i0_ap.sub, 0, 0) @[exu_alu_ctl.scala 143:32] + node _T_54 = not(io.b_in) @[exu_alu_ctl.scala 143:40] + node bm = mux(_T_53, _T_54, io.b_in) @[exu_alu_ctl.scala 143:17] + wire aout : UInt<33> + aout <= UInt<1>("h00") + node _T_55 = bits(io.i0_ap.sub, 0, 0) @[exu_alu_ctl.scala 146:28] + node _T_56 = asUInt(zba_a_in) @[Cat.scala 29:58] + node _T_57 = cat(UInt<1>("h00"), _T_56) @[Cat.scala 29:58] + node _T_58 = not(io.b_in) @[exu_alu_ctl.scala 146:74] + node _T_59 = cat(UInt<1>("h00"), _T_58) @[Cat.scala 29:58] + node _T_60 = add(_T_57, _T_59) @[exu_alu_ctl.scala 146:59] + node _T_61 = tail(_T_60, 1) @[exu_alu_ctl.scala 146:59] + node _T_62 = cat(UInt<32>("h00"), io.i0_ap.sub) @[Cat.scala 29:58] + node _T_63 = add(_T_61, _T_62) @[exu_alu_ctl.scala 146:84] + node _T_64 = tail(_T_63, 1) @[exu_alu_ctl.scala 146:84] + node _T_65 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_66 = cat(UInt<1>("h00"), _T_65) @[Cat.scala 29:58] + node _T_67 = cat(UInt<1>("h00"), io.b_in) @[Cat.scala 29:58] + node _T_68 = add(_T_66, _T_67) @[exu_alu_ctl.scala 146:139] + node _T_69 = tail(_T_68, 1) @[exu_alu_ctl.scala 146:139] + node _T_70 = cat(UInt<32>("h00"), io.i0_ap.sub) @[Cat.scala 29:58] + node _T_71 = add(_T_69, _T_70) @[exu_alu_ctl.scala 146:164] + node _T_72 = tail(_T_71, 1) @[exu_alu_ctl.scala 146:164] + node _T_73 = mux(_T_55, _T_64, _T_72) @[exu_alu_ctl.scala 146:14] + aout <= _T_73 @[exu_alu_ctl.scala 146:8] + node cout = bits(aout, 32, 32) @[exu_alu_ctl.scala 147:18] + node _T_74 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 149:22] + node _T_75 = eq(_T_74, UInt<1>("h00")) @[exu_alu_ctl.scala 149:14] + node _T_76 = bits(bm, 31, 31) @[exu_alu_ctl.scala 149:32] + node _T_77 = eq(_T_76, UInt<1>("h00")) @[exu_alu_ctl.scala 149:29] + node _T_78 = and(_T_75, _T_77) @[exu_alu_ctl.scala 149:27] + node _T_79 = bits(aout, 31, 31) @[exu_alu_ctl.scala 149:44] + node _T_80 = and(_T_78, _T_79) @[exu_alu_ctl.scala 149:37] + node _T_81 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 149:61] + node _T_82 = bits(bm, 31, 31) @[exu_alu_ctl.scala 149:71] + node _T_83 = and(_T_81, _T_82) @[exu_alu_ctl.scala 149:66] + node _T_84 = bits(aout, 31, 31) @[exu_alu_ctl.scala 149:83] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[exu_alu_ctl.scala 149:78] + node _T_86 = and(_T_83, _T_85) @[exu_alu_ctl.scala 149:76] + node ov = or(_T_80, _T_86) @[exu_alu_ctl.scala 149:50] + node _T_87 = asSInt(io.b_in) @[exu_alu_ctl.scala 151:50] + node eq = eq(io.a_in, _T_87) @[exu_alu_ctl.scala 151:38] + node ne = not(eq) @[exu_alu_ctl.scala 152:29] + node neg = bits(aout, 31, 31) @[exu_alu_ctl.scala 153:34] + node _T_88 = eq(io.i0_ap.unsign, UInt<1>("h00")) @[exu_alu_ctl.scala 154:30] + node _T_89 = xor(neg, ov) @[exu_alu_ctl.scala 154:54] + node _T_90 = and(_T_88, _T_89) @[exu_alu_ctl.scala 154:47] + node _T_91 = eq(cout, UInt<1>("h00")) @[exu_alu_ctl.scala 154:84] + node _T_92 = and(io.i0_ap.unsign, _T_91) @[exu_alu_ctl.scala 154:82] + node lt = or(_T_90, _T_92) @[exu_alu_ctl.scala 154:61] + node ge = eq(lt, UInt<1>("h00")) @[exu_alu_ctl.scala 155:29] + node _T_93 = asSInt(io.dec_alu.dec_csr_rddata_d) @[exu_alu_ctl.scala 159:73] + node _T_94 = eq(ap_zbb, UInt<1>("h00")) @[exu_alu_ctl.scala 160:22] + node _T_95 = and(io.i0_ap.land, _T_94) @[exu_alu_ctl.scala 160:20] + node _T_96 = bits(_T_95, 0, 0) @[exu_alu_ctl.scala 160:31] + node _T_97 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_98 = cat(UInt<1>("h00"), _T_97) @[Cat.scala 29:58] + node _T_99 = asSInt(_T_98) @[exu_alu_ctl.scala 160:67] + node _T_100 = asSInt(io.b_in) @[exu_alu_ctl.scala 160:85] + node _T_101 = and(_T_99, _T_100) @[exu_alu_ctl.scala 160:74] + node _T_102 = asSInt(_T_101) @[exu_alu_ctl.scala 160:74] + node _T_103 = eq(ap_zbb, UInt<1>("h00")) @[exu_alu_ctl.scala 161:22] + node _T_104 = and(io.i0_ap.lor, _T_103) @[exu_alu_ctl.scala 161:20] + node _T_105 = bits(_T_104, 0, 0) @[exu_alu_ctl.scala 161:31] + node _T_106 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_107 = cat(UInt<1>("h00"), _T_106) @[Cat.scala 29:58] + node _T_108 = asSInt(_T_107) @[exu_alu_ctl.scala 161:67] + node _T_109 = asSInt(io.b_in) @[exu_alu_ctl.scala 161:85] + node _T_110 = or(_T_108, _T_109) @[exu_alu_ctl.scala 161:74] + node _T_111 = asSInt(_T_110) @[exu_alu_ctl.scala 161:74] + node _T_112 = eq(ap_zbb, UInt<1>("h00")) @[exu_alu_ctl.scala 162:22] + node _T_113 = and(io.i0_ap.lxor, _T_112) @[exu_alu_ctl.scala 162:20] + node _T_114 = bits(_T_113, 0, 0) @[exu_alu_ctl.scala 162:31] + node _T_115 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_116 = cat(UInt<1>("h00"), _T_115) @[Cat.scala 29:58] + node _T_117 = asSInt(_T_116) @[exu_alu_ctl.scala 162:67] + node _T_118 = asSInt(io.b_in) @[exu_alu_ctl.scala 162:85] + node _T_119 = xor(_T_117, _T_118) @[exu_alu_ctl.scala 162:74] + node _T_120 = asSInt(_T_119) @[exu_alu_ctl.scala 162:74] + node _T_121 = and(io.i0_ap.land, ap_zbb) @[exu_alu_ctl.scala 163:20] + node _T_122 = bits(_T_121, 0, 0) @[exu_alu_ctl.scala 163:31] + node _T_123 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_124 = cat(UInt<1>("h00"), _T_123) @[Cat.scala 29:58] + node _T_125 = asSInt(_T_124) @[exu_alu_ctl.scala 163:67] + node _T_126 = asSInt(io.b_in) @[exu_alu_ctl.scala 163:85] + node _T_127 = not(_T_126) @[exu_alu_ctl.scala 163:76] + node _T_128 = asSInt(_T_127) @[exu_alu_ctl.scala 163:76] + node _T_129 = and(_T_125, _T_128) @[exu_alu_ctl.scala 163:74] + node _T_130 = asSInt(_T_129) @[exu_alu_ctl.scala 163:74] + node _T_131 = and(io.i0_ap.lor, ap_zbb) @[exu_alu_ctl.scala 164:20] + node _T_132 = bits(_T_131, 0, 0) @[exu_alu_ctl.scala 164:31] + node _T_133 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_134 = cat(UInt<1>("h00"), _T_133) @[Cat.scala 29:58] + node _T_135 = asSInt(_T_134) @[exu_alu_ctl.scala 164:67] + node _T_136 = asSInt(io.b_in) @[exu_alu_ctl.scala 164:85] + node _T_137 = not(_T_136) @[exu_alu_ctl.scala 164:76] + node _T_138 = asSInt(_T_137) @[exu_alu_ctl.scala 164:76] + node _T_139 = or(_T_135, _T_138) @[exu_alu_ctl.scala 164:74] + node _T_140 = asSInt(_T_139) @[exu_alu_ctl.scala 164:74] + node _T_141 = and(io.i0_ap.lxor, ap_zbb) @[exu_alu_ctl.scala 165:20] + node _T_142 = bits(_T_141, 0, 0) @[exu_alu_ctl.scala 165:31] + node _T_143 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_144 = cat(UInt<1>("h00"), _T_143) @[Cat.scala 29:58] + node _T_145 = asSInt(_T_144) @[exu_alu_ctl.scala 165:67] + node _T_146 = asSInt(io.b_in) @[exu_alu_ctl.scala 165:85] + node _T_147 = not(_T_146) @[exu_alu_ctl.scala 165:76] + node _T_148 = asSInt(_T_147) @[exu_alu_ctl.scala 165:76] + node _T_149 = xor(_T_145, _T_148) @[exu_alu_ctl.scala 165:74] + node _T_150 = asSInt(_T_149) @[exu_alu_ctl.scala 165:74] + wire _T_151 : SInt<33> @[Mux.scala 27:72] + node _T_152 = asUInt(_T_93) @[Mux.scala 27:72] + node _T_153 = asSInt(_T_152) @[Mux.scala 27:72] + _T_151 <= _T_153 @[Mux.scala 27:72] + wire _T_154 : SInt<33> @[Mux.scala 27:72] + node _T_155 = asUInt(_T_102) @[Mux.scala 27:72] + node _T_156 = asSInt(_T_155) @[Mux.scala 27:72] + _T_154 <= _T_156 @[Mux.scala 27:72] + wire _T_157 : SInt<33> @[Mux.scala 27:72] + node _T_158 = asUInt(_T_111) @[Mux.scala 27:72] + node _T_159 = asSInt(_T_158) @[Mux.scala 27:72] + _T_157 <= _T_159 @[Mux.scala 27:72] + wire _T_160 : SInt<33> @[Mux.scala 27:72] + node _T_161 = asUInt(_T_120) @[Mux.scala 27:72] + node _T_162 = asSInt(_T_161) @[Mux.scala 27:72] + _T_160 <= _T_162 @[Mux.scala 27:72] + wire _T_163 : SInt<33> @[Mux.scala 27:72] + node _T_164 = asUInt(_T_130) @[Mux.scala 27:72] + node _T_165 = asSInt(_T_164) @[Mux.scala 27:72] + _T_163 <= _T_165 @[Mux.scala 27:72] + wire _T_166 : SInt<33> @[Mux.scala 27:72] + node _T_167 = asUInt(_T_140) @[Mux.scala 27:72] + node _T_168 = asSInt(_T_167) @[Mux.scala 27:72] + _T_166 <= _T_168 @[Mux.scala 27:72] + wire _T_169 : SInt<33> @[Mux.scala 27:72] + node _T_170 = asUInt(_T_150) @[Mux.scala 27:72] + node _T_171 = asSInt(_T_170) @[Mux.scala 27:72] + _T_169 <= _T_171 @[Mux.scala 27:72] + node _T_172 = mux(io.dec_alu.dec_csr_ren_d, _T_151, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_173 = mux(_T_96, _T_154, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_174 = mux(_T_105, _T_157, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_175 = mux(_T_114, _T_160, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_176 = mux(_T_122, _T_163, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_177 = mux(_T_132, _T_166, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_178 = mux(_T_142, _T_169, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_179 = or(_T_172, _T_173) @[Mux.scala 27:72] + node _T_180 = asSInt(_T_179) @[Mux.scala 27:72] + node _T_181 = or(_T_180, _T_174) @[Mux.scala 27:72] + node _T_182 = asSInt(_T_181) @[Mux.scala 27:72] + node _T_183 = or(_T_182, _T_175) @[Mux.scala 27:72] + node _T_184 = asSInt(_T_183) @[Mux.scala 27:72] + node _T_185 = or(_T_184, _T_176) @[Mux.scala 27:72] + node _T_186 = asSInt(_T_185) @[Mux.scala 27:72] + node _T_187 = or(_T_186, _T_177) @[Mux.scala 27:72] + node _T_188 = asSInt(_T_187) @[Mux.scala 27:72] + node _T_189 = or(_T_188, _T_178) @[Mux.scala 27:72] + node _T_190 = asSInt(_T_189) @[Mux.scala 27:72] + wire lout : SInt<33> @[Mux.scala 27:72] + node _T_191 = asUInt(_T_190) @[Mux.scala 27:72] + node _T_192 = asSInt(_T_191) @[Mux.scala 27:72] + lout <= _T_192 @[Mux.scala 27:72] + node _T_193 = bits(io.i0_ap.sll, 0, 0) @[exu_alu_ctl.scala 179:18] + node _T_194 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 179:63] + node _T_195 = cat(UInt<1>("h00"), _T_194) @[Cat.scala 29:58] + node _T_196 = sub(UInt<6>("h020"), _T_195) @[exu_alu_ctl.scala 179:41] + node _T_197 = tail(_T_196, 1) @[exu_alu_ctl.scala 179:41] + node _T_198 = bits(io.i0_ap.srl, 0, 0) @[exu_alu_ctl.scala 180:18] + node _T_199 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 180:63] + node _T_200 = cat(UInt<1>("h00"), _T_199) @[Cat.scala 29:58] + node _T_201 = bits(io.i0_ap.sra, 0, 0) @[exu_alu_ctl.scala 181:18] + node _T_202 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 181:63] + node _T_203 = cat(UInt<1>("h00"), _T_202) @[Cat.scala 29:58] + node _T_204 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 182:63] + node _T_205 = cat(UInt<1>("h00"), _T_204) @[Cat.scala 29:58] + node _T_206 = sub(UInt<6>("h020"), _T_205) @[exu_alu_ctl.scala 182:41] + node _T_207 = tail(_T_206, 1) @[exu_alu_ctl.scala 182:41] + node _T_208 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 183:63] + node _T_209 = cat(UInt<1>("h00"), _T_208) @[Cat.scala 29:58] + node _T_210 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 184:63] + node _T_211 = cat(UInt<1>("h00"), _T_210) @[Cat.scala 29:58] + node _T_212 = sub(UInt<6>("h020"), _T_211) @[exu_alu_ctl.scala 184:41] + node _T_213 = tail(_T_212, 1) @[exu_alu_ctl.scala 184:41] + node _T_214 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 185:63] + node _T_215 = cat(UInt<1>("h00"), _T_214) @[Cat.scala 29:58] + node _T_216 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 186:63] + node _T_217 = cat(UInt<1>("h00"), _T_216) @[Cat.scala 29:58] + node _T_218 = mux(_T_193, _T_197, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_219 = mux(_T_198, _T_200, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_220 = mux(_T_201, _T_203, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_221 = mux(ap_rol, _T_207, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_222 = mux(ap_ror, _T_209, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_223 = mux(ap_slo, _T_213, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_224 = mux(ap_sro, _T_215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_225 = mux(ap_sbext, _T_217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_226 = or(_T_218, _T_219) @[Mux.scala 27:72] + node _T_227 = or(_T_226, _T_220) @[Mux.scala 27:72] + node _T_228 = or(_T_227, _T_221) @[Mux.scala 27:72] + node _T_229 = or(_T_228, _T_222) @[Mux.scala 27:72] + node _T_230 = or(_T_229, _T_223) @[Mux.scala 27:72] + node _T_231 = or(_T_230, _T_224) @[Mux.scala 27:72] + node _T_232 = or(_T_231, _T_225) @[Mux.scala 27:72] + wire shift_amount : UInt<6> @[Mux.scala 27:72] + shift_amount <= _T_232 @[Mux.scala 27:72] + wire shift_mask : UInt<32> + shift_mask <= UInt<1>("h00") + node _T_233 = or(io.i0_ap.sll, ap_slo) @[exu_alu_ctl.scala 189:63] + wire _T_234 : UInt<1>[5] @[lib.scala 12:48] + _T_234[0] <= _T_233 @[lib.scala 12:48] + _T_234[1] <= _T_233 @[lib.scala 12:48] + _T_234[2] <= _T_233 @[lib.scala 12:48] + _T_234[3] <= _T_233 @[lib.scala 12:48] + _T_234[4] <= _T_233 @[lib.scala 12:48] + node _T_235 = cat(_T_234[0], _T_234[1]) @[Cat.scala 29:58] + node _T_236 = cat(_T_235, _T_234[2]) @[Cat.scala 29:58] + node _T_237 = cat(_T_236, _T_234[3]) @[Cat.scala 29:58] + node _T_238 = cat(_T_237, _T_234[4]) @[Cat.scala 29:58] + node _T_239 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 189:82] + node _T_240 = and(_T_238, _T_239) @[exu_alu_ctl.scala 189:73] + node _T_241 = dshl(UInt<32>("h0ffffffff"), _T_240) @[exu_alu_ctl.scala 189:39] + shift_mask <= _T_241 @[exu_alu_ctl.scala 189:14] + wire shift_extend : UInt<63> + shift_extend <= UInt<1>("h00") + wire _T_242 : UInt<1>[31] @[lib.scala 12:48] + _T_242[0] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[1] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[2] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[3] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[4] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[5] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[6] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[7] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[8] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[9] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[10] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[11] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[12] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[13] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[14] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[15] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[16] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[17] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[18] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[19] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[20] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[21] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[22] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[23] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[24] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[25] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[26] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[27] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[28] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[29] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[30] <= io.i0_ap.sra @[lib.scala 12:48] + node _T_243 = cat(_T_242[0], _T_242[1]) @[Cat.scala 29:58] + node _T_244 = cat(_T_243, _T_242[2]) @[Cat.scala 29:58] + node _T_245 = cat(_T_244, _T_242[3]) @[Cat.scala 29:58] + node _T_246 = cat(_T_245, _T_242[4]) @[Cat.scala 29:58] + node _T_247 = cat(_T_246, _T_242[5]) @[Cat.scala 29:58] + node _T_248 = cat(_T_247, _T_242[6]) @[Cat.scala 29:58] + node _T_249 = cat(_T_248, _T_242[7]) @[Cat.scala 29:58] + node _T_250 = cat(_T_249, _T_242[8]) @[Cat.scala 29:58] + node _T_251 = cat(_T_250, _T_242[9]) @[Cat.scala 29:58] + node _T_252 = cat(_T_251, _T_242[10]) @[Cat.scala 29:58] + node _T_253 = cat(_T_252, _T_242[11]) @[Cat.scala 29:58] + node _T_254 = cat(_T_253, _T_242[12]) @[Cat.scala 29:58] + node _T_255 = cat(_T_254, _T_242[13]) @[Cat.scala 29:58] + node _T_256 = cat(_T_255, _T_242[14]) @[Cat.scala 29:58] + node _T_257 = cat(_T_256, _T_242[15]) @[Cat.scala 29:58] + node _T_258 = cat(_T_257, _T_242[16]) @[Cat.scala 29:58] + node _T_259 = cat(_T_258, _T_242[17]) @[Cat.scala 29:58] + node _T_260 = cat(_T_259, _T_242[18]) @[Cat.scala 29:58] + node _T_261 = cat(_T_260, _T_242[19]) @[Cat.scala 29:58] + node _T_262 = cat(_T_261, _T_242[20]) @[Cat.scala 29:58] + node _T_263 = cat(_T_262, _T_242[21]) @[Cat.scala 29:58] + node _T_264 = cat(_T_263, _T_242[22]) @[Cat.scala 29:58] + node _T_265 = cat(_T_264, _T_242[23]) @[Cat.scala 29:58] + node _T_266 = cat(_T_265, _T_242[24]) @[Cat.scala 29:58] + node _T_267 = cat(_T_266, _T_242[25]) @[Cat.scala 29:58] + node _T_268 = cat(_T_267, _T_242[26]) @[Cat.scala 29:58] + node _T_269 = cat(_T_268, _T_242[27]) @[Cat.scala 29:58] + node _T_270 = cat(_T_269, _T_242[28]) @[Cat.scala 29:58] + node _T_271 = cat(_T_270, _T_242[29]) @[Cat.scala 29:58] + node _T_272 = cat(_T_271, _T_242[30]) @[Cat.scala 29:58] + node _T_273 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 192:64] + wire _T_274 : UInt<1>[31] @[lib.scala 12:48] + _T_274[0] <= _T_273 @[lib.scala 12:48] + _T_274[1] <= _T_273 @[lib.scala 12:48] + _T_274[2] <= _T_273 @[lib.scala 12:48] + _T_274[3] <= _T_273 @[lib.scala 12:48] + _T_274[4] <= _T_273 @[lib.scala 12:48] + _T_274[5] <= _T_273 @[lib.scala 12:48] + _T_274[6] <= _T_273 @[lib.scala 12:48] + _T_274[7] <= _T_273 @[lib.scala 12:48] + _T_274[8] <= _T_273 @[lib.scala 12:48] + _T_274[9] <= _T_273 @[lib.scala 12:48] + _T_274[10] <= _T_273 @[lib.scala 12:48] + _T_274[11] <= _T_273 @[lib.scala 12:48] + _T_274[12] <= _T_273 @[lib.scala 12:48] + _T_274[13] <= _T_273 @[lib.scala 12:48] + _T_274[14] <= _T_273 @[lib.scala 12:48] + _T_274[15] <= _T_273 @[lib.scala 12:48] + _T_274[16] <= _T_273 @[lib.scala 12:48] + _T_274[17] <= _T_273 @[lib.scala 12:48] + _T_274[18] <= _T_273 @[lib.scala 12:48] + _T_274[19] <= _T_273 @[lib.scala 12:48] + _T_274[20] <= _T_273 @[lib.scala 12:48] + _T_274[21] <= _T_273 @[lib.scala 12:48] + _T_274[22] <= _T_273 @[lib.scala 12:48] + _T_274[23] <= _T_273 @[lib.scala 12:48] + _T_274[24] <= _T_273 @[lib.scala 12:48] + _T_274[25] <= _T_273 @[lib.scala 12:48] + _T_274[26] <= _T_273 @[lib.scala 12:48] + _T_274[27] <= _T_273 @[lib.scala 12:48] + _T_274[28] <= _T_273 @[lib.scala 12:48] + _T_274[29] <= _T_273 @[lib.scala 12:48] + _T_274[30] <= _T_273 @[lib.scala 12:48] + node _T_275 = cat(_T_274[0], _T_274[1]) @[Cat.scala 29:58] + node _T_276 = cat(_T_275, _T_274[2]) @[Cat.scala 29:58] + node _T_277 = cat(_T_276, _T_274[3]) @[Cat.scala 29:58] + node _T_278 = cat(_T_277, _T_274[4]) @[Cat.scala 29:58] + node _T_279 = cat(_T_278, _T_274[5]) @[Cat.scala 29:58] + node _T_280 = cat(_T_279, _T_274[6]) @[Cat.scala 29:58] + node _T_281 = cat(_T_280, _T_274[7]) @[Cat.scala 29:58] + node _T_282 = cat(_T_281, _T_274[8]) @[Cat.scala 29:58] + node _T_283 = cat(_T_282, _T_274[9]) @[Cat.scala 29:58] + node _T_284 = cat(_T_283, _T_274[10]) @[Cat.scala 29:58] + node _T_285 = cat(_T_284, _T_274[11]) @[Cat.scala 29:58] + node _T_286 = cat(_T_285, _T_274[12]) @[Cat.scala 29:58] + node _T_287 = cat(_T_286, _T_274[13]) @[Cat.scala 29:58] + node _T_288 = cat(_T_287, _T_274[14]) @[Cat.scala 29:58] + node _T_289 = cat(_T_288, _T_274[15]) @[Cat.scala 29:58] + node _T_290 = cat(_T_289, _T_274[16]) @[Cat.scala 29:58] + node _T_291 = cat(_T_290, _T_274[17]) @[Cat.scala 29:58] + node _T_292 = cat(_T_291, _T_274[18]) @[Cat.scala 29:58] + node _T_293 = cat(_T_292, _T_274[19]) @[Cat.scala 29:58] + node _T_294 = cat(_T_293, _T_274[20]) @[Cat.scala 29:58] + node _T_295 = cat(_T_294, _T_274[21]) @[Cat.scala 29:58] + node _T_296 = cat(_T_295, _T_274[22]) @[Cat.scala 29:58] + node _T_297 = cat(_T_296, _T_274[23]) @[Cat.scala 29:58] + node _T_298 = cat(_T_297, _T_274[24]) @[Cat.scala 29:58] + node _T_299 = cat(_T_298, _T_274[25]) @[Cat.scala 29:58] + node _T_300 = cat(_T_299, _T_274[26]) @[Cat.scala 29:58] + node _T_301 = cat(_T_300, _T_274[27]) @[Cat.scala 29:58] + node _T_302 = cat(_T_301, _T_274[28]) @[Cat.scala 29:58] + node _T_303 = cat(_T_302, _T_274[29]) @[Cat.scala 29:58] + node _T_304 = cat(_T_303, _T_274[30]) @[Cat.scala 29:58] + node _T_305 = and(_T_272, _T_304) @[exu_alu_ctl.scala 192:47] + wire _T_306 : UInt<1>[31] @[lib.scala 12:48] + _T_306[0] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[1] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[2] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[3] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[4] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[5] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[6] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[7] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[8] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[9] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[10] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[11] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[12] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[13] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[14] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[15] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[16] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[17] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[18] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[19] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[20] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[21] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[22] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[23] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[24] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[25] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[26] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[27] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[28] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[29] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[30] <= io.i0_ap.sll @[lib.scala 12:48] + node _T_307 = cat(_T_306[0], _T_306[1]) @[Cat.scala 29:58] + node _T_308 = cat(_T_307, _T_306[2]) @[Cat.scala 29:58] + node _T_309 = cat(_T_308, _T_306[3]) @[Cat.scala 29:58] + node _T_310 = cat(_T_309, _T_306[4]) @[Cat.scala 29:58] + node _T_311 = cat(_T_310, _T_306[5]) @[Cat.scala 29:58] + node _T_312 = cat(_T_311, _T_306[6]) @[Cat.scala 29:58] + node _T_313 = cat(_T_312, _T_306[7]) @[Cat.scala 29:58] + node _T_314 = cat(_T_313, _T_306[8]) @[Cat.scala 29:58] + node _T_315 = cat(_T_314, _T_306[9]) @[Cat.scala 29:58] + node _T_316 = cat(_T_315, _T_306[10]) @[Cat.scala 29:58] + node _T_317 = cat(_T_316, _T_306[11]) @[Cat.scala 29:58] + node _T_318 = cat(_T_317, _T_306[12]) @[Cat.scala 29:58] + node _T_319 = cat(_T_318, _T_306[13]) @[Cat.scala 29:58] + node _T_320 = cat(_T_319, _T_306[14]) @[Cat.scala 29:58] + node _T_321 = cat(_T_320, _T_306[15]) @[Cat.scala 29:58] + node _T_322 = cat(_T_321, _T_306[16]) @[Cat.scala 29:58] + node _T_323 = cat(_T_322, _T_306[17]) @[Cat.scala 29:58] + node _T_324 = cat(_T_323, _T_306[18]) @[Cat.scala 29:58] + node _T_325 = cat(_T_324, _T_306[19]) @[Cat.scala 29:58] + node _T_326 = cat(_T_325, _T_306[20]) @[Cat.scala 29:58] + node _T_327 = cat(_T_326, _T_306[21]) @[Cat.scala 29:58] + node _T_328 = cat(_T_327, _T_306[22]) @[Cat.scala 29:58] + node _T_329 = cat(_T_328, _T_306[23]) @[Cat.scala 29:58] + node _T_330 = cat(_T_329, _T_306[24]) @[Cat.scala 29:58] + node _T_331 = cat(_T_330, _T_306[25]) @[Cat.scala 29:58] + node _T_332 = cat(_T_331, _T_306[26]) @[Cat.scala 29:58] + node _T_333 = cat(_T_332, _T_306[27]) @[Cat.scala 29:58] + node _T_334 = cat(_T_333, _T_306[28]) @[Cat.scala 29:58] + node _T_335 = cat(_T_334, _T_306[29]) @[Cat.scala 29:58] + node _T_336 = cat(_T_335, _T_306[30]) @[Cat.scala 29:58] + node _T_337 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 192:105] + node _T_338 = and(_T_336, _T_337) @[exu_alu_ctl.scala 192:96] + node _T_339 = or(_T_305, _T_338) @[exu_alu_ctl.scala 192:71] + node _T_340 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_341 = cat(_T_339, _T_340) @[Cat.scala 29:58] + shift_extend <= _T_341 @[exu_alu_ctl.scala 192:16] + node _T_342 = bits(io.i0_ap.sra, 0, 0) @[exu_alu_ctl.scala 194:54] + node _T_343 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 194:75] + node _T_344 = bits(_T_343, 0, 0) @[Bitwise.scala 72:15] + node _T_345 = mux(_T_344, UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_346 = bits(io.i0_ap.sll, 0, 0) @[exu_alu_ctl.scala 195:24] + node _T_347 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 195:41] + node _T_348 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 196:41] + node _T_349 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 197:41] + node _T_350 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 198:41] + node _T_351 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_352 = mux(_T_342, _T_345, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_353 = mux(_T_346, _T_347, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_354 = mux(ap_rol, _T_348, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_355 = mux(ap_ror, _T_349, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_356 = mux(ap_slo, _T_350, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_357 = mux(ap_sro, _T_351, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_358 = or(_T_352, _T_353) @[Mux.scala 27:72] + node _T_359 = or(_T_358, _T_354) @[Mux.scala 27:72] + node _T_360 = or(_T_359, _T_355) @[Mux.scala 27:72] + node _T_361 = or(_T_360, _T_356) @[Mux.scala 27:72] + node _T_362 = or(_T_361, _T_357) @[Mux.scala 27:72] + wire _T_363 : UInt<31> @[Mux.scala 27:72] + _T_363 <= _T_362 @[Mux.scala 27:72] + node _T_364 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_365 = cat(_T_363, _T_364) @[Cat.scala 29:58] + shift_extend <= _T_365 @[exu_alu_ctl.scala 194:16] + wire shift_long : UInt<63> + shift_long <= UInt<1>("h00") + node _T_366 = bits(shift_amount, 4, 0) @[exu_alu_ctl.scala 202:47] + node _T_367 = dshr(shift_extend, _T_366) @[exu_alu_ctl.scala 202:32] + shift_long <= _T_367 @[exu_alu_ctl.scala 202:14] + node _T_368 = bits(shift_long, 31, 0) @[exu_alu_ctl.scala 204:27] + node _T_369 = bits(shift_mask, 31, 0) @[exu_alu_ctl.scala 204:46] + node _T_370 = and(_T_368, _T_369) @[exu_alu_ctl.scala 204:34] + node _T_371 = bits(ap_slo, 0, 0) @[Bitwise.scala 72:15] + node _T_372 = mux(_T_371, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_373 = bits(shift_mask, 31, 0) @[exu_alu_ctl.scala 204:88] + node _T_374 = not(_T_373) @[exu_alu_ctl.scala 204:77] + node _T_375 = and(_T_372, _T_374) @[exu_alu_ctl.scala 204:75] + node sout = or(_T_370, _T_375) @[exu_alu_ctl.scala 204:55] + node _T_376 = bits(io.a_in, 0, 0) @[exu_alu_ctl.scala 208:74] + node _T_377 = bits(io.a_in, 1, 1) @[exu_alu_ctl.scala 208:74] + node _T_378 = bits(io.a_in, 2, 2) @[exu_alu_ctl.scala 208:74] + node _T_379 = bits(io.a_in, 3, 3) @[exu_alu_ctl.scala 208:74] + node _T_380 = bits(io.a_in, 4, 4) @[exu_alu_ctl.scala 208:74] + node _T_381 = bits(io.a_in, 5, 5) @[exu_alu_ctl.scala 208:74] + node _T_382 = bits(io.a_in, 6, 6) @[exu_alu_ctl.scala 208:74] + node _T_383 = bits(io.a_in, 7, 7) @[exu_alu_ctl.scala 208:74] + node _T_384 = bits(io.a_in, 8, 8) @[exu_alu_ctl.scala 208:74] + node _T_385 = bits(io.a_in, 9, 9) @[exu_alu_ctl.scala 208:74] + node _T_386 = bits(io.a_in, 10, 10) @[exu_alu_ctl.scala 208:74] + node _T_387 = bits(io.a_in, 11, 11) @[exu_alu_ctl.scala 208:74] + node _T_388 = bits(io.a_in, 12, 12) @[exu_alu_ctl.scala 208:74] + node _T_389 = bits(io.a_in, 13, 13) @[exu_alu_ctl.scala 208:74] + node _T_390 = bits(io.a_in, 14, 14) @[exu_alu_ctl.scala 208:74] + node _T_391 = bits(io.a_in, 15, 15) @[exu_alu_ctl.scala 208:74] + node _T_392 = bits(io.a_in, 16, 16) @[exu_alu_ctl.scala 208:74] + node _T_393 = bits(io.a_in, 17, 17) @[exu_alu_ctl.scala 208:74] + node _T_394 = bits(io.a_in, 18, 18) @[exu_alu_ctl.scala 208:74] + node _T_395 = bits(io.a_in, 19, 19) @[exu_alu_ctl.scala 208:74] + node _T_396 = bits(io.a_in, 20, 20) @[exu_alu_ctl.scala 208:74] + node _T_397 = bits(io.a_in, 21, 21) @[exu_alu_ctl.scala 208:74] + node _T_398 = bits(io.a_in, 22, 22) @[exu_alu_ctl.scala 208:74] + node _T_399 = bits(io.a_in, 23, 23) @[exu_alu_ctl.scala 208:74] + node _T_400 = bits(io.a_in, 24, 24) @[exu_alu_ctl.scala 208:74] + node _T_401 = bits(io.a_in, 25, 25) @[exu_alu_ctl.scala 208:74] + node _T_402 = bits(io.a_in, 26, 26) @[exu_alu_ctl.scala 208:74] + node _T_403 = bits(io.a_in, 27, 27) @[exu_alu_ctl.scala 208:74] + node _T_404 = bits(io.a_in, 28, 28) @[exu_alu_ctl.scala 208:74] + node _T_405 = bits(io.a_in, 29, 29) @[exu_alu_ctl.scala 208:74] + node _T_406 = bits(io.a_in, 30, 30) @[exu_alu_ctl.scala 208:74] + node _T_407 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 208:74] + node _T_408 = cat(_T_376, _T_377) @[Cat.scala 29:58] + node _T_409 = cat(_T_408, _T_378) @[Cat.scala 29:58] + node _T_410 = cat(_T_409, _T_379) @[Cat.scala 29:58] + node _T_411 = cat(_T_410, _T_380) @[Cat.scala 29:58] + node _T_412 = cat(_T_411, _T_381) @[Cat.scala 29:58] + node _T_413 = cat(_T_412, _T_382) @[Cat.scala 29:58] + node _T_414 = cat(_T_413, _T_383) @[Cat.scala 29:58] + node _T_415 = cat(_T_414, _T_384) @[Cat.scala 29:58] + node _T_416 = cat(_T_415, _T_385) @[Cat.scala 29:58] + node _T_417 = cat(_T_416, _T_386) @[Cat.scala 29:58] + node _T_418 = cat(_T_417, _T_387) @[Cat.scala 29:58] + node _T_419 = cat(_T_418, _T_388) @[Cat.scala 29:58] + node _T_420 = cat(_T_419, _T_389) @[Cat.scala 29:58] + node _T_421 = cat(_T_420, _T_390) @[Cat.scala 29:58] + node _T_422 = cat(_T_421, _T_391) @[Cat.scala 29:58] + node _T_423 = cat(_T_422, _T_392) @[Cat.scala 29:58] + node _T_424 = cat(_T_423, _T_393) @[Cat.scala 29:58] + node _T_425 = cat(_T_424, _T_394) @[Cat.scala 29:58] + node _T_426 = cat(_T_425, _T_395) @[Cat.scala 29:58] + node _T_427 = cat(_T_426, _T_396) @[Cat.scala 29:58] + node _T_428 = cat(_T_427, _T_397) @[Cat.scala 29:58] + node _T_429 = cat(_T_428, _T_398) @[Cat.scala 29:58] + node _T_430 = cat(_T_429, _T_399) @[Cat.scala 29:58] + node _T_431 = cat(_T_430, _T_400) @[Cat.scala 29:58] + node _T_432 = cat(_T_431, _T_401) @[Cat.scala 29:58] + node _T_433 = cat(_T_432, _T_402) @[Cat.scala 29:58] + node _T_434 = cat(_T_433, _T_403) @[Cat.scala 29:58] + node _T_435 = cat(_T_434, _T_404) @[Cat.scala 29:58] + node _T_436 = cat(_T_435, _T_405) @[Cat.scala 29:58] + node _T_437 = cat(_T_436, _T_406) @[Cat.scala 29:58] + node bitmanip_a_reverse_ff = cat(_T_437, _T_407) @[Cat.scala 29:58] + node _T_438 = asSInt(bitmanip_a_reverse_ff) @[exu_alu_ctl.scala 214:93] + wire _T_439 : SInt<32> @[Mux.scala 27:72] + node _T_440 = asUInt(io.a_in) @[Mux.scala 27:72] + node _T_441 = asSInt(_T_440) @[Mux.scala 27:72] + _T_439 <= _T_441 @[Mux.scala 27:72] + wire _T_442 : SInt<32> @[Mux.scala 27:72] + node _T_443 = asUInt(_T_438) @[Mux.scala 27:72] + node _T_444 = asSInt(_T_443) @[Mux.scala 27:72] + _T_442 <= _T_444 @[Mux.scala 27:72] + node _T_445 = mux(ap_clz, _T_439, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_446 = mux(ap_ctz, _T_442, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_447 = or(_T_445, _T_446) @[Mux.scala 27:72] + node _T_448 = asSInt(_T_447) @[Mux.scala 27:72] + wire bitmanip_lzd_in : SInt<32> @[Mux.scala 27:72] + node _T_449 = asUInt(_T_448) @[Mux.scala 27:72] + node _T_450 = asSInt(_T_449) @[Mux.scala 27:72] + bitmanip_lzd_in <= _T_450 @[Mux.scala 27:72] + wire bitmanip_dw_lzd_enc : UInt<6> + bitmanip_dw_lzd_enc <= UInt<1>("h00") + node _T_451 = bits(bitmanip_lzd_in, 31, 0) @[exu_alu_ctl.scala 219:75] + node _T_452 = eq(_T_451, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_453 = bits(bitmanip_lzd_in, 31, 1) @[exu_alu_ctl.scala 219:75] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_455 = bits(bitmanip_lzd_in, 31, 2) @[exu_alu_ctl.scala 219:75] + node _T_456 = eq(_T_455, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_457 = bits(bitmanip_lzd_in, 31, 3) @[exu_alu_ctl.scala 219:75] + node _T_458 = eq(_T_457, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_459 = bits(bitmanip_lzd_in, 31, 4) @[exu_alu_ctl.scala 219:75] + node _T_460 = eq(_T_459, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_461 = bits(bitmanip_lzd_in, 31, 5) @[exu_alu_ctl.scala 219:75] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_463 = bits(bitmanip_lzd_in, 31, 6) @[exu_alu_ctl.scala 219:75] + node _T_464 = eq(_T_463, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_465 = bits(bitmanip_lzd_in, 31, 7) @[exu_alu_ctl.scala 219:75] + node _T_466 = eq(_T_465, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_467 = bits(bitmanip_lzd_in, 31, 8) @[exu_alu_ctl.scala 219:75] + node _T_468 = eq(_T_467, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_469 = bits(bitmanip_lzd_in, 31, 9) @[exu_alu_ctl.scala 219:75] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_471 = bits(bitmanip_lzd_in, 31, 10) @[exu_alu_ctl.scala 219:75] + node _T_472 = eq(_T_471, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_473 = bits(bitmanip_lzd_in, 31, 11) @[exu_alu_ctl.scala 219:75] + node _T_474 = eq(_T_473, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_475 = bits(bitmanip_lzd_in, 31, 12) @[exu_alu_ctl.scala 219:75] + node _T_476 = eq(_T_475, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_477 = bits(bitmanip_lzd_in, 31, 13) @[exu_alu_ctl.scala 219:75] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_479 = bits(bitmanip_lzd_in, 31, 14) @[exu_alu_ctl.scala 219:75] + node _T_480 = eq(_T_479, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_481 = bits(bitmanip_lzd_in, 31, 15) @[exu_alu_ctl.scala 219:75] + node _T_482 = eq(_T_481, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_483 = bits(bitmanip_lzd_in, 31, 16) @[exu_alu_ctl.scala 219:75] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_485 = bits(bitmanip_lzd_in, 31, 17) @[exu_alu_ctl.scala 219:75] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_487 = bits(bitmanip_lzd_in, 31, 18) @[exu_alu_ctl.scala 219:75] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_489 = bits(bitmanip_lzd_in, 31, 19) @[exu_alu_ctl.scala 219:75] + node _T_490 = eq(_T_489, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_491 = bits(bitmanip_lzd_in, 31, 20) @[exu_alu_ctl.scala 219:75] + node _T_492 = eq(_T_491, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_493 = bits(bitmanip_lzd_in, 31, 21) @[exu_alu_ctl.scala 219:75] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_495 = bits(bitmanip_lzd_in, 31, 22) @[exu_alu_ctl.scala 219:75] + node _T_496 = eq(_T_495, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_497 = bits(bitmanip_lzd_in, 31, 23) @[exu_alu_ctl.scala 219:75] + node _T_498 = eq(_T_497, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_499 = bits(bitmanip_lzd_in, 31, 24) @[exu_alu_ctl.scala 219:75] + node _T_500 = eq(_T_499, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_501 = bits(bitmanip_lzd_in, 31, 25) @[exu_alu_ctl.scala 219:75] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_503 = bits(bitmanip_lzd_in, 31, 26) @[exu_alu_ctl.scala 219:75] + node _T_504 = eq(_T_503, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_505 = bits(bitmanip_lzd_in, 31, 27) @[exu_alu_ctl.scala 219:75] + node _T_506 = eq(_T_505, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_507 = bits(bitmanip_lzd_in, 31, 28) @[exu_alu_ctl.scala 219:75] + node _T_508 = eq(_T_507, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_509 = bits(bitmanip_lzd_in, 31, 29) @[exu_alu_ctl.scala 219:75] + node _T_510 = eq(_T_509, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_511 = bits(bitmanip_lzd_in, 31, 30) @[exu_alu_ctl.scala 219:75] + node _T_512 = eq(_T_511, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_513 = bits(bitmanip_lzd_in, 31, 31) @[exu_alu_ctl.scala 219:75] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_515 = mux(_T_514, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_516 = mux(_T_512, UInt<2>("h02"), _T_515) @[Mux.scala 98:16] + node _T_517 = mux(_T_510, UInt<2>("h03"), _T_516) @[Mux.scala 98:16] + node _T_518 = mux(_T_508, UInt<3>("h04"), _T_517) @[Mux.scala 98:16] + node _T_519 = mux(_T_506, UInt<3>("h05"), _T_518) @[Mux.scala 98:16] + node _T_520 = mux(_T_504, UInt<3>("h06"), _T_519) @[Mux.scala 98:16] + node _T_521 = mux(_T_502, UInt<3>("h07"), _T_520) @[Mux.scala 98:16] + node _T_522 = mux(_T_500, UInt<4>("h08"), _T_521) @[Mux.scala 98:16] + node _T_523 = mux(_T_498, UInt<4>("h09"), _T_522) @[Mux.scala 98:16] + node _T_524 = mux(_T_496, UInt<4>("h0a"), _T_523) @[Mux.scala 98:16] + node _T_525 = mux(_T_494, UInt<4>("h0b"), _T_524) @[Mux.scala 98:16] + node _T_526 = mux(_T_492, UInt<4>("h0c"), _T_525) @[Mux.scala 98:16] + node _T_527 = mux(_T_490, UInt<4>("h0d"), _T_526) @[Mux.scala 98:16] + node _T_528 = mux(_T_488, UInt<4>("h0e"), _T_527) @[Mux.scala 98:16] + node _T_529 = mux(_T_486, UInt<4>("h0f"), _T_528) @[Mux.scala 98:16] + node _T_530 = mux(_T_484, UInt<5>("h010"), _T_529) @[Mux.scala 98:16] + node _T_531 = mux(_T_482, UInt<5>("h011"), _T_530) @[Mux.scala 98:16] + node _T_532 = mux(_T_480, UInt<5>("h012"), _T_531) @[Mux.scala 98:16] + node _T_533 = mux(_T_478, UInt<5>("h013"), _T_532) @[Mux.scala 98:16] + node _T_534 = mux(_T_476, UInt<5>("h014"), _T_533) @[Mux.scala 98:16] + node _T_535 = mux(_T_474, UInt<5>("h015"), _T_534) @[Mux.scala 98:16] + node _T_536 = mux(_T_472, UInt<5>("h016"), _T_535) @[Mux.scala 98:16] + node _T_537 = mux(_T_470, UInt<5>("h017"), _T_536) @[Mux.scala 98:16] + node _T_538 = mux(_T_468, UInt<5>("h018"), _T_537) @[Mux.scala 98:16] + node _T_539 = mux(_T_466, UInt<5>("h019"), _T_538) @[Mux.scala 98:16] + node _T_540 = mux(_T_464, UInt<5>("h01a"), _T_539) @[Mux.scala 98:16] + node _T_541 = mux(_T_462, UInt<5>("h01b"), _T_540) @[Mux.scala 98:16] + node _T_542 = mux(_T_460, UInt<5>("h01c"), _T_541) @[Mux.scala 98:16] + node _T_543 = mux(_T_458, UInt<5>("h01d"), _T_542) @[Mux.scala 98:16] + node _T_544 = mux(_T_456, UInt<5>("h01e"), _T_543) @[Mux.scala 98:16] + node _T_545 = mux(_T_454, UInt<5>("h01f"), _T_544) @[Mux.scala 98:16] + node _T_546 = mux(_T_452, UInt<6>("h020"), _T_545) @[Mux.scala 98:16] + bitmanip_dw_lzd_enc <= _T_546 @[exu_alu_ctl.scala 219:23] + node _T_547 = or(ap_clz, ap_ctz) @[exu_alu_ctl.scala 221:52] + node _T_548 = bits(_T_547, 0, 0) @[Bitwise.scala 72:15] + node _T_549 = mux(_T_548, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_550 = bits(bitmanip_dw_lzd_enc, 5, 5) @[exu_alu_ctl.scala 221:83] + node _T_551 = and(_T_549, _T_550) @[exu_alu_ctl.scala 221:62] + node _T_552 = bits(bitmanip_dw_lzd_enc, 5, 5) @[exu_alu_ctl.scala 221:116] + node _T_553 = eq(_T_552, UInt<1>("h00")) @[exu_alu_ctl.scala 221:96] + node _T_554 = bits(_T_553, 0, 0) @[Bitwise.scala 72:15] + node _T_555 = mux(_T_554, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_556 = bits(bitmanip_dw_lzd_enc, 4, 0) @[exu_alu_ctl.scala 221:142] + node _T_557 = and(_T_555, _T_556) @[exu_alu_ctl.scala 221:121] + node bitmanip_clz_ctz_result = cat(_T_551, _T_557) @[Cat.scala 29:58] + node _T_558 = bits(ap_pcnt, 0, 0) @[Bitwise.scala 72:15] + node _T_559 = mux(_T_558, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_560 = bits(io.a_in, 0, 0) @[Bitwise.scala 49:65] + node _T_561 = bits(io.a_in, 1, 1) @[Bitwise.scala 49:65] + node _T_562 = bits(io.a_in, 2, 2) @[Bitwise.scala 49:65] + node _T_563 = bits(io.a_in, 3, 3) @[Bitwise.scala 49:65] + node _T_564 = bits(io.a_in, 4, 4) @[Bitwise.scala 49:65] + node _T_565 = bits(io.a_in, 5, 5) @[Bitwise.scala 49:65] + node _T_566 = bits(io.a_in, 6, 6) @[Bitwise.scala 49:65] + node _T_567 = bits(io.a_in, 7, 7) @[Bitwise.scala 49:65] + node _T_568 = bits(io.a_in, 8, 8) @[Bitwise.scala 49:65] + node _T_569 = bits(io.a_in, 9, 9) @[Bitwise.scala 49:65] + node _T_570 = bits(io.a_in, 10, 10) @[Bitwise.scala 49:65] + node _T_571 = bits(io.a_in, 11, 11) @[Bitwise.scala 49:65] + node _T_572 = bits(io.a_in, 12, 12) @[Bitwise.scala 49:65] + node _T_573 = bits(io.a_in, 13, 13) @[Bitwise.scala 49:65] + node _T_574 = bits(io.a_in, 14, 14) @[Bitwise.scala 49:65] + node _T_575 = bits(io.a_in, 15, 15) @[Bitwise.scala 49:65] + node _T_576 = bits(io.a_in, 16, 16) @[Bitwise.scala 49:65] + node _T_577 = bits(io.a_in, 17, 17) @[Bitwise.scala 49:65] + node _T_578 = bits(io.a_in, 18, 18) @[Bitwise.scala 49:65] + node _T_579 = bits(io.a_in, 19, 19) @[Bitwise.scala 49:65] + node _T_580 = bits(io.a_in, 20, 20) @[Bitwise.scala 49:65] + node _T_581 = bits(io.a_in, 21, 21) @[Bitwise.scala 49:65] + node _T_582 = bits(io.a_in, 22, 22) @[Bitwise.scala 49:65] + node _T_583 = bits(io.a_in, 23, 23) @[Bitwise.scala 49:65] + node _T_584 = bits(io.a_in, 24, 24) @[Bitwise.scala 49:65] + node _T_585 = bits(io.a_in, 25, 25) @[Bitwise.scala 49:65] + node _T_586 = bits(io.a_in, 26, 26) @[Bitwise.scala 49:65] + node _T_587 = bits(io.a_in, 27, 27) @[Bitwise.scala 49:65] + node _T_588 = bits(io.a_in, 28, 28) @[Bitwise.scala 49:65] + node _T_589 = bits(io.a_in, 29, 29) @[Bitwise.scala 49:65] + node _T_590 = bits(io.a_in, 30, 30) @[Bitwise.scala 49:65] + node _T_591 = bits(io.a_in, 31, 31) @[Bitwise.scala 49:65] + node _T_592 = add(_T_560, _T_561) @[Bitwise.scala 47:55] + node _T_593 = bits(_T_592, 1, 0) @[Bitwise.scala 47:55] + node _T_594 = add(_T_562, _T_563) @[Bitwise.scala 47:55] + node _T_595 = bits(_T_594, 1, 0) @[Bitwise.scala 47:55] + node _T_596 = add(_T_593, _T_595) @[Bitwise.scala 47:55] + node _T_597 = bits(_T_596, 2, 0) @[Bitwise.scala 47:55] + node _T_598 = add(_T_564, _T_565) @[Bitwise.scala 47:55] + node _T_599 = bits(_T_598, 1, 0) @[Bitwise.scala 47:55] + node _T_600 = add(_T_566, _T_567) @[Bitwise.scala 47:55] + node _T_601 = bits(_T_600, 1, 0) @[Bitwise.scala 47:55] + node _T_602 = add(_T_599, _T_601) @[Bitwise.scala 47:55] + node _T_603 = bits(_T_602, 2, 0) @[Bitwise.scala 47:55] + node _T_604 = add(_T_597, _T_603) @[Bitwise.scala 47:55] + node _T_605 = bits(_T_604, 3, 0) @[Bitwise.scala 47:55] + node _T_606 = add(_T_568, _T_569) @[Bitwise.scala 47:55] + node _T_607 = bits(_T_606, 1, 0) @[Bitwise.scala 47:55] + node _T_608 = add(_T_570, _T_571) @[Bitwise.scala 47:55] + node _T_609 = bits(_T_608, 1, 0) @[Bitwise.scala 47:55] + node _T_610 = add(_T_607, _T_609) @[Bitwise.scala 47:55] + node _T_611 = bits(_T_610, 2, 0) @[Bitwise.scala 47:55] + node _T_612 = add(_T_572, _T_573) @[Bitwise.scala 47:55] + node _T_613 = bits(_T_612, 1, 0) @[Bitwise.scala 47:55] + node _T_614 = add(_T_574, _T_575) @[Bitwise.scala 47:55] + node _T_615 = bits(_T_614, 1, 0) @[Bitwise.scala 47:55] + node _T_616 = add(_T_613, _T_615) @[Bitwise.scala 47:55] + node _T_617 = bits(_T_616, 2, 0) @[Bitwise.scala 47:55] + node _T_618 = add(_T_611, _T_617) @[Bitwise.scala 47:55] + node _T_619 = bits(_T_618, 3, 0) @[Bitwise.scala 47:55] + node _T_620 = add(_T_605, _T_619) @[Bitwise.scala 47:55] + node _T_621 = bits(_T_620, 4, 0) @[Bitwise.scala 47:55] + node _T_622 = add(_T_576, _T_577) @[Bitwise.scala 47:55] + node _T_623 = bits(_T_622, 1, 0) @[Bitwise.scala 47:55] + node _T_624 = add(_T_578, _T_579) @[Bitwise.scala 47:55] + node _T_625 = bits(_T_624, 1, 0) @[Bitwise.scala 47:55] + node _T_626 = add(_T_623, _T_625) @[Bitwise.scala 47:55] + node _T_627 = bits(_T_626, 2, 0) @[Bitwise.scala 47:55] + node _T_628 = add(_T_580, _T_581) @[Bitwise.scala 47:55] + node _T_629 = bits(_T_628, 1, 0) @[Bitwise.scala 47:55] + node _T_630 = add(_T_582, _T_583) @[Bitwise.scala 47:55] + node _T_631 = bits(_T_630, 1, 0) @[Bitwise.scala 47:55] + node _T_632 = add(_T_629, _T_631) @[Bitwise.scala 47:55] + node _T_633 = bits(_T_632, 2, 0) @[Bitwise.scala 47:55] + node _T_634 = add(_T_627, _T_633) @[Bitwise.scala 47:55] + node _T_635 = bits(_T_634, 3, 0) @[Bitwise.scala 47:55] + node _T_636 = add(_T_584, _T_585) @[Bitwise.scala 47:55] + node _T_637 = bits(_T_636, 1, 0) @[Bitwise.scala 47:55] + node _T_638 = add(_T_586, _T_587) @[Bitwise.scala 47:55] + node _T_639 = bits(_T_638, 1, 0) @[Bitwise.scala 47:55] + node _T_640 = add(_T_637, _T_639) @[Bitwise.scala 47:55] + node _T_641 = bits(_T_640, 2, 0) @[Bitwise.scala 47:55] + node _T_642 = add(_T_588, _T_589) @[Bitwise.scala 47:55] + node _T_643 = bits(_T_642, 1, 0) @[Bitwise.scala 47:55] + node _T_644 = add(_T_590, _T_591) @[Bitwise.scala 47:55] + node _T_645 = bits(_T_644, 1, 0) @[Bitwise.scala 47:55] + node _T_646 = add(_T_643, _T_645) @[Bitwise.scala 47:55] + node _T_647 = bits(_T_646, 2, 0) @[Bitwise.scala 47:55] + node _T_648 = add(_T_641, _T_647) @[Bitwise.scala 47:55] + node _T_649 = bits(_T_648, 3, 0) @[Bitwise.scala 47:55] + node _T_650 = add(_T_635, _T_649) @[Bitwise.scala 47:55] + node _T_651 = bits(_T_650, 4, 0) @[Bitwise.scala 47:55] + node _T_652 = add(_T_621, _T_651) @[Bitwise.scala 47:55] + node _T_653 = bits(_T_652, 5, 0) @[Bitwise.scala 47:55] + node bitmanip_pcnt_result = and(_T_559, _T_653) @[exu_alu_ctl.scala 224:50] + node _T_654 = bits(io.a_in, 7, 7) @[exu_alu_ctl.scala 228:75] + node _T_655 = bits(_T_654, 0, 0) @[Bitwise.scala 72:15] + node _T_656 = mux(_T_655, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_657 = bits(io.a_in, 7, 0) @[exu_alu_ctl.scala 228:88] + node _T_658 = cat(_T_656, _T_657) @[Cat.scala 29:58] + node _T_659 = bits(io.a_in, 15, 15) @[exu_alu_ctl.scala 229:38] + node _T_660 = bits(_T_659, 0, 0) @[Bitwise.scala 72:15] + node _T_661 = mux(_T_660, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_662 = bits(io.a_in, 15, 0) @[exu_alu_ctl.scala 229:51] + node _T_663 = cat(_T_661, _T_662) @[Cat.scala 29:58] + node _T_664 = mux(ap_sext_b, _T_658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_665 = mux(ap_sext_h, _T_663, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_666 = or(_T_664, _T_665) @[Mux.scala 27:72] + wire bitmanip_sext_result : UInt<32> @[Mux.scala 27:72] + bitmanip_sext_result <= _T_666 @[Mux.scala 27:72] + node bitmanip_minmax_sel = or(ap_min, ap_max) @[exu_alu_ctl.scala 233:46] + node bitmanip_minmax_sel_a = xor(ge, ap_min) @[exu_alu_ctl.scala 235:43] + node _T_667 = and(bitmanip_minmax_sel, bitmanip_minmax_sel_a) @[exu_alu_ctl.scala 238:26] + node _T_668 = eq(bitmanip_minmax_sel_a, UInt<1>("h00")) @[exu_alu_ctl.scala 239:28] + node _T_669 = and(bitmanip_minmax_sel, _T_668) @[exu_alu_ctl.scala 239:26] + node _T_670 = asSInt(io.b_in) @[exu_alu_ctl.scala 239:65] + wire _T_671 : SInt<32> @[Mux.scala 27:72] + node _T_672 = asUInt(io.a_in) @[Mux.scala 27:72] + node _T_673 = asSInt(_T_672) @[Mux.scala 27:72] + _T_671 <= _T_673 @[Mux.scala 27:72] + wire _T_674 : SInt<32> @[Mux.scala 27:72] + node _T_675 = asUInt(_T_670) @[Mux.scala 27:72] + node _T_676 = asSInt(_T_675) @[Mux.scala 27:72] + _T_674 <= _T_676 @[Mux.scala 27:72] + node _T_677 = mux(_T_667, _T_671, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_678 = mux(_T_669, _T_674, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_679 = or(_T_677, _T_678) @[Mux.scala 27:72] + node _T_680 = asSInt(_T_679) @[Mux.scala 27:72] + wire bitmanip_minmax_result : SInt<32> @[Mux.scala 27:72] + node _T_681 = asUInt(_T_680) @[Mux.scala 27:72] + node _T_682 = asSInt(_T_681) @[Mux.scala 27:72] + bitmanip_minmax_result <= _T_682 @[Mux.scala 27:72] + node _T_683 = bits(ap_pack, 0, 0) @[Bitwise.scala 72:15] + node _T_684 = mux(_T_683, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_685 = bits(io.b_in, 15, 0) @[exu_alu_ctl.scala 244:63] + node _T_686 = bits(io.a_in, 15, 0) @[exu_alu_ctl.scala 244:78] + node _T_687 = cat(_T_685, _T_686) @[Cat.scala 29:58] + node bitmanip_pack_result = and(_T_684, _T_687) @[exu_alu_ctl.scala 244:50] + node _T_688 = bits(ap_packu, 0, 0) @[Bitwise.scala 72:15] + node _T_689 = mux(_T_688, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_690 = bits(io.b_in, 31, 16) @[exu_alu_ctl.scala 245:63] + node _T_691 = bits(io.a_in, 31, 16) @[exu_alu_ctl.scala 245:78] + node _T_692 = cat(_T_690, _T_691) @[Cat.scala 29:58] + node bitmanip_packu_result = and(_T_689, _T_692) @[exu_alu_ctl.scala 245:50] + node _T_693 = bits(ap_packh, 0, 0) @[Bitwise.scala 72:15] + node _T_694 = mux(_T_693, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_695 = bits(io.b_in, 7, 0) @[exu_alu_ctl.scala 246:73] + node _T_696 = bits(io.a_in, 7, 0) @[exu_alu_ctl.scala 246:86] + node _T_697 = cat(UInt<16>("h00"), _T_695) @[Cat.scala 29:58] + node _T_698 = cat(_T_697, _T_696) @[Cat.scala 29:58] + node bitmanip_packh_result = and(_T_694, _T_698) @[exu_alu_ctl.scala 246:50] + node _T_699 = bits(ap_rev, 0, 0) @[Bitwise.scala 72:15] + node _T_700 = mux(_T_699, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_701 = bits(io.a_in, 0, 0) @[exu_alu_ctl.scala 252:92] + node _T_702 = bits(io.a_in, 1, 1) @[exu_alu_ctl.scala 252:92] + node _T_703 = bits(io.a_in, 2, 2) @[exu_alu_ctl.scala 252:92] + node _T_704 = bits(io.a_in, 3, 3) @[exu_alu_ctl.scala 252:92] + node _T_705 = bits(io.a_in, 4, 4) @[exu_alu_ctl.scala 252:92] + node _T_706 = bits(io.a_in, 5, 5) @[exu_alu_ctl.scala 252:92] + node _T_707 = bits(io.a_in, 6, 6) @[exu_alu_ctl.scala 252:92] + node _T_708 = bits(io.a_in, 7, 7) @[exu_alu_ctl.scala 252:92] + node _T_709 = bits(io.a_in, 8, 8) @[exu_alu_ctl.scala 252:92] + node _T_710 = bits(io.a_in, 9, 9) @[exu_alu_ctl.scala 252:92] + node _T_711 = bits(io.a_in, 10, 10) @[exu_alu_ctl.scala 252:92] + node _T_712 = bits(io.a_in, 11, 11) @[exu_alu_ctl.scala 252:92] + node _T_713 = bits(io.a_in, 12, 12) @[exu_alu_ctl.scala 252:92] + node _T_714 = bits(io.a_in, 13, 13) @[exu_alu_ctl.scala 252:92] + node _T_715 = bits(io.a_in, 14, 14) @[exu_alu_ctl.scala 252:92] + node _T_716 = bits(io.a_in, 15, 15) @[exu_alu_ctl.scala 252:92] + node _T_717 = bits(io.a_in, 16, 16) @[exu_alu_ctl.scala 252:92] + node _T_718 = bits(io.a_in, 17, 17) @[exu_alu_ctl.scala 252:92] + node _T_719 = bits(io.a_in, 18, 18) @[exu_alu_ctl.scala 252:92] + node _T_720 = bits(io.a_in, 19, 19) @[exu_alu_ctl.scala 252:92] + node _T_721 = bits(io.a_in, 20, 20) @[exu_alu_ctl.scala 252:92] + node _T_722 = bits(io.a_in, 21, 21) @[exu_alu_ctl.scala 252:92] + node _T_723 = bits(io.a_in, 22, 22) @[exu_alu_ctl.scala 252:92] + node _T_724 = bits(io.a_in, 23, 23) @[exu_alu_ctl.scala 252:92] + node _T_725 = bits(io.a_in, 24, 24) @[exu_alu_ctl.scala 252:92] + node _T_726 = bits(io.a_in, 25, 25) @[exu_alu_ctl.scala 252:92] + node _T_727 = bits(io.a_in, 26, 26) @[exu_alu_ctl.scala 252:92] + node _T_728 = bits(io.a_in, 27, 27) @[exu_alu_ctl.scala 252:92] + node _T_729 = bits(io.a_in, 28, 28) @[exu_alu_ctl.scala 252:92] + node _T_730 = bits(io.a_in, 29, 29) @[exu_alu_ctl.scala 252:92] + node _T_731 = bits(io.a_in, 30, 30) @[exu_alu_ctl.scala 252:92] + node _T_732 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 252:92] + node _T_733 = cat(_T_701, _T_702) @[Cat.scala 29:58] + node _T_734 = cat(_T_733, _T_703) @[Cat.scala 29:58] + node _T_735 = cat(_T_734, _T_704) @[Cat.scala 29:58] + node _T_736 = cat(_T_735, _T_705) @[Cat.scala 29:58] + node _T_737 = cat(_T_736, _T_706) @[Cat.scala 29:58] + node _T_738 = cat(_T_737, _T_707) @[Cat.scala 29:58] + node _T_739 = cat(_T_738, _T_708) @[Cat.scala 29:58] + node _T_740 = cat(_T_739, _T_709) @[Cat.scala 29:58] + node _T_741 = cat(_T_740, _T_710) @[Cat.scala 29:58] + node _T_742 = cat(_T_741, _T_711) @[Cat.scala 29:58] + node _T_743 = cat(_T_742, _T_712) @[Cat.scala 29:58] + node _T_744 = cat(_T_743, _T_713) @[Cat.scala 29:58] + node _T_745 = cat(_T_744, _T_714) @[Cat.scala 29:58] + node _T_746 = cat(_T_745, _T_715) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_716) @[Cat.scala 29:58] + node _T_748 = cat(_T_747, _T_717) @[Cat.scala 29:58] + node _T_749 = cat(_T_748, _T_718) @[Cat.scala 29:58] + node _T_750 = cat(_T_749, _T_719) @[Cat.scala 29:58] + node _T_751 = cat(_T_750, _T_720) @[Cat.scala 29:58] + node _T_752 = cat(_T_751, _T_721) @[Cat.scala 29:58] + node _T_753 = cat(_T_752, _T_722) @[Cat.scala 29:58] + node _T_754 = cat(_T_753, _T_723) @[Cat.scala 29:58] + node _T_755 = cat(_T_754, _T_724) @[Cat.scala 29:58] + node _T_756 = cat(_T_755, _T_725) @[Cat.scala 29:58] + node _T_757 = cat(_T_756, _T_726) @[Cat.scala 29:58] + node _T_758 = cat(_T_757, _T_727) @[Cat.scala 29:58] + node _T_759 = cat(_T_758, _T_728) @[Cat.scala 29:58] + node _T_760 = cat(_T_759, _T_729) @[Cat.scala 29:58] + node _T_761 = cat(_T_760, _T_730) @[Cat.scala 29:58] + node _T_762 = cat(_T_761, _T_731) @[Cat.scala 29:58] + node _T_763 = cat(_T_762, _T_732) @[Cat.scala 29:58] + node bitmanip_rev_result = and(_T_700, _T_763) @[exu_alu_ctl.scala 252:48] + node _T_764 = bits(ap_rev8, 0, 0) @[Bitwise.scala 72:15] + node _T_765 = mux(_T_764, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_766 = bits(io.a_in, 7, 0) @[exu_alu_ctl.scala 254:96] + node _T_767 = bits(io.a_in, 15, 8) @[exu_alu_ctl.scala 254:96] + node _T_768 = bits(io.a_in, 23, 16) @[exu_alu_ctl.scala 254:96] + node _T_769 = bits(io.a_in, 31, 24) @[exu_alu_ctl.scala 254:96] + node _T_770 = cat(_T_766, _T_767) @[Cat.scala 29:58] + node _T_771 = cat(_T_770, _T_768) @[Cat.scala 29:58] + node _T_772 = cat(_T_771, _T_769) @[Cat.scala 29:58] + node bitmanip_rev8_result = and(_T_765, _T_772) @[exu_alu_ctl.scala 254:50] + node _T_773 = bits(ap_orc_b, 0, 0) @[Bitwise.scala 72:15] + node _T_774 = mux(_T_773, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_775 = bits(io.a_in, 7, 0) @[exu_alu_ctl.scala 279:103] + node _T_776 = orr(_T_775) @[exu_alu_ctl.scala 279:117] + node _T_777 = bits(_T_776, 0, 0) @[Bitwise.scala 72:15] + node _T_778 = mux(_T_777, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_779 = bits(io.a_in, 15, 8) @[exu_alu_ctl.scala 279:103] + node _T_780 = orr(_T_779) @[exu_alu_ctl.scala 279:117] + node _T_781 = bits(_T_780, 0, 0) @[Bitwise.scala 72:15] + node _T_782 = mux(_T_781, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_783 = bits(io.a_in, 23, 16) @[exu_alu_ctl.scala 279:103] + node _T_784 = orr(_T_783) @[exu_alu_ctl.scala 279:117] + node _T_785 = bits(_T_784, 0, 0) @[Bitwise.scala 72:15] + node _T_786 = mux(_T_785, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_787 = bits(io.a_in, 31, 24) @[exu_alu_ctl.scala 279:103] + node _T_788 = orr(_T_787) @[exu_alu_ctl.scala 279:117] + node _T_789 = bits(_T_788, 0, 0) @[Bitwise.scala 72:15] + node _T_790 = mux(_T_789, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_791 = cat(_T_790, _T_786) @[Cat.scala 29:58] + node _T_792 = cat(_T_791, _T_782) @[Cat.scala 29:58] + node _T_793 = cat(_T_792, _T_778) @[Cat.scala 29:58] + node bitmanip_orc_b_result = and(_T_774, _T_793) @[exu_alu_ctl.scala 279:50] + node _T_794 = bits(ap_orc16, 0, 0) @[Bitwise.scala 72:15] + node _T_795 = mux(_T_794, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_796 = bits(io.a_in, 31, 16) @[exu_alu_ctl.scala 281:63] + node _T_797 = bits(io.a_in, 15, 0) @[exu_alu_ctl.scala 281:80] + node _T_798 = or(_T_796, _T_797) @[exu_alu_ctl.scala 281:71] + node _T_799 = bits(io.a_in, 31, 16) @[exu_alu_ctl.scala 281:95] + node _T_800 = bits(io.a_in, 15, 0) @[exu_alu_ctl.scala 281:112] + node _T_801 = or(_T_799, _T_800) @[exu_alu_ctl.scala 281:103] + node _T_802 = cat(_T_798, _T_801) @[Cat.scala 29:58] + node bitmanip_orc16_result = and(_T_795, _T_802) @[exu_alu_ctl.scala 281:50] + node _T_803 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 285:63] + node bitmanip_sb_1hot = dshl(UInt<32>("h01"), _T_803) @[exu_alu_ctl.scala 285:53] + node _T_804 = bits(bitmanip_sb_1hot, 31, 0) @[exu_alu_ctl.scala 288:46] + node _T_805 = asSInt(_T_804) @[exu_alu_ctl.scala 288:53] + node _T_806 = or(io.a_in, _T_805) @[exu_alu_ctl.scala 288:27] + node _T_807 = asSInt(_T_806) @[exu_alu_ctl.scala 288:27] + node _T_808 = bits(bitmanip_sb_1hot, 31, 0) @[exu_alu_ctl.scala 289:46] + node _T_809 = asSInt(_T_808) @[exu_alu_ctl.scala 289:53] + node _T_810 = not(_T_809) @[exu_alu_ctl.scala 289:29] + node _T_811 = asSInt(_T_810) @[exu_alu_ctl.scala 289:29] + node _T_812 = and(io.a_in, _T_811) @[exu_alu_ctl.scala 289:27] + node _T_813 = asSInt(_T_812) @[exu_alu_ctl.scala 289:27] + node _T_814 = bits(bitmanip_sb_1hot, 31, 0) @[exu_alu_ctl.scala 290:46] + node _T_815 = asSInt(_T_814) @[exu_alu_ctl.scala 290:53] + node _T_816 = xor(io.a_in, _T_815) @[exu_alu_ctl.scala 290:27] + node _T_817 = asSInt(_T_816) @[exu_alu_ctl.scala 290:27] + wire _T_818 : SInt<32> @[Mux.scala 27:72] + node _T_819 = asUInt(_T_807) @[Mux.scala 27:72] + node _T_820 = asSInt(_T_819) @[Mux.scala 27:72] + _T_818 <= _T_820 @[Mux.scala 27:72] + wire _T_821 : SInt<32> @[Mux.scala 27:72] + node _T_822 = asUInt(_T_813) @[Mux.scala 27:72] + node _T_823 = asSInt(_T_822) @[Mux.scala 27:72] + _T_821 <= _T_823 @[Mux.scala 27:72] + wire _T_824 : SInt<32> @[Mux.scala 27:72] + node _T_825 = asUInt(_T_817) @[Mux.scala 27:72] + node _T_826 = asSInt(_T_825) @[Mux.scala 27:72] + _T_824 <= _T_826 @[Mux.scala 27:72] + node _T_827 = mux(ap_sbset, _T_818, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_828 = mux(ap_sbclr, _T_821, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_829 = mux(ap_sbinv, _T_824, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_830 = or(_T_827, _T_828) @[Mux.scala 27:72] + node _T_831 = asSInt(_T_830) @[Mux.scala 27:72] + node _T_832 = or(_T_831, _T_829) @[Mux.scala 27:72] + node _T_833 = asSInt(_T_832) @[Mux.scala 27:72] + wire bitmanip_sb_data : SInt<32> @[Mux.scala 27:72] + node _T_834 = asUInt(_T_833) @[Mux.scala 27:72] + node _T_835 = asSInt(_T_834) @[Mux.scala 27:72] + bitmanip_sb_data <= _T_835 @[Mux.scala 27:72] + node _T_836 = or(io.i0_ap.sll, io.i0_ap.srl) @[exu_alu_ctl.scala 293:44] + node _T_837 = or(_T_836, io.i0_ap.sra) @[exu_alu_ctl.scala 293:59] + node _T_838 = or(_T_837, ap_slo) @[exu_alu_ctl.scala 293:74] + node _T_839 = or(_T_838, ap_sro) @[exu_alu_ctl.scala 293:83] + node _T_840 = or(_T_839, ap_rol) @[exu_alu_ctl.scala 293:92] + node sel_shift = or(_T_840, ap_ror) @[exu_alu_ctl.scala 293:101] + node _T_841 = or(io.i0_ap.add, io.i0_ap.sub) @[exu_alu_ctl.scala 294:44] + node _T_842 = or(_T_841, ap_zba) @[exu_alu_ctl.scala 294:59] + node _T_843 = eq(io.i0_ap.slt, UInt<1>("h00")) @[exu_alu_ctl.scala 294:71] + node _T_844 = and(_T_842, _T_843) @[exu_alu_ctl.scala 294:69] + node _T_845 = eq(ap_min, UInt<1>("h00")) @[exu_alu_ctl.scala 294:87] + node _T_846 = and(_T_844, _T_845) @[exu_alu_ctl.scala 294:85] + node _T_847 = eq(ap_max, UInt<1>("h00")) @[exu_alu_ctl.scala 294:97] + node sel_adder = and(_T_846, _T_847) @[exu_alu_ctl.scala 294:95] + node _T_848 = or(io.i0_ap.jal, io.pp_in.bits.pcall) @[exu_alu_ctl.scala 295:44] + node _T_849 = or(_T_848, io.pp_in.bits.pja) @[exu_alu_ctl.scala 295:66] + node sel_pc = or(_T_849, io.pp_in.bits.pret) @[exu_alu_ctl.scala 295:86] + node _T_850 = bits(io.i0_ap.csr_imm, 0, 0) @[exu_alu_ctl.scala 296:50] + node _T_851 = asSInt(io.b_in) @[exu_alu_ctl.scala 296:66] + node csr_write_data = mux(_T_850, _T_851, io.a_in) @[exu_alu_ctl.scala 296:32] + node slt_one = and(io.i0_ap.slt, lt) @[exu_alu_ctl.scala 298:43] + node _T_852 = cat(io.dec_i0_pc_d, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_853 = cat(io.dec_alu.dec_i0_br_immed_d, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_854 = bits(_T_852, 12, 1) @[lib.scala 68:24] + node _T_855 = bits(_T_853, 12, 1) @[lib.scala 68:40] + node _T_856 = add(_T_854, _T_855) @[lib.scala 68:31] + node _T_857 = bits(_T_852, 31, 13) @[lib.scala 69:20] + node _T_858 = add(_T_857, UInt<1>("h01")) @[lib.scala 69:27] + node _T_859 = tail(_T_858, 1) @[lib.scala 69:27] + node _T_860 = bits(_T_852, 31, 13) @[lib.scala 70:20] + node _T_861 = sub(_T_860, UInt<1>("h01")) @[lib.scala 70:27] + node _T_862 = tail(_T_861, 1) @[lib.scala 70:27] + node _T_863 = bits(_T_853, 12, 12) @[lib.scala 71:22] + node _T_864 = bits(_T_856, 12, 12) @[lib.scala 72:39] + node _T_865 = eq(_T_864, UInt<1>("h00")) @[lib.scala 72:28] + node _T_866 = xor(_T_863, _T_865) @[lib.scala 72:26] + node _T_867 = bits(_T_866, 0, 0) @[lib.scala 72:64] + node _T_868 = bits(_T_852, 31, 13) @[lib.scala 72:76] + node _T_869 = eq(_T_863, UInt<1>("h00")) @[lib.scala 73:20] + node _T_870 = bits(_T_856, 12, 12) @[lib.scala 73:39] + node _T_871 = and(_T_869, _T_870) @[lib.scala 73:26] + node _T_872 = bits(_T_871, 0, 0) @[lib.scala 73:64] + node _T_873 = bits(_T_856, 12, 12) @[lib.scala 74:39] + node _T_874 = eq(_T_873, UInt<1>("h00")) @[lib.scala 74:28] + node _T_875 = and(_T_863, _T_874) @[lib.scala 74:26] + node _T_876 = bits(_T_875, 0, 0) @[lib.scala 74:64] + node _T_877 = mux(_T_867, _T_868, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_878 = mux(_T_872, _T_859, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_879 = mux(_T_876, _T_862, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_880 = or(_T_877, _T_878) @[Mux.scala 27:72] + node _T_881 = or(_T_880, _T_879) @[Mux.scala 27:72] + wire _T_882 : UInt<19> @[Mux.scala 27:72] + _T_882 <= _T_881 @[Mux.scala 27:72] + node _T_883 = bits(_T_856, 11, 0) @[lib.scala 74:94] + node _T_884 = cat(_T_882, _T_883) @[Cat.scala 29:58] + node pcout = cat(_T_884, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_885 = bits(lout, 31, 0) @[exu_alu_ctl.scala 304:24] + node _T_886 = bits(sel_shift, 0, 0) @[Bitwise.scala 72:15] + node _T_887 = mux(_T_886, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_888 = bits(sout, 31, 0) @[exu_alu_ctl.scala 304:63] + node _T_889 = and(_T_887, _T_888) @[exu_alu_ctl.scala 304:56] + node _T_890 = or(_T_885, _T_889) @[exu_alu_ctl.scala 304:31] + node _T_891 = bits(sel_adder, 0, 0) @[Bitwise.scala 72:15] + node _T_892 = mux(_T_891, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_893 = bits(aout, 31, 0) @[exu_alu_ctl.scala 305:35] + node _T_894 = and(_T_892, _T_893) @[exu_alu_ctl.scala 305:28] + node _T_895 = or(_T_890, _T_894) @[exu_alu_ctl.scala 304:71] + node _T_896 = bits(sel_pc, 0, 0) @[Bitwise.scala 72:15] + node _T_897 = mux(_T_896, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_898 = and(_T_897, pcout) @[exu_alu_ctl.scala 306:28] + node _T_899 = or(_T_895, _T_898) @[exu_alu_ctl.scala 305:43] + node _T_900 = bits(io.i0_ap.csr_write, 0, 0) @[Bitwise.scala 72:15] + node _T_901 = mux(_T_900, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_902 = bits(csr_write_data, 31, 0) @[exu_alu_ctl.scala 307:51] + node _T_903 = and(_T_901, _T_902) @[exu_alu_ctl.scala 307:34] + node _T_904 = or(_T_899, _T_903) @[exu_alu_ctl.scala 306:41] + node _T_905 = cat(UInt<31>("h00"), slt_one) @[Cat.scala 29:58] + node _T_906 = or(_T_904, _T_905) @[exu_alu_ctl.scala 307:59] + node _T_907 = bits(ap_sbext, 0, 0) @[Bitwise.scala 72:15] + node _T_908 = mux(_T_907, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_909 = bits(sout, 0, 0) @[exu_alu_ctl.scala 309:50] + node _T_910 = cat(UInt<31>("h00"), _T_909) @[Cat.scala 29:58] + node _T_911 = and(_T_908, _T_910) @[exu_alu_ctl.scala 309:28] + node _T_912 = or(_T_906, _T_911) @[exu_alu_ctl.scala 308:56] + node _T_913 = bits(bitmanip_clz_ctz_result, 5, 0) @[exu_alu_ctl.scala 310:44] + node _T_914 = cat(UInt<26>("h00"), _T_913) @[Cat.scala 29:58] + node _T_915 = or(_T_912, _T_914) @[exu_alu_ctl.scala 309:56] + node _T_916 = bits(bitmanip_pcnt_result, 5, 0) @[exu_alu_ctl.scala 311:41] + node _T_917 = cat(UInt<26>("h00"), _T_916) @[Cat.scala 29:58] + node _T_918 = or(_T_915, _T_917) @[exu_alu_ctl.scala 310:52] + node _T_919 = bits(bitmanip_sext_result, 31, 0) @[exu_alu_ctl.scala 312:25] + node _T_920 = or(_T_918, _T_919) @[exu_alu_ctl.scala 311:52] + node _T_921 = bits(bitmanip_minmax_result, 31, 0) @[exu_alu_ctl.scala 313:27] + node _T_922 = or(_T_920, _T_921) @[exu_alu_ctl.scala 312:35] + node _T_923 = bits(bitmanip_pack_result, 31, 0) @[exu_alu_ctl.scala 314:25] + node _T_924 = or(_T_922, _T_923) @[exu_alu_ctl.scala 313:35] + node _T_925 = bits(bitmanip_packu_result, 31, 0) @[exu_alu_ctl.scala 315:26] + node _T_926 = or(_T_924, _T_925) @[exu_alu_ctl.scala 314:35] + node _T_927 = bits(bitmanip_packh_result, 31, 0) @[exu_alu_ctl.scala 316:26] + node _T_928 = or(_T_926, _T_927) @[exu_alu_ctl.scala 315:35] + node _T_929 = bits(bitmanip_rev_result, 31, 0) @[exu_alu_ctl.scala 317:24] + node _T_930 = or(_T_928, _T_929) @[exu_alu_ctl.scala 316:35] + node _T_931 = bits(bitmanip_rev8_result, 31, 0) @[exu_alu_ctl.scala 318:25] + node _T_932 = or(_T_930, _T_931) @[exu_alu_ctl.scala 317:35] + node _T_933 = bits(bitmanip_orc_b_result, 31, 0) @[exu_alu_ctl.scala 319:26] + node _T_934 = or(_T_932, _T_933) @[exu_alu_ctl.scala 318:35] + node _T_935 = bits(bitmanip_orc16_result, 31, 0) @[exu_alu_ctl.scala 320:26] + node _T_936 = or(_T_934, _T_935) @[exu_alu_ctl.scala 319:35] + node _T_937 = bits(bitmanip_sb_data, 31, 0) @[exu_alu_ctl.scala 321:21] + node _T_938 = or(_T_936, _T_937) @[exu_alu_ctl.scala 320:35] + result <= _T_938 @[exu_alu_ctl.scala 304:16] + node _T_939 = or(io.i0_ap.jal, io.pp_in.bits.pcall) @[exu_alu_ctl.scala 330:48] + node _T_940 = or(_T_939, io.pp_in.bits.pja) @[exu_alu_ctl.scala 331:25] + node any_jal = or(_T_940, io.pp_in.bits.pret) @[exu_alu_ctl.scala 332:25] + node _T_941 = and(io.i0_ap.beq, eq) @[exu_alu_ctl.scala 335:43] + node _T_942 = and(io.i0_ap.bne, ne) @[exu_alu_ctl.scala 335:65] + node _T_943 = or(_T_941, _T_942) @[exu_alu_ctl.scala 335:49] + node _T_944 = and(io.i0_ap.blt, lt) @[exu_alu_ctl.scala 335:94] + node _T_945 = or(_T_943, _T_944) @[exu_alu_ctl.scala 335:78] + node _T_946 = and(io.i0_ap.bge, ge) @[exu_alu_ctl.scala 335:116] + node _T_947 = or(_T_945, _T_946) @[exu_alu_ctl.scala 335:100] + node actual_taken = or(_T_947, any_jal) @[exu_alu_ctl.scala 335:122] + node _T_948 = and(io.dec_alu.dec_i0_alu_decode_d, io.i0_ap.predict_nt) @[exu_alu_ctl.scala 340:61] + node _T_949 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 340:85] + node _T_950 = and(_T_948, _T_949) @[exu_alu_ctl.scala 340:83] + node _T_951 = eq(any_jal, UInt<1>("h00")) @[exu_alu_ctl.scala 340:101] + node _T_952 = and(_T_950, _T_951) @[exu_alu_ctl.scala 340:99] + node _T_953 = and(io.dec_alu.dec_i0_alu_decode_d, io.i0_ap.predict_t) @[exu_alu_ctl.scala 340:145] + node _T_954 = and(_T_953, actual_taken) @[exu_alu_ctl.scala 340:167] + node _T_955 = eq(any_jal, UInt<1>("h00")) @[exu_alu_ctl.scala 340:185] + node _T_956 = and(_T_954, _T_955) @[exu_alu_ctl.scala 340:183] + node _T_957 = or(_T_952, _T_956) @[exu_alu_ctl.scala 340:111] + io.pred_correct_out <= _T_957 @[exu_alu_ctl.scala 340:26] + node _T_958 = bits(any_jal, 0, 0) @[exu_alu_ctl.scala 342:37] + node _T_959 = bits(aout, 31, 1) @[exu_alu_ctl.scala 342:49] + node _T_960 = bits(pcout, 31, 1) @[exu_alu_ctl.scala 342:62] + node _T_961 = mux(_T_958, _T_959, _T_960) @[exu_alu_ctl.scala 342:28] + io.flush_path_out <= _T_961 @[exu_alu_ctl.scala 342:22] + node _T_962 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 345:50] + node _T_963 = and(io.i0_ap.predict_t, _T_962) @[exu_alu_ctl.scala 345:48] + node _T_964 = and(io.i0_ap.predict_nt, actual_taken) @[exu_alu_ctl.scala 345:88] + node cond_mispredict = or(_T_963, _T_964) @[exu_alu_ctl.scala 345:65] + node _T_965 = bits(aout, 31, 1) @[exu_alu_ctl.scala 348:80] + node _T_966 = neq(io.pp_in.bits.prett, _T_965) @[exu_alu_ctl.scala 348:72] + node target_mispredict = and(io.pp_in.bits.pret, _T_966) @[exu_alu_ctl.scala 348:49] + node _T_967 = or(io.i0_ap.jal, cond_mispredict) @[exu_alu_ctl.scala 350:45] + node _T_968 = or(_T_967, target_mispredict) @[exu_alu_ctl.scala 350:63] + node _T_969 = and(_T_968, io.dec_alu.dec_i0_alu_decode_d) @[exu_alu_ctl.scala 350:84] + node _T_970 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 350:119] + node _T_971 = and(_T_969, _T_970) @[exu_alu_ctl.scala 350:117] + node _T_972 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu_alu_ctl.scala 350:141] + node _T_973 = and(_T_971, _T_972) @[exu_alu_ctl.scala 350:139] + io.flush_upper_out <= _T_973 @[exu_alu_ctl.scala 350:26] + node _T_974 = or(io.i0_ap.jal, cond_mispredict) @[exu_alu_ctl.scala 351:45] + node _T_975 = or(_T_974, target_mispredict) @[exu_alu_ctl.scala 351:63] + node _T_976 = and(_T_975, io.dec_alu.dec_i0_alu_decode_d) @[exu_alu_ctl.scala 351:84] + node _T_977 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 351:119] + node _T_978 = and(_T_976, _T_977) @[exu_alu_ctl.scala 351:117] + node _T_979 = or(_T_978, io.dec_tlu_flush_lower_r) @[exu_alu_ctl.scala 351:139] + io.flush_final_out <= _T_979 @[exu_alu_ctl.scala 351:26] + wire newhist : UInt<2> + newhist <= UInt<1>("h00") + node _T_980 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 355:40] + node _T_981 = bits(io.pp_in.bits.hist, 0, 0) @[exu_alu_ctl.scala 355:65] + node _T_982 = and(_T_980, _T_981) @[exu_alu_ctl.scala 355:44] + node _T_983 = bits(io.pp_in.bits.hist, 0, 0) @[exu_alu_ctl.scala 355:92] + node _T_984 = eq(_T_983, UInt<1>("h00")) @[exu_alu_ctl.scala 355:73] + node _T_985 = and(_T_984, actual_taken) @[exu_alu_ctl.scala 355:96] + node _T_986 = or(_T_982, _T_985) @[exu_alu_ctl.scala 355:70] + node _T_987 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 356:25] + node _T_988 = eq(_T_987, UInt<1>("h00")) @[exu_alu_ctl.scala 356:6] + node _T_989 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 356:31] + node _T_990 = and(_T_988, _T_989) @[exu_alu_ctl.scala 356:29] + node _T_991 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 356:68] + node _T_992 = and(_T_991, actual_taken) @[exu_alu_ctl.scala 356:72] + node _T_993 = or(_T_990, _T_992) @[exu_alu_ctl.scala 356:47] + node _T_994 = cat(_T_986, _T_993) @[Cat.scala 29:58] + newhist <= _T_994 @[exu_alu_ctl.scala 355:14] + io.predict_p_out.bits.prett <= io.pp_in.bits.prett @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.pret <= io.pp_in.bits.pret @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.way <= io.pp_in.bits.way @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.pja <= io.pp_in.bits.pja @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.pcall <= io.pp_in.bits.pcall @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.br_start_error <= io.pp_in.bits.br_start_error @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.br_error <= io.pp_in.bits.br_error @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.toffset <= io.pp_in.bits.toffset @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.hist <= io.pp_in.bits.hist @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.pc4 <= io.pp_in.bits.pc4 @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.boffset <= io.pp_in.bits.boffset @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.ataken <= io.pp_in.bits.ataken @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.misp <= io.pp_in.bits.misp @[exu_alu_ctl.scala 358:30] + io.predict_p_out.valid <= io.pp_in.valid @[exu_alu_ctl.scala 358:30] + node _T_995 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 359:38] + node _T_996 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu_alu_ctl.scala 359:58] + node _T_997 = and(_T_995, _T_996) @[exu_alu_ctl.scala 359:56] + node _T_998 = or(cond_mispredict, target_mispredict) @[exu_alu_ctl.scala 359:103] + node _T_999 = and(_T_997, _T_998) @[exu_alu_ctl.scala 359:84] + io.predict_p_out.bits.misp <= _T_999 @[exu_alu_ctl.scala 359:35] + io.predict_p_out.bits.ataken <= actual_taken @[exu_alu_ctl.scala 360:35] + io.predict_p_out.bits.hist <= newhist @[exu_alu_ctl.scala 361:35] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_12 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_13 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module exu_mul_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, gorc : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip rs1_in : UInt<32>, flip rs2_in : UInt<32>, result_x : UInt<32>} + + wire rs1_ext_in : SInt<33> + rs1_ext_in <= asSInt(UInt<1>("h00")) + wire rs2_ext_in : SInt<33> + rs2_ext_in <= asSInt(UInt<1>("h00")) + wire rs1_x : SInt<33> + rs1_x <= asSInt(UInt<1>("h00")) + wire rs2_x : SInt<33> + rs2_x <= asSInt(UInt<1>("h00")) + wire prod_x : SInt<66> + prod_x <= asSInt(UInt<1>("h00")) + wire low_x : UInt<1> + low_x <= UInt<1>("h00") + wire ap_bext : UInt<1> + ap_bext <= UInt<1>("h00") + wire ap_bdep : UInt<1> + ap_bdep <= UInt<1>("h00") + wire ap_clmul : UInt<1> + ap_clmul <= UInt<1>("h00") + wire ap_clmulh : UInt<1> + ap_clmulh <= UInt<1>("h00") + wire ap_clmulr : UInt<1> + ap_clmulr <= UInt<1>("h00") + wire ap_grev : UInt<1> + ap_grev <= UInt<1>("h00") + wire ap_gorc : UInt<1> + ap_gorc <= UInt<1>("h00") + wire ap_shfl : UInt<1> + ap_shfl <= UInt<1>("h00") + wire ap_unshfl : UInt<1> + ap_unshfl <= UInt<1>("h00") + wire ap_crc32_b : UInt<1> + ap_crc32_b <= UInt<1>("h00") + wire ap_crc32_h : UInt<1> + ap_crc32_h <= UInt<1>("h00") + wire ap_crc32_w : UInt<1> + ap_crc32_w <= UInt<1>("h00") + wire ap_crc32c_b : UInt<1> + ap_crc32c_b <= UInt<1>("h00") + wire ap_crc32c_h : UInt<1> + ap_crc32c_h <= UInt<1>("h00") + wire ap_crc32c_w : UInt<1> + ap_crc32c_w <= UInt<1>("h00") + wire ap_bfp : UInt<1> + ap_bfp <= UInt<1>("h00") + ap_bext <= UInt<1>("h00") @[exu_mul_ctl.scala 67:21] + ap_bdep <= UInt<1>("h00") @[exu_mul_ctl.scala 68:21] + ap_clmul <= UInt<1>("h00") @[exu_mul_ctl.scala 77:21] + ap_clmulh <= UInt<1>("h00") @[exu_mul_ctl.scala 78:21] + ap_clmulr <= UInt<1>("h00") @[exu_mul_ctl.scala 79:21] + ap_grev <= UInt<1>("h00") @[exu_mul_ctl.scala 89:21] + ap_gorc <= UInt<1>("h00") @[exu_mul_ctl.scala 90:21] + ap_shfl <= UInt<1>("h00") @[exu_mul_ctl.scala 91:21] + ap_unshfl <= UInt<1>("h00") @[exu_mul_ctl.scala 92:21] + ap_crc32_b <= UInt<1>("h00") @[exu_mul_ctl.scala 104:21] + ap_crc32_h <= UInt<1>("h00") @[exu_mul_ctl.scala 105:21] + ap_crc32_w <= UInt<1>("h00") @[exu_mul_ctl.scala 106:21] + ap_crc32c_b <= UInt<1>("h00") @[exu_mul_ctl.scala 107:21] + ap_crc32c_h <= UInt<1>("h00") @[exu_mul_ctl.scala 108:21] + ap_crc32c_w <= UInt<1>("h00") @[exu_mul_ctl.scala 109:21] + ap_bfp <= UInt<1>("h00") @[exu_mul_ctl.scala 116:21] + node _T = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 123:55] + node _T_1 = and(io.mul_p.bits.rs1_sign, _T) @[exu_mul_ctl.scala 123:44] + node _T_2 = cat(_T_1, io.rs1_in) @[Cat.scala 29:58] + node _T_3 = asSInt(_T_2) @[exu_mul_ctl.scala 123:71] + rs1_ext_in <= _T_3 @[exu_mul_ctl.scala 123:14] + node _T_4 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 124:55] + node _T_5 = and(io.mul_p.bits.rs2_sign, _T_4) @[exu_mul_ctl.scala 124:44] + node _T_6 = cat(_T_5, io.rs2_in) @[Cat.scala 29:58] + node _T_7 = asSInt(_T_6) @[exu_mul_ctl.scala 124:71] + rs2_ext_in <= _T_7 @[exu_mul_ctl.scala 124:14] + node _T_8 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 126:52] + inst rvclkhdr of rvclkhdr_9 @[lib.scala 399:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 401:18] + rvclkhdr.io.en <= _T_8 @[lib.scala 402:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_9 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8 : @[Reg.scala 28:19] + _T_9 <= io.mul_p.bits.low @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + low_x <= _T_9 @[exu_mul_ctl.scala 126:9] + node _T_10 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 127:44] + inst rvclkhdr_1 of rvclkhdr_10 @[lib.scala 422:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 424:18] + rvclkhdr_1.io.en <= _T_10 @[lib.scala 425:17] + rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 426:24] + reg _T_11 : SInt, rvclkhdr_1.io.l1clk with : (reset => (reset, asSInt(UInt<1>("h00")))) @[lib.scala 428:16] + _T_11 <= rs1_ext_in @[lib.scala 428:16] + rs1_x <= _T_11 @[exu_mul_ctl.scala 127:9] + node _T_12 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 128:45] + inst rvclkhdr_2 of rvclkhdr_11 @[lib.scala 422:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 424:18] + rvclkhdr_2.io.en <= _T_12 @[lib.scala 425:17] + rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 426:24] + reg _T_13 : SInt, rvclkhdr_2.io.l1clk with : (reset => (reset, asSInt(UInt<1>("h00")))) @[lib.scala 428:16] + _T_13 <= rs2_ext_in @[lib.scala 428:16] + rs2_x <= _T_13 @[exu_mul_ctl.scala 128:9] + node _T_14 = mul(rs1_x, rs2_x) @[exu_mul_ctl.scala 130:20] + prod_x <= _T_14 @[exu_mul_ctl.scala 130:10] + node _T_15 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_16 = eq(_T_15, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_17 = bits(_T_16, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_19 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21 = add(_T_19, _T_20) @[exu_mul_ctl.scala 137:112] + node _T_22 = eq(_T_21, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_23 = bits(_T_22, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_25 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28 = add(_T_25, _T_26) @[exu_mul_ctl.scala 137:112] + node _T_29 = add(_T_28, _T_27) @[exu_mul_ctl.scala 137:112] + node _T_30 = eq(_T_29, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_31 = bits(_T_30, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_33 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37 = add(_T_33, _T_34) @[exu_mul_ctl.scala 137:112] + node _T_38 = add(_T_37, _T_35) @[exu_mul_ctl.scala 137:112] + node _T_39 = add(_T_38, _T_36) @[exu_mul_ctl.scala 137:112] + node _T_40 = eq(_T_39, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_41 = bits(_T_40, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_42 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_43 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_44 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_45 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_46 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_47 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_48 = add(_T_43, _T_44) @[exu_mul_ctl.scala 137:112] + node _T_49 = add(_T_48, _T_45) @[exu_mul_ctl.scala 137:112] + node _T_50 = add(_T_49, _T_46) @[exu_mul_ctl.scala 137:112] + node _T_51 = add(_T_50, _T_47) @[exu_mul_ctl.scala 137:112] + node _T_52 = eq(_T_51, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_53 = bits(_T_52, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_54 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_55 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_56 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_57 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_58 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_59 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_60 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_61 = add(_T_55, _T_56) @[exu_mul_ctl.scala 137:112] + node _T_62 = add(_T_61, _T_57) @[exu_mul_ctl.scala 137:112] + node _T_63 = add(_T_62, _T_58) @[exu_mul_ctl.scala 137:112] + node _T_64 = add(_T_63, _T_59) @[exu_mul_ctl.scala 137:112] + node _T_65 = add(_T_64, _T_60) @[exu_mul_ctl.scala 137:112] + node _T_66 = eq(_T_65, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_67 = bits(_T_66, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_68 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_69 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_70 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_71 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_72 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_73 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_74 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_75 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_76 = add(_T_69, _T_70) @[exu_mul_ctl.scala 137:112] + node _T_77 = add(_T_76, _T_71) @[exu_mul_ctl.scala 137:112] + node _T_78 = add(_T_77, _T_72) @[exu_mul_ctl.scala 137:112] + node _T_79 = add(_T_78, _T_73) @[exu_mul_ctl.scala 137:112] + node _T_80 = add(_T_79, _T_74) @[exu_mul_ctl.scala 137:112] + node _T_81 = add(_T_80, _T_75) @[exu_mul_ctl.scala 137:112] + node _T_82 = eq(_T_81, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_83 = bits(_T_82, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_84 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_85 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_86 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_87 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_88 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_89 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_90 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_91 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_92 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_93 = add(_T_85, _T_86) @[exu_mul_ctl.scala 137:112] + node _T_94 = add(_T_93, _T_87) @[exu_mul_ctl.scala 137:112] + node _T_95 = add(_T_94, _T_88) @[exu_mul_ctl.scala 137:112] + node _T_96 = add(_T_95, _T_89) @[exu_mul_ctl.scala 137:112] + node _T_97 = add(_T_96, _T_90) @[exu_mul_ctl.scala 137:112] + node _T_98 = add(_T_97, _T_91) @[exu_mul_ctl.scala 137:112] + node _T_99 = add(_T_98, _T_92) @[exu_mul_ctl.scala 137:112] + node _T_100 = eq(_T_99, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_101 = bits(_T_100, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_102 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_103 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_104 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_105 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_106 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_107 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_108 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_109 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_110 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_111 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_112 = add(_T_103, _T_104) @[exu_mul_ctl.scala 137:112] + node _T_113 = add(_T_112, _T_105) @[exu_mul_ctl.scala 137:112] + node _T_114 = add(_T_113, _T_106) @[exu_mul_ctl.scala 137:112] + node _T_115 = add(_T_114, _T_107) @[exu_mul_ctl.scala 137:112] + node _T_116 = add(_T_115, _T_108) @[exu_mul_ctl.scala 137:112] + node _T_117 = add(_T_116, _T_109) @[exu_mul_ctl.scala 137:112] + node _T_118 = add(_T_117, _T_110) @[exu_mul_ctl.scala 137:112] + node _T_119 = add(_T_118, _T_111) @[exu_mul_ctl.scala 137:112] + node _T_120 = eq(_T_119, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_121 = bits(_T_120, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_122 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_123 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_124 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_125 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_126 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_127 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_128 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_129 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_130 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_131 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_132 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_133 = add(_T_123, _T_124) @[exu_mul_ctl.scala 137:112] + node _T_134 = add(_T_133, _T_125) @[exu_mul_ctl.scala 137:112] + node _T_135 = add(_T_134, _T_126) @[exu_mul_ctl.scala 137:112] + node _T_136 = add(_T_135, _T_127) @[exu_mul_ctl.scala 137:112] + node _T_137 = add(_T_136, _T_128) @[exu_mul_ctl.scala 137:112] + node _T_138 = add(_T_137, _T_129) @[exu_mul_ctl.scala 137:112] + node _T_139 = add(_T_138, _T_130) @[exu_mul_ctl.scala 137:112] + node _T_140 = add(_T_139, _T_131) @[exu_mul_ctl.scala 137:112] + node _T_141 = add(_T_140, _T_132) @[exu_mul_ctl.scala 137:112] + node _T_142 = eq(_T_141, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_143 = bits(_T_142, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_144 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_145 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_146 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_147 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_148 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_149 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_150 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_151 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_152 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_153 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_154 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_155 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_156 = add(_T_145, _T_146) @[exu_mul_ctl.scala 137:112] + node _T_157 = add(_T_156, _T_147) @[exu_mul_ctl.scala 137:112] + node _T_158 = add(_T_157, _T_148) @[exu_mul_ctl.scala 137:112] + node _T_159 = add(_T_158, _T_149) @[exu_mul_ctl.scala 137:112] + node _T_160 = add(_T_159, _T_150) @[exu_mul_ctl.scala 137:112] + node _T_161 = add(_T_160, _T_151) @[exu_mul_ctl.scala 137:112] + node _T_162 = add(_T_161, _T_152) @[exu_mul_ctl.scala 137:112] + node _T_163 = add(_T_162, _T_153) @[exu_mul_ctl.scala 137:112] + node _T_164 = add(_T_163, _T_154) @[exu_mul_ctl.scala 137:112] + node _T_165 = add(_T_164, _T_155) @[exu_mul_ctl.scala 137:112] + node _T_166 = eq(_T_165, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_167 = bits(_T_166, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_168 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_169 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_170 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_171 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_172 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_173 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_174 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_175 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_176 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_177 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_178 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_179 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_180 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_181 = add(_T_169, _T_170) @[exu_mul_ctl.scala 137:112] + node _T_182 = add(_T_181, _T_171) @[exu_mul_ctl.scala 137:112] + node _T_183 = add(_T_182, _T_172) @[exu_mul_ctl.scala 137:112] + node _T_184 = add(_T_183, _T_173) @[exu_mul_ctl.scala 137:112] + node _T_185 = add(_T_184, _T_174) @[exu_mul_ctl.scala 137:112] + node _T_186 = add(_T_185, _T_175) @[exu_mul_ctl.scala 137:112] + node _T_187 = add(_T_186, _T_176) @[exu_mul_ctl.scala 137:112] + node _T_188 = add(_T_187, _T_177) @[exu_mul_ctl.scala 137:112] + node _T_189 = add(_T_188, _T_178) @[exu_mul_ctl.scala 137:112] + node _T_190 = add(_T_189, _T_179) @[exu_mul_ctl.scala 137:112] + node _T_191 = add(_T_190, _T_180) @[exu_mul_ctl.scala 137:112] + node _T_192 = eq(_T_191, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_193 = bits(_T_192, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_194 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_195 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_196 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_197 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_198 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_199 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_200 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_201 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_202 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_203 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_204 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_205 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_206 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_207 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_208 = add(_T_195, _T_196) @[exu_mul_ctl.scala 137:112] + node _T_209 = add(_T_208, _T_197) @[exu_mul_ctl.scala 137:112] + node _T_210 = add(_T_209, _T_198) @[exu_mul_ctl.scala 137:112] + node _T_211 = add(_T_210, _T_199) @[exu_mul_ctl.scala 137:112] + node _T_212 = add(_T_211, _T_200) @[exu_mul_ctl.scala 137:112] + node _T_213 = add(_T_212, _T_201) @[exu_mul_ctl.scala 137:112] + node _T_214 = add(_T_213, _T_202) @[exu_mul_ctl.scala 137:112] + node _T_215 = add(_T_214, _T_203) @[exu_mul_ctl.scala 137:112] + node _T_216 = add(_T_215, _T_204) @[exu_mul_ctl.scala 137:112] + node _T_217 = add(_T_216, _T_205) @[exu_mul_ctl.scala 137:112] + node _T_218 = add(_T_217, _T_206) @[exu_mul_ctl.scala 137:112] + node _T_219 = add(_T_218, _T_207) @[exu_mul_ctl.scala 137:112] + node _T_220 = eq(_T_219, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_221 = bits(_T_220, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_222 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_223 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_224 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_225 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_226 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_227 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_228 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_229 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_230 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_231 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_232 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_233 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_234 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_235 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_236 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_237 = add(_T_223, _T_224) @[exu_mul_ctl.scala 137:112] + node _T_238 = add(_T_237, _T_225) @[exu_mul_ctl.scala 137:112] + node _T_239 = add(_T_238, _T_226) @[exu_mul_ctl.scala 137:112] + node _T_240 = add(_T_239, _T_227) @[exu_mul_ctl.scala 137:112] + node _T_241 = add(_T_240, _T_228) @[exu_mul_ctl.scala 137:112] + node _T_242 = add(_T_241, _T_229) @[exu_mul_ctl.scala 137:112] + node _T_243 = add(_T_242, _T_230) @[exu_mul_ctl.scala 137:112] + node _T_244 = add(_T_243, _T_231) @[exu_mul_ctl.scala 137:112] + node _T_245 = add(_T_244, _T_232) @[exu_mul_ctl.scala 137:112] + node _T_246 = add(_T_245, _T_233) @[exu_mul_ctl.scala 137:112] + node _T_247 = add(_T_246, _T_234) @[exu_mul_ctl.scala 137:112] + node _T_248 = add(_T_247, _T_235) @[exu_mul_ctl.scala 137:112] + node _T_249 = add(_T_248, _T_236) @[exu_mul_ctl.scala 137:112] + node _T_250 = eq(_T_249, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_251 = bits(_T_250, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_252 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_253 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_254 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_255 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_256 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_257 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_258 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_259 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_260 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_261 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_262 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_263 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_264 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_265 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_266 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_267 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_268 = add(_T_253, _T_254) @[exu_mul_ctl.scala 137:112] + node _T_269 = add(_T_268, _T_255) @[exu_mul_ctl.scala 137:112] + node _T_270 = add(_T_269, _T_256) @[exu_mul_ctl.scala 137:112] + node _T_271 = add(_T_270, _T_257) @[exu_mul_ctl.scala 137:112] + node _T_272 = add(_T_271, _T_258) @[exu_mul_ctl.scala 137:112] + node _T_273 = add(_T_272, _T_259) @[exu_mul_ctl.scala 137:112] + node _T_274 = add(_T_273, _T_260) @[exu_mul_ctl.scala 137:112] + node _T_275 = add(_T_274, _T_261) @[exu_mul_ctl.scala 137:112] + node _T_276 = add(_T_275, _T_262) @[exu_mul_ctl.scala 137:112] + node _T_277 = add(_T_276, _T_263) @[exu_mul_ctl.scala 137:112] + node _T_278 = add(_T_277, _T_264) @[exu_mul_ctl.scala 137:112] + node _T_279 = add(_T_278, _T_265) @[exu_mul_ctl.scala 137:112] + node _T_280 = add(_T_279, _T_266) @[exu_mul_ctl.scala 137:112] + node _T_281 = add(_T_280, _T_267) @[exu_mul_ctl.scala 137:112] + node _T_282 = eq(_T_281, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_283 = bits(_T_282, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_284 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_285 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_286 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_287 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_288 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_289 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_290 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_291 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_292 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_293 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_294 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_295 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_296 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_297 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_298 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_299 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_300 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_301 = add(_T_285, _T_286) @[exu_mul_ctl.scala 137:112] + node _T_302 = add(_T_301, _T_287) @[exu_mul_ctl.scala 137:112] + node _T_303 = add(_T_302, _T_288) @[exu_mul_ctl.scala 137:112] + node _T_304 = add(_T_303, _T_289) @[exu_mul_ctl.scala 137:112] + node _T_305 = add(_T_304, _T_290) @[exu_mul_ctl.scala 137:112] + node _T_306 = add(_T_305, _T_291) @[exu_mul_ctl.scala 137:112] + node _T_307 = add(_T_306, _T_292) @[exu_mul_ctl.scala 137:112] + node _T_308 = add(_T_307, _T_293) @[exu_mul_ctl.scala 137:112] + node _T_309 = add(_T_308, _T_294) @[exu_mul_ctl.scala 137:112] + node _T_310 = add(_T_309, _T_295) @[exu_mul_ctl.scala 137:112] + node _T_311 = add(_T_310, _T_296) @[exu_mul_ctl.scala 137:112] + node _T_312 = add(_T_311, _T_297) @[exu_mul_ctl.scala 137:112] + node _T_313 = add(_T_312, _T_298) @[exu_mul_ctl.scala 137:112] + node _T_314 = add(_T_313, _T_299) @[exu_mul_ctl.scala 137:112] + node _T_315 = add(_T_314, _T_300) @[exu_mul_ctl.scala 137:112] + node _T_316 = eq(_T_315, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_317 = bits(_T_316, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_318 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_319 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_320 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_321 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_322 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_323 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_324 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_325 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_326 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_327 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_328 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_329 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_330 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_331 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_332 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_333 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_334 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_335 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_336 = add(_T_319, _T_320) @[exu_mul_ctl.scala 137:112] + node _T_337 = add(_T_336, _T_321) @[exu_mul_ctl.scala 137:112] + node _T_338 = add(_T_337, _T_322) @[exu_mul_ctl.scala 137:112] + node _T_339 = add(_T_338, _T_323) @[exu_mul_ctl.scala 137:112] + node _T_340 = add(_T_339, _T_324) @[exu_mul_ctl.scala 137:112] + node _T_341 = add(_T_340, _T_325) @[exu_mul_ctl.scala 137:112] + node _T_342 = add(_T_341, _T_326) @[exu_mul_ctl.scala 137:112] + node _T_343 = add(_T_342, _T_327) @[exu_mul_ctl.scala 137:112] + node _T_344 = add(_T_343, _T_328) @[exu_mul_ctl.scala 137:112] + node _T_345 = add(_T_344, _T_329) @[exu_mul_ctl.scala 137:112] + node _T_346 = add(_T_345, _T_330) @[exu_mul_ctl.scala 137:112] + node _T_347 = add(_T_346, _T_331) @[exu_mul_ctl.scala 137:112] + node _T_348 = add(_T_347, _T_332) @[exu_mul_ctl.scala 137:112] + node _T_349 = add(_T_348, _T_333) @[exu_mul_ctl.scala 137:112] + node _T_350 = add(_T_349, _T_334) @[exu_mul_ctl.scala 137:112] + node _T_351 = add(_T_350, _T_335) @[exu_mul_ctl.scala 137:112] + node _T_352 = eq(_T_351, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_353 = bits(_T_352, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_354 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_355 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_356 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_357 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_358 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_359 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_360 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_361 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_362 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_363 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_364 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_365 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_366 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_367 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_368 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_369 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_370 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_371 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_372 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_373 = add(_T_355, _T_356) @[exu_mul_ctl.scala 137:112] + node _T_374 = add(_T_373, _T_357) @[exu_mul_ctl.scala 137:112] + node _T_375 = add(_T_374, _T_358) @[exu_mul_ctl.scala 137:112] + node _T_376 = add(_T_375, _T_359) @[exu_mul_ctl.scala 137:112] + node _T_377 = add(_T_376, _T_360) @[exu_mul_ctl.scala 137:112] + node _T_378 = add(_T_377, _T_361) @[exu_mul_ctl.scala 137:112] + node _T_379 = add(_T_378, _T_362) @[exu_mul_ctl.scala 137:112] + node _T_380 = add(_T_379, _T_363) @[exu_mul_ctl.scala 137:112] + node _T_381 = add(_T_380, _T_364) @[exu_mul_ctl.scala 137:112] + node _T_382 = add(_T_381, _T_365) @[exu_mul_ctl.scala 137:112] + node _T_383 = add(_T_382, _T_366) @[exu_mul_ctl.scala 137:112] + node _T_384 = add(_T_383, _T_367) @[exu_mul_ctl.scala 137:112] + node _T_385 = add(_T_384, _T_368) @[exu_mul_ctl.scala 137:112] + node _T_386 = add(_T_385, _T_369) @[exu_mul_ctl.scala 137:112] + node _T_387 = add(_T_386, _T_370) @[exu_mul_ctl.scala 137:112] + node _T_388 = add(_T_387, _T_371) @[exu_mul_ctl.scala 137:112] + node _T_389 = add(_T_388, _T_372) @[exu_mul_ctl.scala 137:112] + node _T_390 = eq(_T_389, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_391 = bits(_T_390, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_392 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_393 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_394 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_395 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_396 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_397 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_398 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_399 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_400 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_401 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_402 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_403 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_404 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_405 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_406 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_407 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_408 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_409 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_410 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_411 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_412 = add(_T_393, _T_394) @[exu_mul_ctl.scala 137:112] + node _T_413 = add(_T_412, _T_395) @[exu_mul_ctl.scala 137:112] + node _T_414 = add(_T_413, _T_396) @[exu_mul_ctl.scala 137:112] + node _T_415 = add(_T_414, _T_397) @[exu_mul_ctl.scala 137:112] + node _T_416 = add(_T_415, _T_398) @[exu_mul_ctl.scala 137:112] + node _T_417 = add(_T_416, _T_399) @[exu_mul_ctl.scala 137:112] + node _T_418 = add(_T_417, _T_400) @[exu_mul_ctl.scala 137:112] + node _T_419 = add(_T_418, _T_401) @[exu_mul_ctl.scala 137:112] + node _T_420 = add(_T_419, _T_402) @[exu_mul_ctl.scala 137:112] + node _T_421 = add(_T_420, _T_403) @[exu_mul_ctl.scala 137:112] + node _T_422 = add(_T_421, _T_404) @[exu_mul_ctl.scala 137:112] + node _T_423 = add(_T_422, _T_405) @[exu_mul_ctl.scala 137:112] + node _T_424 = add(_T_423, _T_406) @[exu_mul_ctl.scala 137:112] + node _T_425 = add(_T_424, _T_407) @[exu_mul_ctl.scala 137:112] + node _T_426 = add(_T_425, _T_408) @[exu_mul_ctl.scala 137:112] + node _T_427 = add(_T_426, _T_409) @[exu_mul_ctl.scala 137:112] + node _T_428 = add(_T_427, _T_410) @[exu_mul_ctl.scala 137:112] + node _T_429 = add(_T_428, _T_411) @[exu_mul_ctl.scala 137:112] + node _T_430 = eq(_T_429, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_431 = bits(_T_430, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_432 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_433 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_434 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_435 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_436 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_437 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_438 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_439 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_440 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_441 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_442 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_443 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_444 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_445 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_446 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_447 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_448 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_449 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_450 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_451 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_452 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_453 = add(_T_433, _T_434) @[exu_mul_ctl.scala 137:112] + node _T_454 = add(_T_453, _T_435) @[exu_mul_ctl.scala 137:112] + node _T_455 = add(_T_454, _T_436) @[exu_mul_ctl.scala 137:112] + node _T_456 = add(_T_455, _T_437) @[exu_mul_ctl.scala 137:112] + node _T_457 = add(_T_456, _T_438) @[exu_mul_ctl.scala 137:112] + node _T_458 = add(_T_457, _T_439) @[exu_mul_ctl.scala 137:112] + node _T_459 = add(_T_458, _T_440) @[exu_mul_ctl.scala 137:112] + node _T_460 = add(_T_459, _T_441) @[exu_mul_ctl.scala 137:112] + node _T_461 = add(_T_460, _T_442) @[exu_mul_ctl.scala 137:112] + node _T_462 = add(_T_461, _T_443) @[exu_mul_ctl.scala 137:112] + node _T_463 = add(_T_462, _T_444) @[exu_mul_ctl.scala 137:112] + node _T_464 = add(_T_463, _T_445) @[exu_mul_ctl.scala 137:112] + node _T_465 = add(_T_464, _T_446) @[exu_mul_ctl.scala 137:112] + node _T_466 = add(_T_465, _T_447) @[exu_mul_ctl.scala 137:112] + node _T_467 = add(_T_466, _T_448) @[exu_mul_ctl.scala 137:112] + node _T_468 = add(_T_467, _T_449) @[exu_mul_ctl.scala 137:112] + node _T_469 = add(_T_468, _T_450) @[exu_mul_ctl.scala 137:112] + node _T_470 = add(_T_469, _T_451) @[exu_mul_ctl.scala 137:112] + node _T_471 = add(_T_470, _T_452) @[exu_mul_ctl.scala 137:112] + node _T_472 = eq(_T_471, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_473 = bits(_T_472, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_474 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_475 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_476 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_477 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_478 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_479 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_480 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_481 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_482 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_483 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_484 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_485 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_486 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_487 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_488 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_489 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_490 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_491 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_492 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_493 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_494 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_495 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_496 = add(_T_475, _T_476) @[exu_mul_ctl.scala 137:112] + node _T_497 = add(_T_496, _T_477) @[exu_mul_ctl.scala 137:112] + node _T_498 = add(_T_497, _T_478) @[exu_mul_ctl.scala 137:112] + node _T_499 = add(_T_498, _T_479) @[exu_mul_ctl.scala 137:112] + node _T_500 = add(_T_499, _T_480) @[exu_mul_ctl.scala 137:112] + node _T_501 = add(_T_500, _T_481) @[exu_mul_ctl.scala 137:112] + node _T_502 = add(_T_501, _T_482) @[exu_mul_ctl.scala 137:112] + node _T_503 = add(_T_502, _T_483) @[exu_mul_ctl.scala 137:112] + node _T_504 = add(_T_503, _T_484) @[exu_mul_ctl.scala 137:112] + node _T_505 = add(_T_504, _T_485) @[exu_mul_ctl.scala 137:112] + node _T_506 = add(_T_505, _T_486) @[exu_mul_ctl.scala 137:112] + node _T_507 = add(_T_506, _T_487) @[exu_mul_ctl.scala 137:112] + node _T_508 = add(_T_507, _T_488) @[exu_mul_ctl.scala 137:112] + node _T_509 = add(_T_508, _T_489) @[exu_mul_ctl.scala 137:112] + node _T_510 = add(_T_509, _T_490) @[exu_mul_ctl.scala 137:112] + node _T_511 = add(_T_510, _T_491) @[exu_mul_ctl.scala 137:112] + node _T_512 = add(_T_511, _T_492) @[exu_mul_ctl.scala 137:112] + node _T_513 = add(_T_512, _T_493) @[exu_mul_ctl.scala 137:112] + node _T_514 = add(_T_513, _T_494) @[exu_mul_ctl.scala 137:112] + node _T_515 = add(_T_514, _T_495) @[exu_mul_ctl.scala 137:112] + node _T_516 = eq(_T_515, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_517 = bits(_T_516, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_518 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_519 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_520 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_521 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_522 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_523 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_524 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_525 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_526 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_527 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_528 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_529 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_530 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_531 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_532 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_533 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_534 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_535 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_536 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_537 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_538 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_539 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_540 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_541 = add(_T_519, _T_520) @[exu_mul_ctl.scala 137:112] + node _T_542 = add(_T_541, _T_521) @[exu_mul_ctl.scala 137:112] + node _T_543 = add(_T_542, _T_522) @[exu_mul_ctl.scala 137:112] + node _T_544 = add(_T_543, _T_523) @[exu_mul_ctl.scala 137:112] + node _T_545 = add(_T_544, _T_524) @[exu_mul_ctl.scala 137:112] + node _T_546 = add(_T_545, _T_525) @[exu_mul_ctl.scala 137:112] + node _T_547 = add(_T_546, _T_526) @[exu_mul_ctl.scala 137:112] + node _T_548 = add(_T_547, _T_527) @[exu_mul_ctl.scala 137:112] + node _T_549 = add(_T_548, _T_528) @[exu_mul_ctl.scala 137:112] + node _T_550 = add(_T_549, _T_529) @[exu_mul_ctl.scala 137:112] + node _T_551 = add(_T_550, _T_530) @[exu_mul_ctl.scala 137:112] + node _T_552 = add(_T_551, _T_531) @[exu_mul_ctl.scala 137:112] + node _T_553 = add(_T_552, _T_532) @[exu_mul_ctl.scala 137:112] + node _T_554 = add(_T_553, _T_533) @[exu_mul_ctl.scala 137:112] + node _T_555 = add(_T_554, _T_534) @[exu_mul_ctl.scala 137:112] + node _T_556 = add(_T_555, _T_535) @[exu_mul_ctl.scala 137:112] + node _T_557 = add(_T_556, _T_536) @[exu_mul_ctl.scala 137:112] + node _T_558 = add(_T_557, _T_537) @[exu_mul_ctl.scala 137:112] + node _T_559 = add(_T_558, _T_538) @[exu_mul_ctl.scala 137:112] + node _T_560 = add(_T_559, _T_539) @[exu_mul_ctl.scala 137:112] + node _T_561 = add(_T_560, _T_540) @[exu_mul_ctl.scala 137:112] + node _T_562 = eq(_T_561, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_563 = bits(_T_562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_564 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_572 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_573 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_574 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_575 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_576 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_577 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_578 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_579 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_580 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_581 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_582 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_583 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_584 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_585 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_586 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_587 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_588 = add(_T_565, _T_566) @[exu_mul_ctl.scala 137:112] + node _T_589 = add(_T_588, _T_567) @[exu_mul_ctl.scala 137:112] + node _T_590 = add(_T_589, _T_568) @[exu_mul_ctl.scala 137:112] + node _T_591 = add(_T_590, _T_569) @[exu_mul_ctl.scala 137:112] + node _T_592 = add(_T_591, _T_570) @[exu_mul_ctl.scala 137:112] + node _T_593 = add(_T_592, _T_571) @[exu_mul_ctl.scala 137:112] + node _T_594 = add(_T_593, _T_572) @[exu_mul_ctl.scala 137:112] + node _T_595 = add(_T_594, _T_573) @[exu_mul_ctl.scala 137:112] + node _T_596 = add(_T_595, _T_574) @[exu_mul_ctl.scala 137:112] + node _T_597 = add(_T_596, _T_575) @[exu_mul_ctl.scala 137:112] + node _T_598 = add(_T_597, _T_576) @[exu_mul_ctl.scala 137:112] + node _T_599 = add(_T_598, _T_577) @[exu_mul_ctl.scala 137:112] + node _T_600 = add(_T_599, _T_578) @[exu_mul_ctl.scala 137:112] + node _T_601 = add(_T_600, _T_579) @[exu_mul_ctl.scala 137:112] + node _T_602 = add(_T_601, _T_580) @[exu_mul_ctl.scala 137:112] + node _T_603 = add(_T_602, _T_581) @[exu_mul_ctl.scala 137:112] + node _T_604 = add(_T_603, _T_582) @[exu_mul_ctl.scala 137:112] + node _T_605 = add(_T_604, _T_583) @[exu_mul_ctl.scala 137:112] + node _T_606 = add(_T_605, _T_584) @[exu_mul_ctl.scala 137:112] + node _T_607 = add(_T_606, _T_585) @[exu_mul_ctl.scala 137:112] + node _T_608 = add(_T_607, _T_586) @[exu_mul_ctl.scala 137:112] + node _T_609 = add(_T_608, _T_587) @[exu_mul_ctl.scala 137:112] + node _T_610 = eq(_T_609, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_611 = bits(_T_610, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_612 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_613 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_614 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_615 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_616 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_617 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_618 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_619 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_620 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_621 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_622 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_623 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_624 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_625 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_626 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_627 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_628 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_629 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_630 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_631 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_632 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_633 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_634 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_635 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_636 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_637 = add(_T_613, _T_614) @[exu_mul_ctl.scala 137:112] + node _T_638 = add(_T_637, _T_615) @[exu_mul_ctl.scala 137:112] + node _T_639 = add(_T_638, _T_616) @[exu_mul_ctl.scala 137:112] + node _T_640 = add(_T_639, _T_617) @[exu_mul_ctl.scala 137:112] + node _T_641 = add(_T_640, _T_618) @[exu_mul_ctl.scala 137:112] + node _T_642 = add(_T_641, _T_619) @[exu_mul_ctl.scala 137:112] + node _T_643 = add(_T_642, _T_620) @[exu_mul_ctl.scala 137:112] + node _T_644 = add(_T_643, _T_621) @[exu_mul_ctl.scala 137:112] + node _T_645 = add(_T_644, _T_622) @[exu_mul_ctl.scala 137:112] + node _T_646 = add(_T_645, _T_623) @[exu_mul_ctl.scala 137:112] + node _T_647 = add(_T_646, _T_624) @[exu_mul_ctl.scala 137:112] + node _T_648 = add(_T_647, _T_625) @[exu_mul_ctl.scala 137:112] + node _T_649 = add(_T_648, _T_626) @[exu_mul_ctl.scala 137:112] + node _T_650 = add(_T_649, _T_627) @[exu_mul_ctl.scala 137:112] + node _T_651 = add(_T_650, _T_628) @[exu_mul_ctl.scala 137:112] + node _T_652 = add(_T_651, _T_629) @[exu_mul_ctl.scala 137:112] + node _T_653 = add(_T_652, _T_630) @[exu_mul_ctl.scala 137:112] + node _T_654 = add(_T_653, _T_631) @[exu_mul_ctl.scala 137:112] + node _T_655 = add(_T_654, _T_632) @[exu_mul_ctl.scala 137:112] + node _T_656 = add(_T_655, _T_633) @[exu_mul_ctl.scala 137:112] + node _T_657 = add(_T_656, _T_634) @[exu_mul_ctl.scala 137:112] + node _T_658 = add(_T_657, _T_635) @[exu_mul_ctl.scala 137:112] + node _T_659 = add(_T_658, _T_636) @[exu_mul_ctl.scala 137:112] + node _T_660 = eq(_T_659, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_661 = bits(_T_660, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_662 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_663 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_664 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_665 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_666 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_667 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_668 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_669 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_670 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_671 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_672 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_673 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_674 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_675 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_676 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_677 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_678 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_679 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_680 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_681 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_682 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_683 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_684 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_685 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_686 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_687 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_688 = add(_T_663, _T_664) @[exu_mul_ctl.scala 137:112] + node _T_689 = add(_T_688, _T_665) @[exu_mul_ctl.scala 137:112] + node _T_690 = add(_T_689, _T_666) @[exu_mul_ctl.scala 137:112] + node _T_691 = add(_T_690, _T_667) @[exu_mul_ctl.scala 137:112] + node _T_692 = add(_T_691, _T_668) @[exu_mul_ctl.scala 137:112] + node _T_693 = add(_T_692, _T_669) @[exu_mul_ctl.scala 137:112] + node _T_694 = add(_T_693, _T_670) @[exu_mul_ctl.scala 137:112] + node _T_695 = add(_T_694, _T_671) @[exu_mul_ctl.scala 137:112] + node _T_696 = add(_T_695, _T_672) @[exu_mul_ctl.scala 137:112] + node _T_697 = add(_T_696, _T_673) @[exu_mul_ctl.scala 137:112] + node _T_698 = add(_T_697, _T_674) @[exu_mul_ctl.scala 137:112] + node _T_699 = add(_T_698, _T_675) @[exu_mul_ctl.scala 137:112] + node _T_700 = add(_T_699, _T_676) @[exu_mul_ctl.scala 137:112] + node _T_701 = add(_T_700, _T_677) @[exu_mul_ctl.scala 137:112] + node _T_702 = add(_T_701, _T_678) @[exu_mul_ctl.scala 137:112] + node _T_703 = add(_T_702, _T_679) @[exu_mul_ctl.scala 137:112] + node _T_704 = add(_T_703, _T_680) @[exu_mul_ctl.scala 137:112] + node _T_705 = add(_T_704, _T_681) @[exu_mul_ctl.scala 137:112] + node _T_706 = add(_T_705, _T_682) @[exu_mul_ctl.scala 137:112] + node _T_707 = add(_T_706, _T_683) @[exu_mul_ctl.scala 137:112] + node _T_708 = add(_T_707, _T_684) @[exu_mul_ctl.scala 137:112] + node _T_709 = add(_T_708, _T_685) @[exu_mul_ctl.scala 137:112] + node _T_710 = add(_T_709, _T_686) @[exu_mul_ctl.scala 137:112] + node _T_711 = add(_T_710, _T_687) @[exu_mul_ctl.scala 137:112] + node _T_712 = eq(_T_711, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_713 = bits(_T_712, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_714 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_715 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_716 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_717 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_718 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_719 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_720 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_721 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_722 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_723 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_724 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_725 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_726 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_727 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_728 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_729 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_730 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_731 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_732 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_733 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_734 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_735 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_736 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_737 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_738 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_739 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_740 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_741 = add(_T_715, _T_716) @[exu_mul_ctl.scala 137:112] + node _T_742 = add(_T_741, _T_717) @[exu_mul_ctl.scala 137:112] + node _T_743 = add(_T_742, _T_718) @[exu_mul_ctl.scala 137:112] + node _T_744 = add(_T_743, _T_719) @[exu_mul_ctl.scala 137:112] + node _T_745 = add(_T_744, _T_720) @[exu_mul_ctl.scala 137:112] + node _T_746 = add(_T_745, _T_721) @[exu_mul_ctl.scala 137:112] + node _T_747 = add(_T_746, _T_722) @[exu_mul_ctl.scala 137:112] + node _T_748 = add(_T_747, _T_723) @[exu_mul_ctl.scala 137:112] + node _T_749 = add(_T_748, _T_724) @[exu_mul_ctl.scala 137:112] + node _T_750 = add(_T_749, _T_725) @[exu_mul_ctl.scala 137:112] + node _T_751 = add(_T_750, _T_726) @[exu_mul_ctl.scala 137:112] + node _T_752 = add(_T_751, _T_727) @[exu_mul_ctl.scala 137:112] + node _T_753 = add(_T_752, _T_728) @[exu_mul_ctl.scala 137:112] + node _T_754 = add(_T_753, _T_729) @[exu_mul_ctl.scala 137:112] + node _T_755 = add(_T_754, _T_730) @[exu_mul_ctl.scala 137:112] + node _T_756 = add(_T_755, _T_731) @[exu_mul_ctl.scala 137:112] + node _T_757 = add(_T_756, _T_732) @[exu_mul_ctl.scala 137:112] + node _T_758 = add(_T_757, _T_733) @[exu_mul_ctl.scala 137:112] + node _T_759 = add(_T_758, _T_734) @[exu_mul_ctl.scala 137:112] + node _T_760 = add(_T_759, _T_735) @[exu_mul_ctl.scala 137:112] + node _T_761 = add(_T_760, _T_736) @[exu_mul_ctl.scala 137:112] + node _T_762 = add(_T_761, _T_737) @[exu_mul_ctl.scala 137:112] + node _T_763 = add(_T_762, _T_738) @[exu_mul_ctl.scala 137:112] + node _T_764 = add(_T_763, _T_739) @[exu_mul_ctl.scala 137:112] + node _T_765 = add(_T_764, _T_740) @[exu_mul_ctl.scala 137:112] + node _T_766 = eq(_T_765, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_767 = bits(_T_766, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_768 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_769 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_770 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_771 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_772 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_773 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_774 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_775 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_776 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_777 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_778 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_779 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_780 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_781 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_782 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_783 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_784 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_785 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_786 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_787 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_788 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_789 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_790 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_791 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_792 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_793 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_794 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_795 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_796 = add(_T_769, _T_770) @[exu_mul_ctl.scala 137:112] + node _T_797 = add(_T_796, _T_771) @[exu_mul_ctl.scala 137:112] + node _T_798 = add(_T_797, _T_772) @[exu_mul_ctl.scala 137:112] + node _T_799 = add(_T_798, _T_773) @[exu_mul_ctl.scala 137:112] + node _T_800 = add(_T_799, _T_774) @[exu_mul_ctl.scala 137:112] + node _T_801 = add(_T_800, _T_775) @[exu_mul_ctl.scala 137:112] + node _T_802 = add(_T_801, _T_776) @[exu_mul_ctl.scala 137:112] + node _T_803 = add(_T_802, _T_777) @[exu_mul_ctl.scala 137:112] + node _T_804 = add(_T_803, _T_778) @[exu_mul_ctl.scala 137:112] + node _T_805 = add(_T_804, _T_779) @[exu_mul_ctl.scala 137:112] + node _T_806 = add(_T_805, _T_780) @[exu_mul_ctl.scala 137:112] + node _T_807 = add(_T_806, _T_781) @[exu_mul_ctl.scala 137:112] + node _T_808 = add(_T_807, _T_782) @[exu_mul_ctl.scala 137:112] + node _T_809 = add(_T_808, _T_783) @[exu_mul_ctl.scala 137:112] + node _T_810 = add(_T_809, _T_784) @[exu_mul_ctl.scala 137:112] + node _T_811 = add(_T_810, _T_785) @[exu_mul_ctl.scala 137:112] + node _T_812 = add(_T_811, _T_786) @[exu_mul_ctl.scala 137:112] + node _T_813 = add(_T_812, _T_787) @[exu_mul_ctl.scala 137:112] + node _T_814 = add(_T_813, _T_788) @[exu_mul_ctl.scala 137:112] + node _T_815 = add(_T_814, _T_789) @[exu_mul_ctl.scala 137:112] + node _T_816 = add(_T_815, _T_790) @[exu_mul_ctl.scala 137:112] + node _T_817 = add(_T_816, _T_791) @[exu_mul_ctl.scala 137:112] + node _T_818 = add(_T_817, _T_792) @[exu_mul_ctl.scala 137:112] + node _T_819 = add(_T_818, _T_793) @[exu_mul_ctl.scala 137:112] + node _T_820 = add(_T_819, _T_794) @[exu_mul_ctl.scala 137:112] + node _T_821 = add(_T_820, _T_795) @[exu_mul_ctl.scala 137:112] + node _T_822 = eq(_T_821, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_823 = bits(_T_822, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_824 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_825 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_826 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_827 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_828 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_829 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_830 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_831 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_832 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_833 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_834 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_835 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_836 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_837 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_838 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_839 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_840 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_841 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_842 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_843 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_844 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_845 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_846 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_847 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_848 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_849 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_850 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_851 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_852 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_853 = add(_T_825, _T_826) @[exu_mul_ctl.scala 137:112] + node _T_854 = add(_T_853, _T_827) @[exu_mul_ctl.scala 137:112] + node _T_855 = add(_T_854, _T_828) @[exu_mul_ctl.scala 137:112] + node _T_856 = add(_T_855, _T_829) @[exu_mul_ctl.scala 137:112] + node _T_857 = add(_T_856, _T_830) @[exu_mul_ctl.scala 137:112] + node _T_858 = add(_T_857, _T_831) @[exu_mul_ctl.scala 137:112] + node _T_859 = add(_T_858, _T_832) @[exu_mul_ctl.scala 137:112] + node _T_860 = add(_T_859, _T_833) @[exu_mul_ctl.scala 137:112] + node _T_861 = add(_T_860, _T_834) @[exu_mul_ctl.scala 137:112] + node _T_862 = add(_T_861, _T_835) @[exu_mul_ctl.scala 137:112] + node _T_863 = add(_T_862, _T_836) @[exu_mul_ctl.scala 137:112] + node _T_864 = add(_T_863, _T_837) @[exu_mul_ctl.scala 137:112] + node _T_865 = add(_T_864, _T_838) @[exu_mul_ctl.scala 137:112] + node _T_866 = add(_T_865, _T_839) @[exu_mul_ctl.scala 137:112] + node _T_867 = add(_T_866, _T_840) @[exu_mul_ctl.scala 137:112] + node _T_868 = add(_T_867, _T_841) @[exu_mul_ctl.scala 137:112] + node _T_869 = add(_T_868, _T_842) @[exu_mul_ctl.scala 137:112] + node _T_870 = add(_T_869, _T_843) @[exu_mul_ctl.scala 137:112] + node _T_871 = add(_T_870, _T_844) @[exu_mul_ctl.scala 137:112] + node _T_872 = add(_T_871, _T_845) @[exu_mul_ctl.scala 137:112] + node _T_873 = add(_T_872, _T_846) @[exu_mul_ctl.scala 137:112] + node _T_874 = add(_T_873, _T_847) @[exu_mul_ctl.scala 137:112] + node _T_875 = add(_T_874, _T_848) @[exu_mul_ctl.scala 137:112] + node _T_876 = add(_T_875, _T_849) @[exu_mul_ctl.scala 137:112] + node _T_877 = add(_T_876, _T_850) @[exu_mul_ctl.scala 137:112] + node _T_878 = add(_T_877, _T_851) @[exu_mul_ctl.scala 137:112] + node _T_879 = add(_T_878, _T_852) @[exu_mul_ctl.scala 137:112] + node _T_880 = eq(_T_879, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_881 = bits(_T_880, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_882 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_883 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_884 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_885 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_886 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_887 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_888 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_889 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_890 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_891 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_892 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_893 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_894 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_895 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_896 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_897 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_898 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_899 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_900 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_901 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_902 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_903 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_904 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_905 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_906 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_907 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_908 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_909 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_910 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_911 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_912 = add(_T_883, _T_884) @[exu_mul_ctl.scala 137:112] + node _T_913 = add(_T_912, _T_885) @[exu_mul_ctl.scala 137:112] + node _T_914 = add(_T_913, _T_886) @[exu_mul_ctl.scala 137:112] + node _T_915 = add(_T_914, _T_887) @[exu_mul_ctl.scala 137:112] + node _T_916 = add(_T_915, _T_888) @[exu_mul_ctl.scala 137:112] + node _T_917 = add(_T_916, _T_889) @[exu_mul_ctl.scala 137:112] + node _T_918 = add(_T_917, _T_890) @[exu_mul_ctl.scala 137:112] + node _T_919 = add(_T_918, _T_891) @[exu_mul_ctl.scala 137:112] + node _T_920 = add(_T_919, _T_892) @[exu_mul_ctl.scala 137:112] + node _T_921 = add(_T_920, _T_893) @[exu_mul_ctl.scala 137:112] + node _T_922 = add(_T_921, _T_894) @[exu_mul_ctl.scala 137:112] + node _T_923 = add(_T_922, _T_895) @[exu_mul_ctl.scala 137:112] + node _T_924 = add(_T_923, _T_896) @[exu_mul_ctl.scala 137:112] + node _T_925 = add(_T_924, _T_897) @[exu_mul_ctl.scala 137:112] + node _T_926 = add(_T_925, _T_898) @[exu_mul_ctl.scala 137:112] + node _T_927 = add(_T_926, _T_899) @[exu_mul_ctl.scala 137:112] + node _T_928 = add(_T_927, _T_900) @[exu_mul_ctl.scala 137:112] + node _T_929 = add(_T_928, _T_901) @[exu_mul_ctl.scala 137:112] + node _T_930 = add(_T_929, _T_902) @[exu_mul_ctl.scala 137:112] + node _T_931 = add(_T_930, _T_903) @[exu_mul_ctl.scala 137:112] + node _T_932 = add(_T_931, _T_904) @[exu_mul_ctl.scala 137:112] + node _T_933 = add(_T_932, _T_905) @[exu_mul_ctl.scala 137:112] + node _T_934 = add(_T_933, _T_906) @[exu_mul_ctl.scala 137:112] + node _T_935 = add(_T_934, _T_907) @[exu_mul_ctl.scala 137:112] + node _T_936 = add(_T_935, _T_908) @[exu_mul_ctl.scala 137:112] + node _T_937 = add(_T_936, _T_909) @[exu_mul_ctl.scala 137:112] + node _T_938 = add(_T_937, _T_910) @[exu_mul_ctl.scala 137:112] + node _T_939 = add(_T_938, _T_911) @[exu_mul_ctl.scala 137:112] + node _T_940 = eq(_T_939, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_941 = bits(_T_940, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_942 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_943 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_944 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_945 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_946 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_947 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_948 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_949 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_950 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_951 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_952 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_953 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_954 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_955 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_956 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_957 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_958 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_959 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_960 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_961 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_962 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_963 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_964 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_965 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_966 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_967 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_968 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_969 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_970 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_971 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_972 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_973 = add(_T_943, _T_944) @[exu_mul_ctl.scala 137:112] + node _T_974 = add(_T_973, _T_945) @[exu_mul_ctl.scala 137:112] + node _T_975 = add(_T_974, _T_946) @[exu_mul_ctl.scala 137:112] + node _T_976 = add(_T_975, _T_947) @[exu_mul_ctl.scala 137:112] + node _T_977 = add(_T_976, _T_948) @[exu_mul_ctl.scala 137:112] + node _T_978 = add(_T_977, _T_949) @[exu_mul_ctl.scala 137:112] + node _T_979 = add(_T_978, _T_950) @[exu_mul_ctl.scala 137:112] + node _T_980 = add(_T_979, _T_951) @[exu_mul_ctl.scala 137:112] + node _T_981 = add(_T_980, _T_952) @[exu_mul_ctl.scala 137:112] + node _T_982 = add(_T_981, _T_953) @[exu_mul_ctl.scala 137:112] + node _T_983 = add(_T_982, _T_954) @[exu_mul_ctl.scala 137:112] + node _T_984 = add(_T_983, _T_955) @[exu_mul_ctl.scala 137:112] + node _T_985 = add(_T_984, _T_956) @[exu_mul_ctl.scala 137:112] + node _T_986 = add(_T_985, _T_957) @[exu_mul_ctl.scala 137:112] + node _T_987 = add(_T_986, _T_958) @[exu_mul_ctl.scala 137:112] + node _T_988 = add(_T_987, _T_959) @[exu_mul_ctl.scala 137:112] + node _T_989 = add(_T_988, _T_960) @[exu_mul_ctl.scala 137:112] + node _T_990 = add(_T_989, _T_961) @[exu_mul_ctl.scala 137:112] + node _T_991 = add(_T_990, _T_962) @[exu_mul_ctl.scala 137:112] + node _T_992 = add(_T_991, _T_963) @[exu_mul_ctl.scala 137:112] + node _T_993 = add(_T_992, _T_964) @[exu_mul_ctl.scala 137:112] + node _T_994 = add(_T_993, _T_965) @[exu_mul_ctl.scala 137:112] + node _T_995 = add(_T_994, _T_966) @[exu_mul_ctl.scala 137:112] + node _T_996 = add(_T_995, _T_967) @[exu_mul_ctl.scala 137:112] + node _T_997 = add(_T_996, _T_968) @[exu_mul_ctl.scala 137:112] + node _T_998 = add(_T_997, _T_969) @[exu_mul_ctl.scala 137:112] + node _T_999 = add(_T_998, _T_970) @[exu_mul_ctl.scala 137:112] + node _T_1000 = add(_T_999, _T_971) @[exu_mul_ctl.scala 137:112] + node _T_1001 = add(_T_1000, _T_972) @[exu_mul_ctl.scala 137:112] + node _T_1002 = eq(_T_1001, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_1003 = bits(_T_1002, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1004 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_1005 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1006 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1007 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1008 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1009 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1010 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1011 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1012 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1013 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1014 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1015 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1016 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1017 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1018 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1019 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1020 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1021 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1022 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1023 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1024 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1025 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1026 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1027 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1028 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1029 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_1030 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_1031 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_1032 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_1033 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_1034 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_1035 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_1036 = add(_T_1005, _T_1006) @[exu_mul_ctl.scala 137:112] + node _T_1037 = add(_T_1036, _T_1007) @[exu_mul_ctl.scala 137:112] + node _T_1038 = add(_T_1037, _T_1008) @[exu_mul_ctl.scala 137:112] + node _T_1039 = add(_T_1038, _T_1009) @[exu_mul_ctl.scala 137:112] + node _T_1040 = add(_T_1039, _T_1010) @[exu_mul_ctl.scala 137:112] + node _T_1041 = add(_T_1040, _T_1011) @[exu_mul_ctl.scala 137:112] + node _T_1042 = add(_T_1041, _T_1012) @[exu_mul_ctl.scala 137:112] + node _T_1043 = add(_T_1042, _T_1013) @[exu_mul_ctl.scala 137:112] + node _T_1044 = add(_T_1043, _T_1014) @[exu_mul_ctl.scala 137:112] + node _T_1045 = add(_T_1044, _T_1015) @[exu_mul_ctl.scala 137:112] + node _T_1046 = add(_T_1045, _T_1016) @[exu_mul_ctl.scala 137:112] + node _T_1047 = add(_T_1046, _T_1017) @[exu_mul_ctl.scala 137:112] + node _T_1048 = add(_T_1047, _T_1018) @[exu_mul_ctl.scala 137:112] + node _T_1049 = add(_T_1048, _T_1019) @[exu_mul_ctl.scala 137:112] + node _T_1050 = add(_T_1049, _T_1020) @[exu_mul_ctl.scala 137:112] + node _T_1051 = add(_T_1050, _T_1021) @[exu_mul_ctl.scala 137:112] + node _T_1052 = add(_T_1051, _T_1022) @[exu_mul_ctl.scala 137:112] + node _T_1053 = add(_T_1052, _T_1023) @[exu_mul_ctl.scala 137:112] + node _T_1054 = add(_T_1053, _T_1024) @[exu_mul_ctl.scala 137:112] + node _T_1055 = add(_T_1054, _T_1025) @[exu_mul_ctl.scala 137:112] + node _T_1056 = add(_T_1055, _T_1026) @[exu_mul_ctl.scala 137:112] + node _T_1057 = add(_T_1056, _T_1027) @[exu_mul_ctl.scala 137:112] + node _T_1058 = add(_T_1057, _T_1028) @[exu_mul_ctl.scala 137:112] + node _T_1059 = add(_T_1058, _T_1029) @[exu_mul_ctl.scala 137:112] + node _T_1060 = add(_T_1059, _T_1030) @[exu_mul_ctl.scala 137:112] + node _T_1061 = add(_T_1060, _T_1031) @[exu_mul_ctl.scala 137:112] + node _T_1062 = add(_T_1061, _T_1032) @[exu_mul_ctl.scala 137:112] + node _T_1063 = add(_T_1062, _T_1033) @[exu_mul_ctl.scala 137:112] + node _T_1064 = add(_T_1063, _T_1034) @[exu_mul_ctl.scala 137:112] + node _T_1065 = add(_T_1064, _T_1035) @[exu_mul_ctl.scala 137:112] + node _T_1066 = eq(_T_1065, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_1067 = bits(_T_1066, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1068 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_1069 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1070 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1071 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1072 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1073 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1074 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1075 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1076 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1077 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1078 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1079 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1080 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1081 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1082 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1083 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1084 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1085 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1086 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1087 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1088 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1089 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1090 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1091 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1092 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1093 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_1094 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_1095 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_1096 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_1097 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_1098 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_1099 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_1100 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_1101 = add(_T_1069, _T_1070) @[exu_mul_ctl.scala 137:112] + node _T_1102 = add(_T_1101, _T_1071) @[exu_mul_ctl.scala 137:112] + node _T_1103 = add(_T_1102, _T_1072) @[exu_mul_ctl.scala 137:112] + node _T_1104 = add(_T_1103, _T_1073) @[exu_mul_ctl.scala 137:112] + node _T_1105 = add(_T_1104, _T_1074) @[exu_mul_ctl.scala 137:112] + node _T_1106 = add(_T_1105, _T_1075) @[exu_mul_ctl.scala 137:112] + node _T_1107 = add(_T_1106, _T_1076) @[exu_mul_ctl.scala 137:112] + node _T_1108 = add(_T_1107, _T_1077) @[exu_mul_ctl.scala 137:112] + node _T_1109 = add(_T_1108, _T_1078) @[exu_mul_ctl.scala 137:112] + node _T_1110 = add(_T_1109, _T_1079) @[exu_mul_ctl.scala 137:112] + node _T_1111 = add(_T_1110, _T_1080) @[exu_mul_ctl.scala 137:112] + node _T_1112 = add(_T_1111, _T_1081) @[exu_mul_ctl.scala 137:112] + node _T_1113 = add(_T_1112, _T_1082) @[exu_mul_ctl.scala 137:112] + node _T_1114 = add(_T_1113, _T_1083) @[exu_mul_ctl.scala 137:112] + node _T_1115 = add(_T_1114, _T_1084) @[exu_mul_ctl.scala 137:112] + node _T_1116 = add(_T_1115, _T_1085) @[exu_mul_ctl.scala 137:112] + node _T_1117 = add(_T_1116, _T_1086) @[exu_mul_ctl.scala 137:112] + node _T_1118 = add(_T_1117, _T_1087) @[exu_mul_ctl.scala 137:112] + node _T_1119 = add(_T_1118, _T_1088) @[exu_mul_ctl.scala 137:112] + node _T_1120 = add(_T_1119, _T_1089) @[exu_mul_ctl.scala 137:112] + node _T_1121 = add(_T_1120, _T_1090) @[exu_mul_ctl.scala 137:112] + node _T_1122 = add(_T_1121, _T_1091) @[exu_mul_ctl.scala 137:112] + node _T_1123 = add(_T_1122, _T_1092) @[exu_mul_ctl.scala 137:112] + node _T_1124 = add(_T_1123, _T_1093) @[exu_mul_ctl.scala 137:112] + node _T_1125 = add(_T_1124, _T_1094) @[exu_mul_ctl.scala 137:112] + node _T_1126 = add(_T_1125, _T_1095) @[exu_mul_ctl.scala 137:112] + node _T_1127 = add(_T_1126, _T_1096) @[exu_mul_ctl.scala 137:112] + node _T_1128 = add(_T_1127, _T_1097) @[exu_mul_ctl.scala 137:112] + node _T_1129 = add(_T_1128, _T_1098) @[exu_mul_ctl.scala 137:112] + node _T_1130 = add(_T_1129, _T_1099) @[exu_mul_ctl.scala 137:112] + node _T_1131 = add(_T_1130, _T_1100) @[exu_mul_ctl.scala 137:112] + node _T_1132 = eq(_T_1131, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_1133 = bits(_T_1132, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1134 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_1135 = mux(_T_1133, _T_1134, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1136 = mux(_T_1067, _T_1068, _T_1135) @[Mux.scala 98:16] + node _T_1137 = mux(_T_1003, _T_1004, _T_1136) @[Mux.scala 98:16] + node _T_1138 = mux(_T_941, _T_942, _T_1137) @[Mux.scala 98:16] + node _T_1139 = mux(_T_881, _T_882, _T_1138) @[Mux.scala 98:16] + node _T_1140 = mux(_T_823, _T_824, _T_1139) @[Mux.scala 98:16] + node _T_1141 = mux(_T_767, _T_768, _T_1140) @[Mux.scala 98:16] + node _T_1142 = mux(_T_713, _T_714, _T_1141) @[Mux.scala 98:16] + node _T_1143 = mux(_T_661, _T_662, _T_1142) @[Mux.scala 98:16] + node _T_1144 = mux(_T_611, _T_612, _T_1143) @[Mux.scala 98:16] + node _T_1145 = mux(_T_563, _T_564, _T_1144) @[Mux.scala 98:16] + node _T_1146 = mux(_T_517, _T_518, _T_1145) @[Mux.scala 98:16] + node _T_1147 = mux(_T_473, _T_474, _T_1146) @[Mux.scala 98:16] + node _T_1148 = mux(_T_431, _T_432, _T_1147) @[Mux.scala 98:16] + node _T_1149 = mux(_T_391, _T_392, _T_1148) @[Mux.scala 98:16] + node _T_1150 = mux(_T_353, _T_354, _T_1149) @[Mux.scala 98:16] + node _T_1151 = mux(_T_317, _T_318, _T_1150) @[Mux.scala 98:16] + node _T_1152 = mux(_T_283, _T_284, _T_1151) @[Mux.scala 98:16] + node _T_1153 = mux(_T_251, _T_252, _T_1152) @[Mux.scala 98:16] + node _T_1154 = mux(_T_221, _T_222, _T_1153) @[Mux.scala 98:16] + node _T_1155 = mux(_T_193, _T_194, _T_1154) @[Mux.scala 98:16] + node _T_1156 = mux(_T_167, _T_168, _T_1155) @[Mux.scala 98:16] + node _T_1157 = mux(_T_143, _T_144, _T_1156) @[Mux.scala 98:16] + node _T_1158 = mux(_T_121, _T_122, _T_1157) @[Mux.scala 98:16] + node _T_1159 = mux(_T_101, _T_102, _T_1158) @[Mux.scala 98:16] + node _T_1160 = mux(_T_83, _T_84, _T_1159) @[Mux.scala 98:16] + node _T_1161 = mux(_T_67, _T_68, _T_1160) @[Mux.scala 98:16] + node _T_1162 = mux(_T_53, _T_54, _T_1161) @[Mux.scala 98:16] + node _T_1163 = mux(_T_41, _T_42, _T_1162) @[Mux.scala 98:16] + node _T_1164 = mux(_T_31, _T_32, _T_1163) @[Mux.scala 98:16] + node _T_1165 = mux(_T_23, _T_24, _T_1164) @[Mux.scala 98:16] + node _T_1166 = mux(_T_17, _T_18, _T_1165) @[Mux.scala 98:16] + node _T_1167 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_1168 = eq(_T_1167, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1169 = bits(_T_1168, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1170 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_1171 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1172 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1173 = add(_T_1171, _T_1172) @[exu_mul_ctl.scala 137:112] + node _T_1174 = eq(_T_1173, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1175 = bits(_T_1174, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1176 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_1177 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1178 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1179 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1180 = add(_T_1177, _T_1178) @[exu_mul_ctl.scala 137:112] + node _T_1181 = add(_T_1180, _T_1179) @[exu_mul_ctl.scala 137:112] + node _T_1182 = eq(_T_1181, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1183 = bits(_T_1182, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1184 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_1185 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1186 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1187 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1188 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1189 = add(_T_1185, _T_1186) @[exu_mul_ctl.scala 137:112] + node _T_1190 = add(_T_1189, _T_1187) @[exu_mul_ctl.scala 137:112] + node _T_1191 = add(_T_1190, _T_1188) @[exu_mul_ctl.scala 137:112] + node _T_1192 = eq(_T_1191, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1193 = bits(_T_1192, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1194 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_1195 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1196 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1197 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1198 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1199 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1200 = add(_T_1195, _T_1196) @[exu_mul_ctl.scala 137:112] + node _T_1201 = add(_T_1200, _T_1197) @[exu_mul_ctl.scala 137:112] + node _T_1202 = add(_T_1201, _T_1198) @[exu_mul_ctl.scala 137:112] + node _T_1203 = add(_T_1202, _T_1199) @[exu_mul_ctl.scala 137:112] + node _T_1204 = eq(_T_1203, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1205 = bits(_T_1204, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1206 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_1207 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1208 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1209 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1210 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1211 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1212 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1213 = add(_T_1207, _T_1208) @[exu_mul_ctl.scala 137:112] + node _T_1214 = add(_T_1213, _T_1209) @[exu_mul_ctl.scala 137:112] + node _T_1215 = add(_T_1214, _T_1210) @[exu_mul_ctl.scala 137:112] + node _T_1216 = add(_T_1215, _T_1211) @[exu_mul_ctl.scala 137:112] + node _T_1217 = add(_T_1216, _T_1212) @[exu_mul_ctl.scala 137:112] + node _T_1218 = eq(_T_1217, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1219 = bits(_T_1218, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1220 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_1221 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1222 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1223 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1224 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1225 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1226 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1227 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1228 = add(_T_1221, _T_1222) @[exu_mul_ctl.scala 137:112] + node _T_1229 = add(_T_1228, _T_1223) @[exu_mul_ctl.scala 137:112] + node _T_1230 = add(_T_1229, _T_1224) @[exu_mul_ctl.scala 137:112] + node _T_1231 = add(_T_1230, _T_1225) @[exu_mul_ctl.scala 137:112] + node _T_1232 = add(_T_1231, _T_1226) @[exu_mul_ctl.scala 137:112] + node _T_1233 = add(_T_1232, _T_1227) @[exu_mul_ctl.scala 137:112] + node _T_1234 = eq(_T_1233, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1235 = bits(_T_1234, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1236 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_1237 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1238 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1239 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1240 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1241 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1242 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1243 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1244 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1245 = add(_T_1237, _T_1238) @[exu_mul_ctl.scala 137:112] + node _T_1246 = add(_T_1245, _T_1239) @[exu_mul_ctl.scala 137:112] + node _T_1247 = add(_T_1246, _T_1240) @[exu_mul_ctl.scala 137:112] + node _T_1248 = add(_T_1247, _T_1241) @[exu_mul_ctl.scala 137:112] + node _T_1249 = add(_T_1248, _T_1242) @[exu_mul_ctl.scala 137:112] + node _T_1250 = add(_T_1249, _T_1243) @[exu_mul_ctl.scala 137:112] + node _T_1251 = add(_T_1250, _T_1244) @[exu_mul_ctl.scala 137:112] + node _T_1252 = eq(_T_1251, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1253 = bits(_T_1252, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1254 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_1255 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1256 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1257 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1258 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1259 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1260 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1261 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1262 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1263 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1264 = add(_T_1255, _T_1256) @[exu_mul_ctl.scala 137:112] + node _T_1265 = add(_T_1264, _T_1257) @[exu_mul_ctl.scala 137:112] + node _T_1266 = add(_T_1265, _T_1258) @[exu_mul_ctl.scala 137:112] + node _T_1267 = add(_T_1266, _T_1259) @[exu_mul_ctl.scala 137:112] + node _T_1268 = add(_T_1267, _T_1260) @[exu_mul_ctl.scala 137:112] + node _T_1269 = add(_T_1268, _T_1261) @[exu_mul_ctl.scala 137:112] + node _T_1270 = add(_T_1269, _T_1262) @[exu_mul_ctl.scala 137:112] + node _T_1271 = add(_T_1270, _T_1263) @[exu_mul_ctl.scala 137:112] + node _T_1272 = eq(_T_1271, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1273 = bits(_T_1272, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1274 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_1275 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1276 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1277 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1278 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1279 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1280 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1281 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1282 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1283 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1284 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1285 = add(_T_1275, _T_1276) @[exu_mul_ctl.scala 137:112] + node _T_1286 = add(_T_1285, _T_1277) @[exu_mul_ctl.scala 137:112] + node _T_1287 = add(_T_1286, _T_1278) @[exu_mul_ctl.scala 137:112] + node _T_1288 = add(_T_1287, _T_1279) @[exu_mul_ctl.scala 137:112] + node _T_1289 = add(_T_1288, _T_1280) @[exu_mul_ctl.scala 137:112] + node _T_1290 = add(_T_1289, _T_1281) @[exu_mul_ctl.scala 137:112] + node _T_1291 = add(_T_1290, _T_1282) @[exu_mul_ctl.scala 137:112] + node _T_1292 = add(_T_1291, _T_1283) @[exu_mul_ctl.scala 137:112] + node _T_1293 = add(_T_1292, _T_1284) @[exu_mul_ctl.scala 137:112] + node _T_1294 = eq(_T_1293, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1295 = bits(_T_1294, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1296 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_1297 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1298 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1299 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1300 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1301 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1302 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1303 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1304 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1305 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1306 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1307 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1308 = add(_T_1297, _T_1298) @[exu_mul_ctl.scala 137:112] + node _T_1309 = add(_T_1308, _T_1299) @[exu_mul_ctl.scala 137:112] + node _T_1310 = add(_T_1309, _T_1300) @[exu_mul_ctl.scala 137:112] + node _T_1311 = add(_T_1310, _T_1301) @[exu_mul_ctl.scala 137:112] + node _T_1312 = add(_T_1311, _T_1302) @[exu_mul_ctl.scala 137:112] + node _T_1313 = add(_T_1312, _T_1303) @[exu_mul_ctl.scala 137:112] + node _T_1314 = add(_T_1313, _T_1304) @[exu_mul_ctl.scala 137:112] + node _T_1315 = add(_T_1314, _T_1305) @[exu_mul_ctl.scala 137:112] + node _T_1316 = add(_T_1315, _T_1306) @[exu_mul_ctl.scala 137:112] + node _T_1317 = add(_T_1316, _T_1307) @[exu_mul_ctl.scala 137:112] + node _T_1318 = eq(_T_1317, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1319 = bits(_T_1318, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1320 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_1321 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1322 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1323 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1324 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1325 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1326 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1327 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1328 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1329 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1330 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1331 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1332 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1333 = add(_T_1321, _T_1322) @[exu_mul_ctl.scala 137:112] + node _T_1334 = add(_T_1333, _T_1323) @[exu_mul_ctl.scala 137:112] + node _T_1335 = add(_T_1334, _T_1324) @[exu_mul_ctl.scala 137:112] + node _T_1336 = add(_T_1335, _T_1325) @[exu_mul_ctl.scala 137:112] + node _T_1337 = add(_T_1336, _T_1326) @[exu_mul_ctl.scala 137:112] + node _T_1338 = add(_T_1337, _T_1327) @[exu_mul_ctl.scala 137:112] + node _T_1339 = add(_T_1338, _T_1328) @[exu_mul_ctl.scala 137:112] + node _T_1340 = add(_T_1339, _T_1329) @[exu_mul_ctl.scala 137:112] + node _T_1341 = add(_T_1340, _T_1330) @[exu_mul_ctl.scala 137:112] + node _T_1342 = add(_T_1341, _T_1331) @[exu_mul_ctl.scala 137:112] + node _T_1343 = add(_T_1342, _T_1332) @[exu_mul_ctl.scala 137:112] + node _T_1344 = eq(_T_1343, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1345 = bits(_T_1344, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1346 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_1347 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1348 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1349 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1350 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1351 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1352 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1353 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1354 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1355 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1356 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1357 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1358 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1359 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1360 = add(_T_1347, _T_1348) @[exu_mul_ctl.scala 137:112] + node _T_1361 = add(_T_1360, _T_1349) @[exu_mul_ctl.scala 137:112] + node _T_1362 = add(_T_1361, _T_1350) @[exu_mul_ctl.scala 137:112] + node _T_1363 = add(_T_1362, _T_1351) @[exu_mul_ctl.scala 137:112] + node _T_1364 = add(_T_1363, _T_1352) @[exu_mul_ctl.scala 137:112] + node _T_1365 = add(_T_1364, _T_1353) @[exu_mul_ctl.scala 137:112] + node _T_1366 = add(_T_1365, _T_1354) @[exu_mul_ctl.scala 137:112] + node _T_1367 = add(_T_1366, _T_1355) @[exu_mul_ctl.scala 137:112] + node _T_1368 = add(_T_1367, _T_1356) @[exu_mul_ctl.scala 137:112] + node _T_1369 = add(_T_1368, _T_1357) @[exu_mul_ctl.scala 137:112] + node _T_1370 = add(_T_1369, _T_1358) @[exu_mul_ctl.scala 137:112] + node _T_1371 = add(_T_1370, _T_1359) @[exu_mul_ctl.scala 137:112] + node _T_1372 = eq(_T_1371, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1373 = bits(_T_1372, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1374 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_1375 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1376 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1377 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1378 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1379 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1380 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1381 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1382 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1383 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1384 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1385 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1386 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1387 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1388 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1389 = add(_T_1375, _T_1376) @[exu_mul_ctl.scala 137:112] + node _T_1390 = add(_T_1389, _T_1377) @[exu_mul_ctl.scala 137:112] + node _T_1391 = add(_T_1390, _T_1378) @[exu_mul_ctl.scala 137:112] + node _T_1392 = add(_T_1391, _T_1379) @[exu_mul_ctl.scala 137:112] + node _T_1393 = add(_T_1392, _T_1380) @[exu_mul_ctl.scala 137:112] + node _T_1394 = add(_T_1393, _T_1381) @[exu_mul_ctl.scala 137:112] + node _T_1395 = add(_T_1394, _T_1382) @[exu_mul_ctl.scala 137:112] + node _T_1396 = add(_T_1395, _T_1383) @[exu_mul_ctl.scala 137:112] + node _T_1397 = add(_T_1396, _T_1384) @[exu_mul_ctl.scala 137:112] + node _T_1398 = add(_T_1397, _T_1385) @[exu_mul_ctl.scala 137:112] + node _T_1399 = add(_T_1398, _T_1386) @[exu_mul_ctl.scala 137:112] + node _T_1400 = add(_T_1399, _T_1387) @[exu_mul_ctl.scala 137:112] + node _T_1401 = add(_T_1400, _T_1388) @[exu_mul_ctl.scala 137:112] + node _T_1402 = eq(_T_1401, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1403 = bits(_T_1402, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1404 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_1405 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1406 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1407 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1408 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1409 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1410 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1411 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1412 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1413 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1414 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1415 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1416 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1417 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1418 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1419 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1420 = add(_T_1405, _T_1406) @[exu_mul_ctl.scala 137:112] + node _T_1421 = add(_T_1420, _T_1407) @[exu_mul_ctl.scala 137:112] + node _T_1422 = add(_T_1421, _T_1408) @[exu_mul_ctl.scala 137:112] + node _T_1423 = add(_T_1422, _T_1409) @[exu_mul_ctl.scala 137:112] + node _T_1424 = add(_T_1423, _T_1410) @[exu_mul_ctl.scala 137:112] + node _T_1425 = add(_T_1424, _T_1411) @[exu_mul_ctl.scala 137:112] + node _T_1426 = add(_T_1425, _T_1412) @[exu_mul_ctl.scala 137:112] + node _T_1427 = add(_T_1426, _T_1413) @[exu_mul_ctl.scala 137:112] + node _T_1428 = add(_T_1427, _T_1414) @[exu_mul_ctl.scala 137:112] + node _T_1429 = add(_T_1428, _T_1415) @[exu_mul_ctl.scala 137:112] + node _T_1430 = add(_T_1429, _T_1416) @[exu_mul_ctl.scala 137:112] + node _T_1431 = add(_T_1430, _T_1417) @[exu_mul_ctl.scala 137:112] + node _T_1432 = add(_T_1431, _T_1418) @[exu_mul_ctl.scala 137:112] + node _T_1433 = add(_T_1432, _T_1419) @[exu_mul_ctl.scala 137:112] + node _T_1434 = eq(_T_1433, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1435 = bits(_T_1434, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1436 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_1437 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1438 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1439 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1440 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1441 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1442 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1443 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1444 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1445 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1446 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1447 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1448 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1449 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1450 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1451 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1452 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1453 = add(_T_1437, _T_1438) @[exu_mul_ctl.scala 137:112] + node _T_1454 = add(_T_1453, _T_1439) @[exu_mul_ctl.scala 137:112] + node _T_1455 = add(_T_1454, _T_1440) @[exu_mul_ctl.scala 137:112] + node _T_1456 = add(_T_1455, _T_1441) @[exu_mul_ctl.scala 137:112] + node _T_1457 = add(_T_1456, _T_1442) @[exu_mul_ctl.scala 137:112] + node _T_1458 = add(_T_1457, _T_1443) @[exu_mul_ctl.scala 137:112] + node _T_1459 = add(_T_1458, _T_1444) @[exu_mul_ctl.scala 137:112] + node _T_1460 = add(_T_1459, _T_1445) @[exu_mul_ctl.scala 137:112] + node _T_1461 = add(_T_1460, _T_1446) @[exu_mul_ctl.scala 137:112] + node _T_1462 = add(_T_1461, _T_1447) @[exu_mul_ctl.scala 137:112] + node _T_1463 = add(_T_1462, _T_1448) @[exu_mul_ctl.scala 137:112] + node _T_1464 = add(_T_1463, _T_1449) @[exu_mul_ctl.scala 137:112] + node _T_1465 = add(_T_1464, _T_1450) @[exu_mul_ctl.scala 137:112] + node _T_1466 = add(_T_1465, _T_1451) @[exu_mul_ctl.scala 137:112] + node _T_1467 = add(_T_1466, _T_1452) @[exu_mul_ctl.scala 137:112] + node _T_1468 = eq(_T_1467, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1469 = bits(_T_1468, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1470 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_1471 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1472 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1473 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1474 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1475 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1476 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1477 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1478 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1479 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1480 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1481 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1482 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1483 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1484 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1485 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1486 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1487 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1488 = add(_T_1471, _T_1472) @[exu_mul_ctl.scala 137:112] + node _T_1489 = add(_T_1488, _T_1473) @[exu_mul_ctl.scala 137:112] + node _T_1490 = add(_T_1489, _T_1474) @[exu_mul_ctl.scala 137:112] + node _T_1491 = add(_T_1490, _T_1475) @[exu_mul_ctl.scala 137:112] + node _T_1492 = add(_T_1491, _T_1476) @[exu_mul_ctl.scala 137:112] + node _T_1493 = add(_T_1492, _T_1477) @[exu_mul_ctl.scala 137:112] + node _T_1494 = add(_T_1493, _T_1478) @[exu_mul_ctl.scala 137:112] + node _T_1495 = add(_T_1494, _T_1479) @[exu_mul_ctl.scala 137:112] + node _T_1496 = add(_T_1495, _T_1480) @[exu_mul_ctl.scala 137:112] + node _T_1497 = add(_T_1496, _T_1481) @[exu_mul_ctl.scala 137:112] + node _T_1498 = add(_T_1497, _T_1482) @[exu_mul_ctl.scala 137:112] + node _T_1499 = add(_T_1498, _T_1483) @[exu_mul_ctl.scala 137:112] + node _T_1500 = add(_T_1499, _T_1484) @[exu_mul_ctl.scala 137:112] + node _T_1501 = add(_T_1500, _T_1485) @[exu_mul_ctl.scala 137:112] + node _T_1502 = add(_T_1501, _T_1486) @[exu_mul_ctl.scala 137:112] + node _T_1503 = add(_T_1502, _T_1487) @[exu_mul_ctl.scala 137:112] + node _T_1504 = eq(_T_1503, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1505 = bits(_T_1504, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1506 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_1507 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1508 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1509 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1510 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1511 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1512 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1513 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1514 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1515 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1516 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1517 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1518 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1519 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1520 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1521 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1522 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1523 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1524 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1525 = add(_T_1507, _T_1508) @[exu_mul_ctl.scala 137:112] + node _T_1526 = add(_T_1525, _T_1509) @[exu_mul_ctl.scala 137:112] + node _T_1527 = add(_T_1526, _T_1510) @[exu_mul_ctl.scala 137:112] + node _T_1528 = add(_T_1527, _T_1511) @[exu_mul_ctl.scala 137:112] + node _T_1529 = add(_T_1528, _T_1512) @[exu_mul_ctl.scala 137:112] + node _T_1530 = add(_T_1529, _T_1513) @[exu_mul_ctl.scala 137:112] + node _T_1531 = add(_T_1530, _T_1514) @[exu_mul_ctl.scala 137:112] + node _T_1532 = add(_T_1531, _T_1515) @[exu_mul_ctl.scala 137:112] + node _T_1533 = add(_T_1532, _T_1516) @[exu_mul_ctl.scala 137:112] + node _T_1534 = add(_T_1533, _T_1517) @[exu_mul_ctl.scala 137:112] + node _T_1535 = add(_T_1534, _T_1518) @[exu_mul_ctl.scala 137:112] + node _T_1536 = add(_T_1535, _T_1519) @[exu_mul_ctl.scala 137:112] + node _T_1537 = add(_T_1536, _T_1520) @[exu_mul_ctl.scala 137:112] + node _T_1538 = add(_T_1537, _T_1521) @[exu_mul_ctl.scala 137:112] + node _T_1539 = add(_T_1538, _T_1522) @[exu_mul_ctl.scala 137:112] + node _T_1540 = add(_T_1539, _T_1523) @[exu_mul_ctl.scala 137:112] + node _T_1541 = add(_T_1540, _T_1524) @[exu_mul_ctl.scala 137:112] + node _T_1542 = eq(_T_1541, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1543 = bits(_T_1542, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1544 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_1545 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1546 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1547 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1548 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1549 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1550 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1551 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1552 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1553 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1554 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1555 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1556 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1557 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1558 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1559 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1560 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1561 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1562 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1563 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1564 = add(_T_1545, _T_1546) @[exu_mul_ctl.scala 137:112] + node _T_1565 = add(_T_1564, _T_1547) @[exu_mul_ctl.scala 137:112] + node _T_1566 = add(_T_1565, _T_1548) @[exu_mul_ctl.scala 137:112] + node _T_1567 = add(_T_1566, _T_1549) @[exu_mul_ctl.scala 137:112] + node _T_1568 = add(_T_1567, _T_1550) @[exu_mul_ctl.scala 137:112] + node _T_1569 = add(_T_1568, _T_1551) @[exu_mul_ctl.scala 137:112] + node _T_1570 = add(_T_1569, _T_1552) @[exu_mul_ctl.scala 137:112] + node _T_1571 = add(_T_1570, _T_1553) @[exu_mul_ctl.scala 137:112] + node _T_1572 = add(_T_1571, _T_1554) @[exu_mul_ctl.scala 137:112] + node _T_1573 = add(_T_1572, _T_1555) @[exu_mul_ctl.scala 137:112] + node _T_1574 = add(_T_1573, _T_1556) @[exu_mul_ctl.scala 137:112] + node _T_1575 = add(_T_1574, _T_1557) @[exu_mul_ctl.scala 137:112] + node _T_1576 = add(_T_1575, _T_1558) @[exu_mul_ctl.scala 137:112] + node _T_1577 = add(_T_1576, _T_1559) @[exu_mul_ctl.scala 137:112] + node _T_1578 = add(_T_1577, _T_1560) @[exu_mul_ctl.scala 137:112] + node _T_1579 = add(_T_1578, _T_1561) @[exu_mul_ctl.scala 137:112] + node _T_1580 = add(_T_1579, _T_1562) @[exu_mul_ctl.scala 137:112] + node _T_1581 = add(_T_1580, _T_1563) @[exu_mul_ctl.scala 137:112] + node _T_1582 = eq(_T_1581, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1583 = bits(_T_1582, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1584 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_1585 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1586 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1587 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1588 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1589 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1590 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1591 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1592 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1593 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1594 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1595 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1596 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1597 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1598 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1599 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1600 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1601 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1602 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1603 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1604 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1605 = add(_T_1585, _T_1586) @[exu_mul_ctl.scala 137:112] + node _T_1606 = add(_T_1605, _T_1587) @[exu_mul_ctl.scala 137:112] + node _T_1607 = add(_T_1606, _T_1588) @[exu_mul_ctl.scala 137:112] + node _T_1608 = add(_T_1607, _T_1589) @[exu_mul_ctl.scala 137:112] + node _T_1609 = add(_T_1608, _T_1590) @[exu_mul_ctl.scala 137:112] + node _T_1610 = add(_T_1609, _T_1591) @[exu_mul_ctl.scala 137:112] + node _T_1611 = add(_T_1610, _T_1592) @[exu_mul_ctl.scala 137:112] + node _T_1612 = add(_T_1611, _T_1593) @[exu_mul_ctl.scala 137:112] + node _T_1613 = add(_T_1612, _T_1594) @[exu_mul_ctl.scala 137:112] + node _T_1614 = add(_T_1613, _T_1595) @[exu_mul_ctl.scala 137:112] + node _T_1615 = add(_T_1614, _T_1596) @[exu_mul_ctl.scala 137:112] + node _T_1616 = add(_T_1615, _T_1597) @[exu_mul_ctl.scala 137:112] + node _T_1617 = add(_T_1616, _T_1598) @[exu_mul_ctl.scala 137:112] + node _T_1618 = add(_T_1617, _T_1599) @[exu_mul_ctl.scala 137:112] + node _T_1619 = add(_T_1618, _T_1600) @[exu_mul_ctl.scala 137:112] + node _T_1620 = add(_T_1619, _T_1601) @[exu_mul_ctl.scala 137:112] + node _T_1621 = add(_T_1620, _T_1602) @[exu_mul_ctl.scala 137:112] + node _T_1622 = add(_T_1621, _T_1603) @[exu_mul_ctl.scala 137:112] + node _T_1623 = add(_T_1622, _T_1604) @[exu_mul_ctl.scala 137:112] + node _T_1624 = eq(_T_1623, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1625 = bits(_T_1624, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1626 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_1627 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1628 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1629 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1630 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1631 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1632 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1633 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1634 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1635 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1636 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1637 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1638 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1639 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1640 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1641 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1642 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1643 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1644 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1645 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1646 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1647 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1648 = add(_T_1627, _T_1628) @[exu_mul_ctl.scala 137:112] + node _T_1649 = add(_T_1648, _T_1629) @[exu_mul_ctl.scala 137:112] + node _T_1650 = add(_T_1649, _T_1630) @[exu_mul_ctl.scala 137:112] + node _T_1651 = add(_T_1650, _T_1631) @[exu_mul_ctl.scala 137:112] + node _T_1652 = add(_T_1651, _T_1632) @[exu_mul_ctl.scala 137:112] + node _T_1653 = add(_T_1652, _T_1633) @[exu_mul_ctl.scala 137:112] + node _T_1654 = add(_T_1653, _T_1634) @[exu_mul_ctl.scala 137:112] + node _T_1655 = add(_T_1654, _T_1635) @[exu_mul_ctl.scala 137:112] + node _T_1656 = add(_T_1655, _T_1636) @[exu_mul_ctl.scala 137:112] + node _T_1657 = add(_T_1656, _T_1637) @[exu_mul_ctl.scala 137:112] + node _T_1658 = add(_T_1657, _T_1638) @[exu_mul_ctl.scala 137:112] + node _T_1659 = add(_T_1658, _T_1639) @[exu_mul_ctl.scala 137:112] + node _T_1660 = add(_T_1659, _T_1640) @[exu_mul_ctl.scala 137:112] + node _T_1661 = add(_T_1660, _T_1641) @[exu_mul_ctl.scala 137:112] + node _T_1662 = add(_T_1661, _T_1642) @[exu_mul_ctl.scala 137:112] + node _T_1663 = add(_T_1662, _T_1643) @[exu_mul_ctl.scala 137:112] + node _T_1664 = add(_T_1663, _T_1644) @[exu_mul_ctl.scala 137:112] + node _T_1665 = add(_T_1664, _T_1645) @[exu_mul_ctl.scala 137:112] + node _T_1666 = add(_T_1665, _T_1646) @[exu_mul_ctl.scala 137:112] + node _T_1667 = add(_T_1666, _T_1647) @[exu_mul_ctl.scala 137:112] + node _T_1668 = eq(_T_1667, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1669 = bits(_T_1668, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1670 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_1671 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1672 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1673 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1674 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1675 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1676 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1677 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1678 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1679 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1680 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1681 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1682 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1683 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1684 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1685 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1686 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1687 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1688 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1689 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1690 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1691 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1692 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1693 = add(_T_1671, _T_1672) @[exu_mul_ctl.scala 137:112] + node _T_1694 = add(_T_1693, _T_1673) @[exu_mul_ctl.scala 137:112] + node _T_1695 = add(_T_1694, _T_1674) @[exu_mul_ctl.scala 137:112] + node _T_1696 = add(_T_1695, _T_1675) @[exu_mul_ctl.scala 137:112] + node _T_1697 = add(_T_1696, _T_1676) @[exu_mul_ctl.scala 137:112] + node _T_1698 = add(_T_1697, _T_1677) @[exu_mul_ctl.scala 137:112] + node _T_1699 = add(_T_1698, _T_1678) @[exu_mul_ctl.scala 137:112] + node _T_1700 = add(_T_1699, _T_1679) @[exu_mul_ctl.scala 137:112] + node _T_1701 = add(_T_1700, _T_1680) @[exu_mul_ctl.scala 137:112] + node _T_1702 = add(_T_1701, _T_1681) @[exu_mul_ctl.scala 137:112] + node _T_1703 = add(_T_1702, _T_1682) @[exu_mul_ctl.scala 137:112] + node _T_1704 = add(_T_1703, _T_1683) @[exu_mul_ctl.scala 137:112] + node _T_1705 = add(_T_1704, _T_1684) @[exu_mul_ctl.scala 137:112] + node _T_1706 = add(_T_1705, _T_1685) @[exu_mul_ctl.scala 137:112] + node _T_1707 = add(_T_1706, _T_1686) @[exu_mul_ctl.scala 137:112] + node _T_1708 = add(_T_1707, _T_1687) @[exu_mul_ctl.scala 137:112] + node _T_1709 = add(_T_1708, _T_1688) @[exu_mul_ctl.scala 137:112] + node _T_1710 = add(_T_1709, _T_1689) @[exu_mul_ctl.scala 137:112] + node _T_1711 = add(_T_1710, _T_1690) @[exu_mul_ctl.scala 137:112] + node _T_1712 = add(_T_1711, _T_1691) @[exu_mul_ctl.scala 137:112] + node _T_1713 = add(_T_1712, _T_1692) @[exu_mul_ctl.scala 137:112] + node _T_1714 = eq(_T_1713, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1715 = bits(_T_1714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1716 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_1717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1724 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1725 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1726 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1727 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1728 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1729 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1730 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1731 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1732 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1733 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1734 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1735 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1736 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1737 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1738 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1739 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1740 = add(_T_1717, _T_1718) @[exu_mul_ctl.scala 137:112] + node _T_1741 = add(_T_1740, _T_1719) @[exu_mul_ctl.scala 137:112] + node _T_1742 = add(_T_1741, _T_1720) @[exu_mul_ctl.scala 137:112] + node _T_1743 = add(_T_1742, _T_1721) @[exu_mul_ctl.scala 137:112] + node _T_1744 = add(_T_1743, _T_1722) @[exu_mul_ctl.scala 137:112] + node _T_1745 = add(_T_1744, _T_1723) @[exu_mul_ctl.scala 137:112] + node _T_1746 = add(_T_1745, _T_1724) @[exu_mul_ctl.scala 137:112] + node _T_1747 = add(_T_1746, _T_1725) @[exu_mul_ctl.scala 137:112] + node _T_1748 = add(_T_1747, _T_1726) @[exu_mul_ctl.scala 137:112] + node _T_1749 = add(_T_1748, _T_1727) @[exu_mul_ctl.scala 137:112] + node _T_1750 = add(_T_1749, _T_1728) @[exu_mul_ctl.scala 137:112] + node _T_1751 = add(_T_1750, _T_1729) @[exu_mul_ctl.scala 137:112] + node _T_1752 = add(_T_1751, _T_1730) @[exu_mul_ctl.scala 137:112] + node _T_1753 = add(_T_1752, _T_1731) @[exu_mul_ctl.scala 137:112] + node _T_1754 = add(_T_1753, _T_1732) @[exu_mul_ctl.scala 137:112] + node _T_1755 = add(_T_1754, _T_1733) @[exu_mul_ctl.scala 137:112] + node _T_1756 = add(_T_1755, _T_1734) @[exu_mul_ctl.scala 137:112] + node _T_1757 = add(_T_1756, _T_1735) @[exu_mul_ctl.scala 137:112] + node _T_1758 = add(_T_1757, _T_1736) @[exu_mul_ctl.scala 137:112] + node _T_1759 = add(_T_1758, _T_1737) @[exu_mul_ctl.scala 137:112] + node _T_1760 = add(_T_1759, _T_1738) @[exu_mul_ctl.scala 137:112] + node _T_1761 = add(_T_1760, _T_1739) @[exu_mul_ctl.scala 137:112] + node _T_1762 = eq(_T_1761, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1763 = bits(_T_1762, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1764 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_1765 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1766 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1767 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1768 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1769 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1770 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1771 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1772 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1773 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1774 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1775 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1776 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1777 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1778 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1779 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1780 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1781 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1782 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1783 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1784 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1785 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1786 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1787 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1788 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1789 = add(_T_1765, _T_1766) @[exu_mul_ctl.scala 137:112] + node _T_1790 = add(_T_1789, _T_1767) @[exu_mul_ctl.scala 137:112] + node _T_1791 = add(_T_1790, _T_1768) @[exu_mul_ctl.scala 137:112] + node _T_1792 = add(_T_1791, _T_1769) @[exu_mul_ctl.scala 137:112] + node _T_1793 = add(_T_1792, _T_1770) @[exu_mul_ctl.scala 137:112] + node _T_1794 = add(_T_1793, _T_1771) @[exu_mul_ctl.scala 137:112] + node _T_1795 = add(_T_1794, _T_1772) @[exu_mul_ctl.scala 137:112] + node _T_1796 = add(_T_1795, _T_1773) @[exu_mul_ctl.scala 137:112] + node _T_1797 = add(_T_1796, _T_1774) @[exu_mul_ctl.scala 137:112] + node _T_1798 = add(_T_1797, _T_1775) @[exu_mul_ctl.scala 137:112] + node _T_1799 = add(_T_1798, _T_1776) @[exu_mul_ctl.scala 137:112] + node _T_1800 = add(_T_1799, _T_1777) @[exu_mul_ctl.scala 137:112] + node _T_1801 = add(_T_1800, _T_1778) @[exu_mul_ctl.scala 137:112] + node _T_1802 = add(_T_1801, _T_1779) @[exu_mul_ctl.scala 137:112] + node _T_1803 = add(_T_1802, _T_1780) @[exu_mul_ctl.scala 137:112] + node _T_1804 = add(_T_1803, _T_1781) @[exu_mul_ctl.scala 137:112] + node _T_1805 = add(_T_1804, _T_1782) @[exu_mul_ctl.scala 137:112] + node _T_1806 = add(_T_1805, _T_1783) @[exu_mul_ctl.scala 137:112] + node _T_1807 = add(_T_1806, _T_1784) @[exu_mul_ctl.scala 137:112] + node _T_1808 = add(_T_1807, _T_1785) @[exu_mul_ctl.scala 137:112] + node _T_1809 = add(_T_1808, _T_1786) @[exu_mul_ctl.scala 137:112] + node _T_1810 = add(_T_1809, _T_1787) @[exu_mul_ctl.scala 137:112] + node _T_1811 = add(_T_1810, _T_1788) @[exu_mul_ctl.scala 137:112] + node _T_1812 = eq(_T_1811, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1813 = bits(_T_1812, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1814 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_1815 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1816 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1817 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1818 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1819 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1820 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1821 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1822 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1823 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1824 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1825 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1826 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1827 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1828 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1829 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1830 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1831 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1832 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1833 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1834 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1835 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1836 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1837 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1838 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1839 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_1840 = add(_T_1815, _T_1816) @[exu_mul_ctl.scala 137:112] + node _T_1841 = add(_T_1840, _T_1817) @[exu_mul_ctl.scala 137:112] + node _T_1842 = add(_T_1841, _T_1818) @[exu_mul_ctl.scala 137:112] + node _T_1843 = add(_T_1842, _T_1819) @[exu_mul_ctl.scala 137:112] + node _T_1844 = add(_T_1843, _T_1820) @[exu_mul_ctl.scala 137:112] + node _T_1845 = add(_T_1844, _T_1821) @[exu_mul_ctl.scala 137:112] + node _T_1846 = add(_T_1845, _T_1822) @[exu_mul_ctl.scala 137:112] + node _T_1847 = add(_T_1846, _T_1823) @[exu_mul_ctl.scala 137:112] + node _T_1848 = add(_T_1847, _T_1824) @[exu_mul_ctl.scala 137:112] + node _T_1849 = add(_T_1848, _T_1825) @[exu_mul_ctl.scala 137:112] + node _T_1850 = add(_T_1849, _T_1826) @[exu_mul_ctl.scala 137:112] + node _T_1851 = add(_T_1850, _T_1827) @[exu_mul_ctl.scala 137:112] + node _T_1852 = add(_T_1851, _T_1828) @[exu_mul_ctl.scala 137:112] + node _T_1853 = add(_T_1852, _T_1829) @[exu_mul_ctl.scala 137:112] + node _T_1854 = add(_T_1853, _T_1830) @[exu_mul_ctl.scala 137:112] + node _T_1855 = add(_T_1854, _T_1831) @[exu_mul_ctl.scala 137:112] + node _T_1856 = add(_T_1855, _T_1832) @[exu_mul_ctl.scala 137:112] + node _T_1857 = add(_T_1856, _T_1833) @[exu_mul_ctl.scala 137:112] + node _T_1858 = add(_T_1857, _T_1834) @[exu_mul_ctl.scala 137:112] + node _T_1859 = add(_T_1858, _T_1835) @[exu_mul_ctl.scala 137:112] + node _T_1860 = add(_T_1859, _T_1836) @[exu_mul_ctl.scala 137:112] + node _T_1861 = add(_T_1860, _T_1837) @[exu_mul_ctl.scala 137:112] + node _T_1862 = add(_T_1861, _T_1838) @[exu_mul_ctl.scala 137:112] + node _T_1863 = add(_T_1862, _T_1839) @[exu_mul_ctl.scala 137:112] + node _T_1864 = eq(_T_1863, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1865 = bits(_T_1864, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1866 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_1867 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1868 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1869 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1870 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1871 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1872 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1873 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1874 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1875 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1876 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1877 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1878 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1879 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1880 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1881 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1882 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1883 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1884 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1885 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1886 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1887 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1888 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1889 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1890 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1891 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_1892 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_1893 = add(_T_1867, _T_1868) @[exu_mul_ctl.scala 137:112] + node _T_1894 = add(_T_1893, _T_1869) @[exu_mul_ctl.scala 137:112] + node _T_1895 = add(_T_1894, _T_1870) @[exu_mul_ctl.scala 137:112] + node _T_1896 = add(_T_1895, _T_1871) @[exu_mul_ctl.scala 137:112] + node _T_1897 = add(_T_1896, _T_1872) @[exu_mul_ctl.scala 137:112] + node _T_1898 = add(_T_1897, _T_1873) @[exu_mul_ctl.scala 137:112] + node _T_1899 = add(_T_1898, _T_1874) @[exu_mul_ctl.scala 137:112] + node _T_1900 = add(_T_1899, _T_1875) @[exu_mul_ctl.scala 137:112] + node _T_1901 = add(_T_1900, _T_1876) @[exu_mul_ctl.scala 137:112] + node _T_1902 = add(_T_1901, _T_1877) @[exu_mul_ctl.scala 137:112] + node _T_1903 = add(_T_1902, _T_1878) @[exu_mul_ctl.scala 137:112] + node _T_1904 = add(_T_1903, _T_1879) @[exu_mul_ctl.scala 137:112] + node _T_1905 = add(_T_1904, _T_1880) @[exu_mul_ctl.scala 137:112] + node _T_1906 = add(_T_1905, _T_1881) @[exu_mul_ctl.scala 137:112] + node _T_1907 = add(_T_1906, _T_1882) @[exu_mul_ctl.scala 137:112] + node _T_1908 = add(_T_1907, _T_1883) @[exu_mul_ctl.scala 137:112] + node _T_1909 = add(_T_1908, _T_1884) @[exu_mul_ctl.scala 137:112] + node _T_1910 = add(_T_1909, _T_1885) @[exu_mul_ctl.scala 137:112] + node _T_1911 = add(_T_1910, _T_1886) @[exu_mul_ctl.scala 137:112] + node _T_1912 = add(_T_1911, _T_1887) @[exu_mul_ctl.scala 137:112] + node _T_1913 = add(_T_1912, _T_1888) @[exu_mul_ctl.scala 137:112] + node _T_1914 = add(_T_1913, _T_1889) @[exu_mul_ctl.scala 137:112] + node _T_1915 = add(_T_1914, _T_1890) @[exu_mul_ctl.scala 137:112] + node _T_1916 = add(_T_1915, _T_1891) @[exu_mul_ctl.scala 137:112] + node _T_1917 = add(_T_1916, _T_1892) @[exu_mul_ctl.scala 137:112] + node _T_1918 = eq(_T_1917, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1919 = bits(_T_1918, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1920 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_1921 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1922 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1923 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1924 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1925 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1926 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1927 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1928 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1929 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1930 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1931 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1932 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1933 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1934 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1935 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1936 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1937 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1938 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1939 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1940 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1941 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1942 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1943 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1944 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1945 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_1946 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_1947 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_1948 = add(_T_1921, _T_1922) @[exu_mul_ctl.scala 137:112] + node _T_1949 = add(_T_1948, _T_1923) @[exu_mul_ctl.scala 137:112] + node _T_1950 = add(_T_1949, _T_1924) @[exu_mul_ctl.scala 137:112] + node _T_1951 = add(_T_1950, _T_1925) @[exu_mul_ctl.scala 137:112] + node _T_1952 = add(_T_1951, _T_1926) @[exu_mul_ctl.scala 137:112] + node _T_1953 = add(_T_1952, _T_1927) @[exu_mul_ctl.scala 137:112] + node _T_1954 = add(_T_1953, _T_1928) @[exu_mul_ctl.scala 137:112] + node _T_1955 = add(_T_1954, _T_1929) @[exu_mul_ctl.scala 137:112] + node _T_1956 = add(_T_1955, _T_1930) @[exu_mul_ctl.scala 137:112] + node _T_1957 = add(_T_1956, _T_1931) @[exu_mul_ctl.scala 137:112] + node _T_1958 = add(_T_1957, _T_1932) @[exu_mul_ctl.scala 137:112] + node _T_1959 = add(_T_1958, _T_1933) @[exu_mul_ctl.scala 137:112] + node _T_1960 = add(_T_1959, _T_1934) @[exu_mul_ctl.scala 137:112] + node _T_1961 = add(_T_1960, _T_1935) @[exu_mul_ctl.scala 137:112] + node _T_1962 = add(_T_1961, _T_1936) @[exu_mul_ctl.scala 137:112] + node _T_1963 = add(_T_1962, _T_1937) @[exu_mul_ctl.scala 137:112] + node _T_1964 = add(_T_1963, _T_1938) @[exu_mul_ctl.scala 137:112] + node _T_1965 = add(_T_1964, _T_1939) @[exu_mul_ctl.scala 137:112] + node _T_1966 = add(_T_1965, _T_1940) @[exu_mul_ctl.scala 137:112] + node _T_1967 = add(_T_1966, _T_1941) @[exu_mul_ctl.scala 137:112] + node _T_1968 = add(_T_1967, _T_1942) @[exu_mul_ctl.scala 137:112] + node _T_1969 = add(_T_1968, _T_1943) @[exu_mul_ctl.scala 137:112] + node _T_1970 = add(_T_1969, _T_1944) @[exu_mul_ctl.scala 137:112] + node _T_1971 = add(_T_1970, _T_1945) @[exu_mul_ctl.scala 137:112] + node _T_1972 = add(_T_1971, _T_1946) @[exu_mul_ctl.scala 137:112] + node _T_1973 = add(_T_1972, _T_1947) @[exu_mul_ctl.scala 137:112] + node _T_1974 = eq(_T_1973, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1975 = bits(_T_1974, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1976 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_1977 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1978 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1979 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1980 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1981 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1982 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1983 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1984 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1985 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1986 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1987 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1988 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1989 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1990 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1991 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1992 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1993 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1994 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1995 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1996 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1997 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1998 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1999 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2000 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2001 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2002 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_2003 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_2004 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_2005 = add(_T_1977, _T_1978) @[exu_mul_ctl.scala 137:112] + node _T_2006 = add(_T_2005, _T_1979) @[exu_mul_ctl.scala 137:112] + node _T_2007 = add(_T_2006, _T_1980) @[exu_mul_ctl.scala 137:112] + node _T_2008 = add(_T_2007, _T_1981) @[exu_mul_ctl.scala 137:112] + node _T_2009 = add(_T_2008, _T_1982) @[exu_mul_ctl.scala 137:112] + node _T_2010 = add(_T_2009, _T_1983) @[exu_mul_ctl.scala 137:112] + node _T_2011 = add(_T_2010, _T_1984) @[exu_mul_ctl.scala 137:112] + node _T_2012 = add(_T_2011, _T_1985) @[exu_mul_ctl.scala 137:112] + node _T_2013 = add(_T_2012, _T_1986) @[exu_mul_ctl.scala 137:112] + node _T_2014 = add(_T_2013, _T_1987) @[exu_mul_ctl.scala 137:112] + node _T_2015 = add(_T_2014, _T_1988) @[exu_mul_ctl.scala 137:112] + node _T_2016 = add(_T_2015, _T_1989) @[exu_mul_ctl.scala 137:112] + node _T_2017 = add(_T_2016, _T_1990) @[exu_mul_ctl.scala 137:112] + node _T_2018 = add(_T_2017, _T_1991) @[exu_mul_ctl.scala 137:112] + node _T_2019 = add(_T_2018, _T_1992) @[exu_mul_ctl.scala 137:112] + node _T_2020 = add(_T_2019, _T_1993) @[exu_mul_ctl.scala 137:112] + node _T_2021 = add(_T_2020, _T_1994) @[exu_mul_ctl.scala 137:112] + node _T_2022 = add(_T_2021, _T_1995) @[exu_mul_ctl.scala 137:112] + node _T_2023 = add(_T_2022, _T_1996) @[exu_mul_ctl.scala 137:112] + node _T_2024 = add(_T_2023, _T_1997) @[exu_mul_ctl.scala 137:112] + node _T_2025 = add(_T_2024, _T_1998) @[exu_mul_ctl.scala 137:112] + node _T_2026 = add(_T_2025, _T_1999) @[exu_mul_ctl.scala 137:112] + node _T_2027 = add(_T_2026, _T_2000) @[exu_mul_ctl.scala 137:112] + node _T_2028 = add(_T_2027, _T_2001) @[exu_mul_ctl.scala 137:112] + node _T_2029 = add(_T_2028, _T_2002) @[exu_mul_ctl.scala 137:112] + node _T_2030 = add(_T_2029, _T_2003) @[exu_mul_ctl.scala 137:112] + node _T_2031 = add(_T_2030, _T_2004) @[exu_mul_ctl.scala 137:112] + node _T_2032 = eq(_T_2031, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_2033 = bits(_T_2032, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2034 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_2035 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2036 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2037 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2038 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2039 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2040 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2041 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2042 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2043 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2044 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2045 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2046 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2047 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2048 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2049 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2050 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2051 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2052 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2053 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2054 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2055 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2056 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2057 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2058 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2059 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2060 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_2061 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_2062 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_2063 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_2064 = add(_T_2035, _T_2036) @[exu_mul_ctl.scala 137:112] + node _T_2065 = add(_T_2064, _T_2037) @[exu_mul_ctl.scala 137:112] + node _T_2066 = add(_T_2065, _T_2038) @[exu_mul_ctl.scala 137:112] + node _T_2067 = add(_T_2066, _T_2039) @[exu_mul_ctl.scala 137:112] + node _T_2068 = add(_T_2067, _T_2040) @[exu_mul_ctl.scala 137:112] + node _T_2069 = add(_T_2068, _T_2041) @[exu_mul_ctl.scala 137:112] + node _T_2070 = add(_T_2069, _T_2042) @[exu_mul_ctl.scala 137:112] + node _T_2071 = add(_T_2070, _T_2043) @[exu_mul_ctl.scala 137:112] + node _T_2072 = add(_T_2071, _T_2044) @[exu_mul_ctl.scala 137:112] + node _T_2073 = add(_T_2072, _T_2045) @[exu_mul_ctl.scala 137:112] + node _T_2074 = add(_T_2073, _T_2046) @[exu_mul_ctl.scala 137:112] + node _T_2075 = add(_T_2074, _T_2047) @[exu_mul_ctl.scala 137:112] + node _T_2076 = add(_T_2075, _T_2048) @[exu_mul_ctl.scala 137:112] + node _T_2077 = add(_T_2076, _T_2049) @[exu_mul_ctl.scala 137:112] + node _T_2078 = add(_T_2077, _T_2050) @[exu_mul_ctl.scala 137:112] + node _T_2079 = add(_T_2078, _T_2051) @[exu_mul_ctl.scala 137:112] + node _T_2080 = add(_T_2079, _T_2052) @[exu_mul_ctl.scala 137:112] + node _T_2081 = add(_T_2080, _T_2053) @[exu_mul_ctl.scala 137:112] + node _T_2082 = add(_T_2081, _T_2054) @[exu_mul_ctl.scala 137:112] + node _T_2083 = add(_T_2082, _T_2055) @[exu_mul_ctl.scala 137:112] + node _T_2084 = add(_T_2083, _T_2056) @[exu_mul_ctl.scala 137:112] + node _T_2085 = add(_T_2084, _T_2057) @[exu_mul_ctl.scala 137:112] + node _T_2086 = add(_T_2085, _T_2058) @[exu_mul_ctl.scala 137:112] + node _T_2087 = add(_T_2086, _T_2059) @[exu_mul_ctl.scala 137:112] + node _T_2088 = add(_T_2087, _T_2060) @[exu_mul_ctl.scala 137:112] + node _T_2089 = add(_T_2088, _T_2061) @[exu_mul_ctl.scala 137:112] + node _T_2090 = add(_T_2089, _T_2062) @[exu_mul_ctl.scala 137:112] + node _T_2091 = add(_T_2090, _T_2063) @[exu_mul_ctl.scala 137:112] + node _T_2092 = eq(_T_2091, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_2093 = bits(_T_2092, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2094 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_2095 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2096 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2097 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2098 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2099 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2100 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2101 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2102 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2103 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2104 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2105 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2106 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2107 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2108 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2109 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2110 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2111 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2112 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2113 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2114 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2115 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2116 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2117 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2118 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2119 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2120 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_2121 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_2122 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_2123 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_2124 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_2125 = add(_T_2095, _T_2096) @[exu_mul_ctl.scala 137:112] + node _T_2126 = add(_T_2125, _T_2097) @[exu_mul_ctl.scala 137:112] + node _T_2127 = add(_T_2126, _T_2098) @[exu_mul_ctl.scala 137:112] + node _T_2128 = add(_T_2127, _T_2099) @[exu_mul_ctl.scala 137:112] + node _T_2129 = add(_T_2128, _T_2100) @[exu_mul_ctl.scala 137:112] + node _T_2130 = add(_T_2129, _T_2101) @[exu_mul_ctl.scala 137:112] + node _T_2131 = add(_T_2130, _T_2102) @[exu_mul_ctl.scala 137:112] + node _T_2132 = add(_T_2131, _T_2103) @[exu_mul_ctl.scala 137:112] + node _T_2133 = add(_T_2132, _T_2104) @[exu_mul_ctl.scala 137:112] + node _T_2134 = add(_T_2133, _T_2105) @[exu_mul_ctl.scala 137:112] + node _T_2135 = add(_T_2134, _T_2106) @[exu_mul_ctl.scala 137:112] + node _T_2136 = add(_T_2135, _T_2107) @[exu_mul_ctl.scala 137:112] + node _T_2137 = add(_T_2136, _T_2108) @[exu_mul_ctl.scala 137:112] + node _T_2138 = add(_T_2137, _T_2109) @[exu_mul_ctl.scala 137:112] + node _T_2139 = add(_T_2138, _T_2110) @[exu_mul_ctl.scala 137:112] + node _T_2140 = add(_T_2139, _T_2111) @[exu_mul_ctl.scala 137:112] + node _T_2141 = add(_T_2140, _T_2112) @[exu_mul_ctl.scala 137:112] + node _T_2142 = add(_T_2141, _T_2113) @[exu_mul_ctl.scala 137:112] + node _T_2143 = add(_T_2142, _T_2114) @[exu_mul_ctl.scala 137:112] + node _T_2144 = add(_T_2143, _T_2115) @[exu_mul_ctl.scala 137:112] + node _T_2145 = add(_T_2144, _T_2116) @[exu_mul_ctl.scala 137:112] + node _T_2146 = add(_T_2145, _T_2117) @[exu_mul_ctl.scala 137:112] + node _T_2147 = add(_T_2146, _T_2118) @[exu_mul_ctl.scala 137:112] + node _T_2148 = add(_T_2147, _T_2119) @[exu_mul_ctl.scala 137:112] + node _T_2149 = add(_T_2148, _T_2120) @[exu_mul_ctl.scala 137:112] + node _T_2150 = add(_T_2149, _T_2121) @[exu_mul_ctl.scala 137:112] + node _T_2151 = add(_T_2150, _T_2122) @[exu_mul_ctl.scala 137:112] + node _T_2152 = add(_T_2151, _T_2123) @[exu_mul_ctl.scala 137:112] + node _T_2153 = add(_T_2152, _T_2124) @[exu_mul_ctl.scala 137:112] + node _T_2154 = eq(_T_2153, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_2155 = bits(_T_2154, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2156 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_2157 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2158 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2159 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2160 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2161 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2162 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2163 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2164 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2165 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2166 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2167 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2168 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2169 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2170 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2171 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2172 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2173 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2174 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2175 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2176 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2177 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2178 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2179 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2180 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2181 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2182 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_2183 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_2184 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_2185 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_2186 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_2187 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_2188 = add(_T_2157, _T_2158) @[exu_mul_ctl.scala 137:112] + node _T_2189 = add(_T_2188, _T_2159) @[exu_mul_ctl.scala 137:112] + node _T_2190 = add(_T_2189, _T_2160) @[exu_mul_ctl.scala 137:112] + node _T_2191 = add(_T_2190, _T_2161) @[exu_mul_ctl.scala 137:112] + node _T_2192 = add(_T_2191, _T_2162) @[exu_mul_ctl.scala 137:112] + node _T_2193 = add(_T_2192, _T_2163) @[exu_mul_ctl.scala 137:112] + node _T_2194 = add(_T_2193, _T_2164) @[exu_mul_ctl.scala 137:112] + node _T_2195 = add(_T_2194, _T_2165) @[exu_mul_ctl.scala 137:112] + node _T_2196 = add(_T_2195, _T_2166) @[exu_mul_ctl.scala 137:112] + node _T_2197 = add(_T_2196, _T_2167) @[exu_mul_ctl.scala 137:112] + node _T_2198 = add(_T_2197, _T_2168) @[exu_mul_ctl.scala 137:112] + node _T_2199 = add(_T_2198, _T_2169) @[exu_mul_ctl.scala 137:112] + node _T_2200 = add(_T_2199, _T_2170) @[exu_mul_ctl.scala 137:112] + node _T_2201 = add(_T_2200, _T_2171) @[exu_mul_ctl.scala 137:112] + node _T_2202 = add(_T_2201, _T_2172) @[exu_mul_ctl.scala 137:112] + node _T_2203 = add(_T_2202, _T_2173) @[exu_mul_ctl.scala 137:112] + node _T_2204 = add(_T_2203, _T_2174) @[exu_mul_ctl.scala 137:112] + node _T_2205 = add(_T_2204, _T_2175) @[exu_mul_ctl.scala 137:112] + node _T_2206 = add(_T_2205, _T_2176) @[exu_mul_ctl.scala 137:112] + node _T_2207 = add(_T_2206, _T_2177) @[exu_mul_ctl.scala 137:112] + node _T_2208 = add(_T_2207, _T_2178) @[exu_mul_ctl.scala 137:112] + node _T_2209 = add(_T_2208, _T_2179) @[exu_mul_ctl.scala 137:112] + node _T_2210 = add(_T_2209, _T_2180) @[exu_mul_ctl.scala 137:112] + node _T_2211 = add(_T_2210, _T_2181) @[exu_mul_ctl.scala 137:112] + node _T_2212 = add(_T_2211, _T_2182) @[exu_mul_ctl.scala 137:112] + node _T_2213 = add(_T_2212, _T_2183) @[exu_mul_ctl.scala 137:112] + node _T_2214 = add(_T_2213, _T_2184) @[exu_mul_ctl.scala 137:112] + node _T_2215 = add(_T_2214, _T_2185) @[exu_mul_ctl.scala 137:112] + node _T_2216 = add(_T_2215, _T_2186) @[exu_mul_ctl.scala 137:112] + node _T_2217 = add(_T_2216, _T_2187) @[exu_mul_ctl.scala 137:112] + node _T_2218 = eq(_T_2217, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_2219 = bits(_T_2218, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2220 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_2221 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2222 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2223 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2224 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2225 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2226 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2227 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2228 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2229 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2230 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2231 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2232 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2233 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2234 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2235 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2236 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2237 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2238 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2239 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2240 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2241 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2242 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2243 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2244 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2245 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2246 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_2247 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_2248 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_2249 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_2250 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_2251 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_2252 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_2253 = add(_T_2221, _T_2222) @[exu_mul_ctl.scala 137:112] + node _T_2254 = add(_T_2253, _T_2223) @[exu_mul_ctl.scala 137:112] + node _T_2255 = add(_T_2254, _T_2224) @[exu_mul_ctl.scala 137:112] + node _T_2256 = add(_T_2255, _T_2225) @[exu_mul_ctl.scala 137:112] + node _T_2257 = add(_T_2256, _T_2226) @[exu_mul_ctl.scala 137:112] + node _T_2258 = add(_T_2257, _T_2227) @[exu_mul_ctl.scala 137:112] + node _T_2259 = add(_T_2258, _T_2228) @[exu_mul_ctl.scala 137:112] + node _T_2260 = add(_T_2259, _T_2229) @[exu_mul_ctl.scala 137:112] + node _T_2261 = add(_T_2260, _T_2230) @[exu_mul_ctl.scala 137:112] + node _T_2262 = add(_T_2261, _T_2231) @[exu_mul_ctl.scala 137:112] + node _T_2263 = add(_T_2262, _T_2232) @[exu_mul_ctl.scala 137:112] + node _T_2264 = add(_T_2263, _T_2233) @[exu_mul_ctl.scala 137:112] + node _T_2265 = add(_T_2264, _T_2234) @[exu_mul_ctl.scala 137:112] + node _T_2266 = add(_T_2265, _T_2235) @[exu_mul_ctl.scala 137:112] + node _T_2267 = add(_T_2266, _T_2236) @[exu_mul_ctl.scala 137:112] + node _T_2268 = add(_T_2267, _T_2237) @[exu_mul_ctl.scala 137:112] + node _T_2269 = add(_T_2268, _T_2238) @[exu_mul_ctl.scala 137:112] + node _T_2270 = add(_T_2269, _T_2239) @[exu_mul_ctl.scala 137:112] + node _T_2271 = add(_T_2270, _T_2240) @[exu_mul_ctl.scala 137:112] + node _T_2272 = add(_T_2271, _T_2241) @[exu_mul_ctl.scala 137:112] + node _T_2273 = add(_T_2272, _T_2242) @[exu_mul_ctl.scala 137:112] + node _T_2274 = add(_T_2273, _T_2243) @[exu_mul_ctl.scala 137:112] + node _T_2275 = add(_T_2274, _T_2244) @[exu_mul_ctl.scala 137:112] + node _T_2276 = add(_T_2275, _T_2245) @[exu_mul_ctl.scala 137:112] + node _T_2277 = add(_T_2276, _T_2246) @[exu_mul_ctl.scala 137:112] + node _T_2278 = add(_T_2277, _T_2247) @[exu_mul_ctl.scala 137:112] + node _T_2279 = add(_T_2278, _T_2248) @[exu_mul_ctl.scala 137:112] + node _T_2280 = add(_T_2279, _T_2249) @[exu_mul_ctl.scala 137:112] + node _T_2281 = add(_T_2280, _T_2250) @[exu_mul_ctl.scala 137:112] + node _T_2282 = add(_T_2281, _T_2251) @[exu_mul_ctl.scala 137:112] + node _T_2283 = add(_T_2282, _T_2252) @[exu_mul_ctl.scala 137:112] + node _T_2284 = eq(_T_2283, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_2285 = bits(_T_2284, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2286 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_2287 = mux(_T_2285, _T_2286, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_2288 = mux(_T_2219, _T_2220, _T_2287) @[Mux.scala 98:16] + node _T_2289 = mux(_T_2155, _T_2156, _T_2288) @[Mux.scala 98:16] + node _T_2290 = mux(_T_2093, _T_2094, _T_2289) @[Mux.scala 98:16] + node _T_2291 = mux(_T_2033, _T_2034, _T_2290) @[Mux.scala 98:16] + node _T_2292 = mux(_T_1975, _T_1976, _T_2291) @[Mux.scala 98:16] + node _T_2293 = mux(_T_1919, _T_1920, _T_2292) @[Mux.scala 98:16] + node _T_2294 = mux(_T_1865, _T_1866, _T_2293) @[Mux.scala 98:16] + node _T_2295 = mux(_T_1813, _T_1814, _T_2294) @[Mux.scala 98:16] + node _T_2296 = mux(_T_1763, _T_1764, _T_2295) @[Mux.scala 98:16] + node _T_2297 = mux(_T_1715, _T_1716, _T_2296) @[Mux.scala 98:16] + node _T_2298 = mux(_T_1669, _T_1670, _T_2297) @[Mux.scala 98:16] + node _T_2299 = mux(_T_1625, _T_1626, _T_2298) @[Mux.scala 98:16] + node _T_2300 = mux(_T_1583, _T_1584, _T_2299) @[Mux.scala 98:16] + node _T_2301 = mux(_T_1543, _T_1544, _T_2300) @[Mux.scala 98:16] + node _T_2302 = mux(_T_1505, _T_1506, _T_2301) @[Mux.scala 98:16] + node _T_2303 = mux(_T_1469, _T_1470, _T_2302) @[Mux.scala 98:16] + node _T_2304 = mux(_T_1435, _T_1436, _T_2303) @[Mux.scala 98:16] + node _T_2305 = mux(_T_1403, _T_1404, _T_2304) @[Mux.scala 98:16] + node _T_2306 = mux(_T_1373, _T_1374, _T_2305) @[Mux.scala 98:16] + node _T_2307 = mux(_T_1345, _T_1346, _T_2306) @[Mux.scala 98:16] + node _T_2308 = mux(_T_1319, _T_1320, _T_2307) @[Mux.scala 98:16] + node _T_2309 = mux(_T_1295, _T_1296, _T_2308) @[Mux.scala 98:16] + node _T_2310 = mux(_T_1273, _T_1274, _T_2309) @[Mux.scala 98:16] + node _T_2311 = mux(_T_1253, _T_1254, _T_2310) @[Mux.scala 98:16] + node _T_2312 = mux(_T_1235, _T_1236, _T_2311) @[Mux.scala 98:16] + node _T_2313 = mux(_T_1219, _T_1220, _T_2312) @[Mux.scala 98:16] + node _T_2314 = mux(_T_1205, _T_1206, _T_2313) @[Mux.scala 98:16] + node _T_2315 = mux(_T_1193, _T_1194, _T_2314) @[Mux.scala 98:16] + node _T_2316 = mux(_T_1183, _T_1184, _T_2315) @[Mux.scala 98:16] + node _T_2317 = mux(_T_1175, _T_1176, _T_2316) @[Mux.scala 98:16] + node _T_2318 = mux(_T_1169, _T_1170, _T_2317) @[Mux.scala 98:16] + node _T_2319 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_2320 = eq(_T_2319, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2321 = bits(_T_2320, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2322 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_2323 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2324 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2325 = add(_T_2323, _T_2324) @[exu_mul_ctl.scala 137:112] + node _T_2326 = eq(_T_2325, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2327 = bits(_T_2326, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2328 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_2329 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2330 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2331 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2332 = add(_T_2329, _T_2330) @[exu_mul_ctl.scala 137:112] + node _T_2333 = add(_T_2332, _T_2331) @[exu_mul_ctl.scala 137:112] + node _T_2334 = eq(_T_2333, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2335 = bits(_T_2334, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2336 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_2337 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2338 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2339 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2340 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2341 = add(_T_2337, _T_2338) @[exu_mul_ctl.scala 137:112] + node _T_2342 = add(_T_2341, _T_2339) @[exu_mul_ctl.scala 137:112] + node _T_2343 = add(_T_2342, _T_2340) @[exu_mul_ctl.scala 137:112] + node _T_2344 = eq(_T_2343, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2345 = bits(_T_2344, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2346 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_2347 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2348 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2349 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2350 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2351 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2352 = add(_T_2347, _T_2348) @[exu_mul_ctl.scala 137:112] + node _T_2353 = add(_T_2352, _T_2349) @[exu_mul_ctl.scala 137:112] + node _T_2354 = add(_T_2353, _T_2350) @[exu_mul_ctl.scala 137:112] + node _T_2355 = add(_T_2354, _T_2351) @[exu_mul_ctl.scala 137:112] + node _T_2356 = eq(_T_2355, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2357 = bits(_T_2356, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2358 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_2359 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2360 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2361 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2362 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2363 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2364 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2365 = add(_T_2359, _T_2360) @[exu_mul_ctl.scala 137:112] + node _T_2366 = add(_T_2365, _T_2361) @[exu_mul_ctl.scala 137:112] + node _T_2367 = add(_T_2366, _T_2362) @[exu_mul_ctl.scala 137:112] + node _T_2368 = add(_T_2367, _T_2363) @[exu_mul_ctl.scala 137:112] + node _T_2369 = add(_T_2368, _T_2364) @[exu_mul_ctl.scala 137:112] + node _T_2370 = eq(_T_2369, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2371 = bits(_T_2370, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2372 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_2373 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2374 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2375 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2376 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2377 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2378 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2379 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2380 = add(_T_2373, _T_2374) @[exu_mul_ctl.scala 137:112] + node _T_2381 = add(_T_2380, _T_2375) @[exu_mul_ctl.scala 137:112] + node _T_2382 = add(_T_2381, _T_2376) @[exu_mul_ctl.scala 137:112] + node _T_2383 = add(_T_2382, _T_2377) @[exu_mul_ctl.scala 137:112] + node _T_2384 = add(_T_2383, _T_2378) @[exu_mul_ctl.scala 137:112] + node _T_2385 = add(_T_2384, _T_2379) @[exu_mul_ctl.scala 137:112] + node _T_2386 = eq(_T_2385, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2387 = bits(_T_2386, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2388 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_2389 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2390 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2391 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2392 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2393 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2394 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2395 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2396 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2397 = add(_T_2389, _T_2390) @[exu_mul_ctl.scala 137:112] + node _T_2398 = add(_T_2397, _T_2391) @[exu_mul_ctl.scala 137:112] + node _T_2399 = add(_T_2398, _T_2392) @[exu_mul_ctl.scala 137:112] + node _T_2400 = add(_T_2399, _T_2393) @[exu_mul_ctl.scala 137:112] + node _T_2401 = add(_T_2400, _T_2394) @[exu_mul_ctl.scala 137:112] + node _T_2402 = add(_T_2401, _T_2395) @[exu_mul_ctl.scala 137:112] + node _T_2403 = add(_T_2402, _T_2396) @[exu_mul_ctl.scala 137:112] + node _T_2404 = eq(_T_2403, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2405 = bits(_T_2404, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2406 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_2407 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2408 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2409 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2410 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2411 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2412 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2413 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2414 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2415 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2416 = add(_T_2407, _T_2408) @[exu_mul_ctl.scala 137:112] + node _T_2417 = add(_T_2416, _T_2409) @[exu_mul_ctl.scala 137:112] + node _T_2418 = add(_T_2417, _T_2410) @[exu_mul_ctl.scala 137:112] + node _T_2419 = add(_T_2418, _T_2411) @[exu_mul_ctl.scala 137:112] + node _T_2420 = add(_T_2419, _T_2412) @[exu_mul_ctl.scala 137:112] + node _T_2421 = add(_T_2420, _T_2413) @[exu_mul_ctl.scala 137:112] + node _T_2422 = add(_T_2421, _T_2414) @[exu_mul_ctl.scala 137:112] + node _T_2423 = add(_T_2422, _T_2415) @[exu_mul_ctl.scala 137:112] + node _T_2424 = eq(_T_2423, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2425 = bits(_T_2424, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2426 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_2427 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2428 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2429 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2430 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2431 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2432 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2433 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2434 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2435 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2436 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2437 = add(_T_2427, _T_2428) @[exu_mul_ctl.scala 137:112] + node _T_2438 = add(_T_2437, _T_2429) @[exu_mul_ctl.scala 137:112] + node _T_2439 = add(_T_2438, _T_2430) @[exu_mul_ctl.scala 137:112] + node _T_2440 = add(_T_2439, _T_2431) @[exu_mul_ctl.scala 137:112] + node _T_2441 = add(_T_2440, _T_2432) @[exu_mul_ctl.scala 137:112] + node _T_2442 = add(_T_2441, _T_2433) @[exu_mul_ctl.scala 137:112] + node _T_2443 = add(_T_2442, _T_2434) @[exu_mul_ctl.scala 137:112] + node _T_2444 = add(_T_2443, _T_2435) @[exu_mul_ctl.scala 137:112] + node _T_2445 = add(_T_2444, _T_2436) @[exu_mul_ctl.scala 137:112] + node _T_2446 = eq(_T_2445, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2447 = bits(_T_2446, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2448 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_2449 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2450 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2451 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2452 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2453 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2454 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2455 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2456 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2457 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2458 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2459 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2460 = add(_T_2449, _T_2450) @[exu_mul_ctl.scala 137:112] + node _T_2461 = add(_T_2460, _T_2451) @[exu_mul_ctl.scala 137:112] + node _T_2462 = add(_T_2461, _T_2452) @[exu_mul_ctl.scala 137:112] + node _T_2463 = add(_T_2462, _T_2453) @[exu_mul_ctl.scala 137:112] + node _T_2464 = add(_T_2463, _T_2454) @[exu_mul_ctl.scala 137:112] + node _T_2465 = add(_T_2464, _T_2455) @[exu_mul_ctl.scala 137:112] + node _T_2466 = add(_T_2465, _T_2456) @[exu_mul_ctl.scala 137:112] + node _T_2467 = add(_T_2466, _T_2457) @[exu_mul_ctl.scala 137:112] + node _T_2468 = add(_T_2467, _T_2458) @[exu_mul_ctl.scala 137:112] + node _T_2469 = add(_T_2468, _T_2459) @[exu_mul_ctl.scala 137:112] + node _T_2470 = eq(_T_2469, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2471 = bits(_T_2470, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2472 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_2473 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2474 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2475 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2476 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2477 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2478 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2479 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2480 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2481 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2482 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2483 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2484 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2485 = add(_T_2473, _T_2474) @[exu_mul_ctl.scala 137:112] + node _T_2486 = add(_T_2485, _T_2475) @[exu_mul_ctl.scala 137:112] + node _T_2487 = add(_T_2486, _T_2476) @[exu_mul_ctl.scala 137:112] + node _T_2488 = add(_T_2487, _T_2477) @[exu_mul_ctl.scala 137:112] + node _T_2489 = add(_T_2488, _T_2478) @[exu_mul_ctl.scala 137:112] + node _T_2490 = add(_T_2489, _T_2479) @[exu_mul_ctl.scala 137:112] + node _T_2491 = add(_T_2490, _T_2480) @[exu_mul_ctl.scala 137:112] + node _T_2492 = add(_T_2491, _T_2481) @[exu_mul_ctl.scala 137:112] + node _T_2493 = add(_T_2492, _T_2482) @[exu_mul_ctl.scala 137:112] + node _T_2494 = add(_T_2493, _T_2483) @[exu_mul_ctl.scala 137:112] + node _T_2495 = add(_T_2494, _T_2484) @[exu_mul_ctl.scala 137:112] + node _T_2496 = eq(_T_2495, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2497 = bits(_T_2496, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2498 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_2499 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2500 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2501 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2502 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2503 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2504 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2505 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2506 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2507 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2508 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2509 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2510 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2511 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2512 = add(_T_2499, _T_2500) @[exu_mul_ctl.scala 137:112] + node _T_2513 = add(_T_2512, _T_2501) @[exu_mul_ctl.scala 137:112] + node _T_2514 = add(_T_2513, _T_2502) @[exu_mul_ctl.scala 137:112] + node _T_2515 = add(_T_2514, _T_2503) @[exu_mul_ctl.scala 137:112] + node _T_2516 = add(_T_2515, _T_2504) @[exu_mul_ctl.scala 137:112] + node _T_2517 = add(_T_2516, _T_2505) @[exu_mul_ctl.scala 137:112] + node _T_2518 = add(_T_2517, _T_2506) @[exu_mul_ctl.scala 137:112] + node _T_2519 = add(_T_2518, _T_2507) @[exu_mul_ctl.scala 137:112] + node _T_2520 = add(_T_2519, _T_2508) @[exu_mul_ctl.scala 137:112] + node _T_2521 = add(_T_2520, _T_2509) @[exu_mul_ctl.scala 137:112] + node _T_2522 = add(_T_2521, _T_2510) @[exu_mul_ctl.scala 137:112] + node _T_2523 = add(_T_2522, _T_2511) @[exu_mul_ctl.scala 137:112] + node _T_2524 = eq(_T_2523, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2525 = bits(_T_2524, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2526 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_2527 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2528 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2529 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2530 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2531 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2532 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2533 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2534 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2535 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2536 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2537 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2538 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2539 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2540 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2541 = add(_T_2527, _T_2528) @[exu_mul_ctl.scala 137:112] + node _T_2542 = add(_T_2541, _T_2529) @[exu_mul_ctl.scala 137:112] + node _T_2543 = add(_T_2542, _T_2530) @[exu_mul_ctl.scala 137:112] + node _T_2544 = add(_T_2543, _T_2531) @[exu_mul_ctl.scala 137:112] + node _T_2545 = add(_T_2544, _T_2532) @[exu_mul_ctl.scala 137:112] + node _T_2546 = add(_T_2545, _T_2533) @[exu_mul_ctl.scala 137:112] + node _T_2547 = add(_T_2546, _T_2534) @[exu_mul_ctl.scala 137:112] + node _T_2548 = add(_T_2547, _T_2535) @[exu_mul_ctl.scala 137:112] + node _T_2549 = add(_T_2548, _T_2536) @[exu_mul_ctl.scala 137:112] + node _T_2550 = add(_T_2549, _T_2537) @[exu_mul_ctl.scala 137:112] + node _T_2551 = add(_T_2550, _T_2538) @[exu_mul_ctl.scala 137:112] + node _T_2552 = add(_T_2551, _T_2539) @[exu_mul_ctl.scala 137:112] + node _T_2553 = add(_T_2552, _T_2540) @[exu_mul_ctl.scala 137:112] + node _T_2554 = eq(_T_2553, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2555 = bits(_T_2554, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2556 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_2557 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2558 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2559 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2560 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2561 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2562 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2563 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2564 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2565 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2566 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2567 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2568 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2569 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2570 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2571 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2572 = add(_T_2557, _T_2558) @[exu_mul_ctl.scala 137:112] + node _T_2573 = add(_T_2572, _T_2559) @[exu_mul_ctl.scala 137:112] + node _T_2574 = add(_T_2573, _T_2560) @[exu_mul_ctl.scala 137:112] + node _T_2575 = add(_T_2574, _T_2561) @[exu_mul_ctl.scala 137:112] + node _T_2576 = add(_T_2575, _T_2562) @[exu_mul_ctl.scala 137:112] + node _T_2577 = add(_T_2576, _T_2563) @[exu_mul_ctl.scala 137:112] + node _T_2578 = add(_T_2577, _T_2564) @[exu_mul_ctl.scala 137:112] + node _T_2579 = add(_T_2578, _T_2565) @[exu_mul_ctl.scala 137:112] + node _T_2580 = add(_T_2579, _T_2566) @[exu_mul_ctl.scala 137:112] + node _T_2581 = add(_T_2580, _T_2567) @[exu_mul_ctl.scala 137:112] + node _T_2582 = add(_T_2581, _T_2568) @[exu_mul_ctl.scala 137:112] + node _T_2583 = add(_T_2582, _T_2569) @[exu_mul_ctl.scala 137:112] + node _T_2584 = add(_T_2583, _T_2570) @[exu_mul_ctl.scala 137:112] + node _T_2585 = add(_T_2584, _T_2571) @[exu_mul_ctl.scala 137:112] + node _T_2586 = eq(_T_2585, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2587 = bits(_T_2586, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2588 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_2589 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2590 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2591 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2592 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2593 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2594 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2595 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2596 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2597 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2598 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2599 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2600 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2601 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2602 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2603 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2604 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2605 = add(_T_2589, _T_2590) @[exu_mul_ctl.scala 137:112] + node _T_2606 = add(_T_2605, _T_2591) @[exu_mul_ctl.scala 137:112] + node _T_2607 = add(_T_2606, _T_2592) @[exu_mul_ctl.scala 137:112] + node _T_2608 = add(_T_2607, _T_2593) @[exu_mul_ctl.scala 137:112] + node _T_2609 = add(_T_2608, _T_2594) @[exu_mul_ctl.scala 137:112] + node _T_2610 = add(_T_2609, _T_2595) @[exu_mul_ctl.scala 137:112] + node _T_2611 = add(_T_2610, _T_2596) @[exu_mul_ctl.scala 137:112] + node _T_2612 = add(_T_2611, _T_2597) @[exu_mul_ctl.scala 137:112] + node _T_2613 = add(_T_2612, _T_2598) @[exu_mul_ctl.scala 137:112] + node _T_2614 = add(_T_2613, _T_2599) @[exu_mul_ctl.scala 137:112] + node _T_2615 = add(_T_2614, _T_2600) @[exu_mul_ctl.scala 137:112] + node _T_2616 = add(_T_2615, _T_2601) @[exu_mul_ctl.scala 137:112] + node _T_2617 = add(_T_2616, _T_2602) @[exu_mul_ctl.scala 137:112] + node _T_2618 = add(_T_2617, _T_2603) @[exu_mul_ctl.scala 137:112] + node _T_2619 = add(_T_2618, _T_2604) @[exu_mul_ctl.scala 137:112] + node _T_2620 = eq(_T_2619, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2621 = bits(_T_2620, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2622 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_2623 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2624 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2625 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2626 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2627 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2628 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2629 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2630 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2631 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2632 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2633 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2634 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2635 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2636 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2637 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2638 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2639 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2640 = add(_T_2623, _T_2624) @[exu_mul_ctl.scala 137:112] + node _T_2641 = add(_T_2640, _T_2625) @[exu_mul_ctl.scala 137:112] + node _T_2642 = add(_T_2641, _T_2626) @[exu_mul_ctl.scala 137:112] + node _T_2643 = add(_T_2642, _T_2627) @[exu_mul_ctl.scala 137:112] + node _T_2644 = add(_T_2643, _T_2628) @[exu_mul_ctl.scala 137:112] + node _T_2645 = add(_T_2644, _T_2629) @[exu_mul_ctl.scala 137:112] + node _T_2646 = add(_T_2645, _T_2630) @[exu_mul_ctl.scala 137:112] + node _T_2647 = add(_T_2646, _T_2631) @[exu_mul_ctl.scala 137:112] + node _T_2648 = add(_T_2647, _T_2632) @[exu_mul_ctl.scala 137:112] + node _T_2649 = add(_T_2648, _T_2633) @[exu_mul_ctl.scala 137:112] + node _T_2650 = add(_T_2649, _T_2634) @[exu_mul_ctl.scala 137:112] + node _T_2651 = add(_T_2650, _T_2635) @[exu_mul_ctl.scala 137:112] + node _T_2652 = add(_T_2651, _T_2636) @[exu_mul_ctl.scala 137:112] + node _T_2653 = add(_T_2652, _T_2637) @[exu_mul_ctl.scala 137:112] + node _T_2654 = add(_T_2653, _T_2638) @[exu_mul_ctl.scala 137:112] + node _T_2655 = add(_T_2654, _T_2639) @[exu_mul_ctl.scala 137:112] + node _T_2656 = eq(_T_2655, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2657 = bits(_T_2656, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2658 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_2659 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2660 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2661 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2662 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2663 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2664 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2665 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2666 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2667 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2668 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2669 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2670 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2671 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2672 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2673 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2674 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2675 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2676 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2677 = add(_T_2659, _T_2660) @[exu_mul_ctl.scala 137:112] + node _T_2678 = add(_T_2677, _T_2661) @[exu_mul_ctl.scala 137:112] + node _T_2679 = add(_T_2678, _T_2662) @[exu_mul_ctl.scala 137:112] + node _T_2680 = add(_T_2679, _T_2663) @[exu_mul_ctl.scala 137:112] + node _T_2681 = add(_T_2680, _T_2664) @[exu_mul_ctl.scala 137:112] + node _T_2682 = add(_T_2681, _T_2665) @[exu_mul_ctl.scala 137:112] + node _T_2683 = add(_T_2682, _T_2666) @[exu_mul_ctl.scala 137:112] + node _T_2684 = add(_T_2683, _T_2667) @[exu_mul_ctl.scala 137:112] + node _T_2685 = add(_T_2684, _T_2668) @[exu_mul_ctl.scala 137:112] + node _T_2686 = add(_T_2685, _T_2669) @[exu_mul_ctl.scala 137:112] + node _T_2687 = add(_T_2686, _T_2670) @[exu_mul_ctl.scala 137:112] + node _T_2688 = add(_T_2687, _T_2671) @[exu_mul_ctl.scala 137:112] + node _T_2689 = add(_T_2688, _T_2672) @[exu_mul_ctl.scala 137:112] + node _T_2690 = add(_T_2689, _T_2673) @[exu_mul_ctl.scala 137:112] + node _T_2691 = add(_T_2690, _T_2674) @[exu_mul_ctl.scala 137:112] + node _T_2692 = add(_T_2691, _T_2675) @[exu_mul_ctl.scala 137:112] + node _T_2693 = add(_T_2692, _T_2676) @[exu_mul_ctl.scala 137:112] + node _T_2694 = eq(_T_2693, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2695 = bits(_T_2694, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2696 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_2697 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2698 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2699 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2700 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2701 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2702 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2703 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2704 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2705 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2706 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2707 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2708 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2709 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2710 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2711 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2712 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2713 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2714 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2715 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2716 = add(_T_2697, _T_2698) @[exu_mul_ctl.scala 137:112] + node _T_2717 = add(_T_2716, _T_2699) @[exu_mul_ctl.scala 137:112] + node _T_2718 = add(_T_2717, _T_2700) @[exu_mul_ctl.scala 137:112] + node _T_2719 = add(_T_2718, _T_2701) @[exu_mul_ctl.scala 137:112] + node _T_2720 = add(_T_2719, _T_2702) @[exu_mul_ctl.scala 137:112] + node _T_2721 = add(_T_2720, _T_2703) @[exu_mul_ctl.scala 137:112] + node _T_2722 = add(_T_2721, _T_2704) @[exu_mul_ctl.scala 137:112] + node _T_2723 = add(_T_2722, _T_2705) @[exu_mul_ctl.scala 137:112] + node _T_2724 = add(_T_2723, _T_2706) @[exu_mul_ctl.scala 137:112] + node _T_2725 = add(_T_2724, _T_2707) @[exu_mul_ctl.scala 137:112] + node _T_2726 = add(_T_2725, _T_2708) @[exu_mul_ctl.scala 137:112] + node _T_2727 = add(_T_2726, _T_2709) @[exu_mul_ctl.scala 137:112] + node _T_2728 = add(_T_2727, _T_2710) @[exu_mul_ctl.scala 137:112] + node _T_2729 = add(_T_2728, _T_2711) @[exu_mul_ctl.scala 137:112] + node _T_2730 = add(_T_2729, _T_2712) @[exu_mul_ctl.scala 137:112] + node _T_2731 = add(_T_2730, _T_2713) @[exu_mul_ctl.scala 137:112] + node _T_2732 = add(_T_2731, _T_2714) @[exu_mul_ctl.scala 137:112] + node _T_2733 = add(_T_2732, _T_2715) @[exu_mul_ctl.scala 137:112] + node _T_2734 = eq(_T_2733, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2735 = bits(_T_2734, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2736 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_2737 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2738 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2739 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2740 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2741 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2742 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2743 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2744 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2745 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2746 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2747 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2748 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2749 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2750 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2751 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2752 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2753 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2754 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2755 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2756 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2757 = add(_T_2737, _T_2738) @[exu_mul_ctl.scala 137:112] + node _T_2758 = add(_T_2757, _T_2739) @[exu_mul_ctl.scala 137:112] + node _T_2759 = add(_T_2758, _T_2740) @[exu_mul_ctl.scala 137:112] + node _T_2760 = add(_T_2759, _T_2741) @[exu_mul_ctl.scala 137:112] + node _T_2761 = add(_T_2760, _T_2742) @[exu_mul_ctl.scala 137:112] + node _T_2762 = add(_T_2761, _T_2743) @[exu_mul_ctl.scala 137:112] + node _T_2763 = add(_T_2762, _T_2744) @[exu_mul_ctl.scala 137:112] + node _T_2764 = add(_T_2763, _T_2745) @[exu_mul_ctl.scala 137:112] + node _T_2765 = add(_T_2764, _T_2746) @[exu_mul_ctl.scala 137:112] + node _T_2766 = add(_T_2765, _T_2747) @[exu_mul_ctl.scala 137:112] + node _T_2767 = add(_T_2766, _T_2748) @[exu_mul_ctl.scala 137:112] + node _T_2768 = add(_T_2767, _T_2749) @[exu_mul_ctl.scala 137:112] + node _T_2769 = add(_T_2768, _T_2750) @[exu_mul_ctl.scala 137:112] + node _T_2770 = add(_T_2769, _T_2751) @[exu_mul_ctl.scala 137:112] + node _T_2771 = add(_T_2770, _T_2752) @[exu_mul_ctl.scala 137:112] + node _T_2772 = add(_T_2771, _T_2753) @[exu_mul_ctl.scala 137:112] + node _T_2773 = add(_T_2772, _T_2754) @[exu_mul_ctl.scala 137:112] + node _T_2774 = add(_T_2773, _T_2755) @[exu_mul_ctl.scala 137:112] + node _T_2775 = add(_T_2774, _T_2756) @[exu_mul_ctl.scala 137:112] + node _T_2776 = eq(_T_2775, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2777 = bits(_T_2776, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2778 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_2779 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2780 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2781 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2782 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2783 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2784 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2785 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2786 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2787 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2788 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2789 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2790 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2791 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2792 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2793 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2794 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2795 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2796 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2797 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2798 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2799 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2800 = add(_T_2779, _T_2780) @[exu_mul_ctl.scala 137:112] + node _T_2801 = add(_T_2800, _T_2781) @[exu_mul_ctl.scala 137:112] + node _T_2802 = add(_T_2801, _T_2782) @[exu_mul_ctl.scala 137:112] + node _T_2803 = add(_T_2802, _T_2783) @[exu_mul_ctl.scala 137:112] + node _T_2804 = add(_T_2803, _T_2784) @[exu_mul_ctl.scala 137:112] + node _T_2805 = add(_T_2804, _T_2785) @[exu_mul_ctl.scala 137:112] + node _T_2806 = add(_T_2805, _T_2786) @[exu_mul_ctl.scala 137:112] + node _T_2807 = add(_T_2806, _T_2787) @[exu_mul_ctl.scala 137:112] + node _T_2808 = add(_T_2807, _T_2788) @[exu_mul_ctl.scala 137:112] + node _T_2809 = add(_T_2808, _T_2789) @[exu_mul_ctl.scala 137:112] + node _T_2810 = add(_T_2809, _T_2790) @[exu_mul_ctl.scala 137:112] + node _T_2811 = add(_T_2810, _T_2791) @[exu_mul_ctl.scala 137:112] + node _T_2812 = add(_T_2811, _T_2792) @[exu_mul_ctl.scala 137:112] + node _T_2813 = add(_T_2812, _T_2793) @[exu_mul_ctl.scala 137:112] + node _T_2814 = add(_T_2813, _T_2794) @[exu_mul_ctl.scala 137:112] + node _T_2815 = add(_T_2814, _T_2795) @[exu_mul_ctl.scala 137:112] + node _T_2816 = add(_T_2815, _T_2796) @[exu_mul_ctl.scala 137:112] + node _T_2817 = add(_T_2816, _T_2797) @[exu_mul_ctl.scala 137:112] + node _T_2818 = add(_T_2817, _T_2798) @[exu_mul_ctl.scala 137:112] + node _T_2819 = add(_T_2818, _T_2799) @[exu_mul_ctl.scala 137:112] + node _T_2820 = eq(_T_2819, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2821 = bits(_T_2820, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2822 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_2823 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2824 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2825 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2826 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2827 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2828 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2829 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2830 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2831 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2832 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2833 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2834 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2835 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2836 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2837 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2838 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2839 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2840 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2841 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2842 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2843 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2844 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2845 = add(_T_2823, _T_2824) @[exu_mul_ctl.scala 137:112] + node _T_2846 = add(_T_2845, _T_2825) @[exu_mul_ctl.scala 137:112] + node _T_2847 = add(_T_2846, _T_2826) @[exu_mul_ctl.scala 137:112] + node _T_2848 = add(_T_2847, _T_2827) @[exu_mul_ctl.scala 137:112] + node _T_2849 = add(_T_2848, _T_2828) @[exu_mul_ctl.scala 137:112] + node _T_2850 = add(_T_2849, _T_2829) @[exu_mul_ctl.scala 137:112] + node _T_2851 = add(_T_2850, _T_2830) @[exu_mul_ctl.scala 137:112] + node _T_2852 = add(_T_2851, _T_2831) @[exu_mul_ctl.scala 137:112] + node _T_2853 = add(_T_2852, _T_2832) @[exu_mul_ctl.scala 137:112] + node _T_2854 = add(_T_2853, _T_2833) @[exu_mul_ctl.scala 137:112] + node _T_2855 = add(_T_2854, _T_2834) @[exu_mul_ctl.scala 137:112] + node _T_2856 = add(_T_2855, _T_2835) @[exu_mul_ctl.scala 137:112] + node _T_2857 = add(_T_2856, _T_2836) @[exu_mul_ctl.scala 137:112] + node _T_2858 = add(_T_2857, _T_2837) @[exu_mul_ctl.scala 137:112] + node _T_2859 = add(_T_2858, _T_2838) @[exu_mul_ctl.scala 137:112] + node _T_2860 = add(_T_2859, _T_2839) @[exu_mul_ctl.scala 137:112] + node _T_2861 = add(_T_2860, _T_2840) @[exu_mul_ctl.scala 137:112] + node _T_2862 = add(_T_2861, _T_2841) @[exu_mul_ctl.scala 137:112] + node _T_2863 = add(_T_2862, _T_2842) @[exu_mul_ctl.scala 137:112] + node _T_2864 = add(_T_2863, _T_2843) @[exu_mul_ctl.scala 137:112] + node _T_2865 = add(_T_2864, _T_2844) @[exu_mul_ctl.scala 137:112] + node _T_2866 = eq(_T_2865, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2867 = bits(_T_2866, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2868 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_2869 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2870 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2871 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2872 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2873 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2874 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2875 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2876 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2877 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2878 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2879 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2880 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2881 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2882 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2883 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2884 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2885 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2886 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2887 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2888 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2889 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2890 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2891 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2892 = add(_T_2869, _T_2870) @[exu_mul_ctl.scala 137:112] + node _T_2893 = add(_T_2892, _T_2871) @[exu_mul_ctl.scala 137:112] + node _T_2894 = add(_T_2893, _T_2872) @[exu_mul_ctl.scala 137:112] + node _T_2895 = add(_T_2894, _T_2873) @[exu_mul_ctl.scala 137:112] + node _T_2896 = add(_T_2895, _T_2874) @[exu_mul_ctl.scala 137:112] + node _T_2897 = add(_T_2896, _T_2875) @[exu_mul_ctl.scala 137:112] + node _T_2898 = add(_T_2897, _T_2876) @[exu_mul_ctl.scala 137:112] + node _T_2899 = add(_T_2898, _T_2877) @[exu_mul_ctl.scala 137:112] + node _T_2900 = add(_T_2899, _T_2878) @[exu_mul_ctl.scala 137:112] + node _T_2901 = add(_T_2900, _T_2879) @[exu_mul_ctl.scala 137:112] + node _T_2902 = add(_T_2901, _T_2880) @[exu_mul_ctl.scala 137:112] + node _T_2903 = add(_T_2902, _T_2881) @[exu_mul_ctl.scala 137:112] + node _T_2904 = add(_T_2903, _T_2882) @[exu_mul_ctl.scala 137:112] + node _T_2905 = add(_T_2904, _T_2883) @[exu_mul_ctl.scala 137:112] + node _T_2906 = add(_T_2905, _T_2884) @[exu_mul_ctl.scala 137:112] + node _T_2907 = add(_T_2906, _T_2885) @[exu_mul_ctl.scala 137:112] + node _T_2908 = add(_T_2907, _T_2886) @[exu_mul_ctl.scala 137:112] + node _T_2909 = add(_T_2908, _T_2887) @[exu_mul_ctl.scala 137:112] + node _T_2910 = add(_T_2909, _T_2888) @[exu_mul_ctl.scala 137:112] + node _T_2911 = add(_T_2910, _T_2889) @[exu_mul_ctl.scala 137:112] + node _T_2912 = add(_T_2911, _T_2890) @[exu_mul_ctl.scala 137:112] + node _T_2913 = add(_T_2912, _T_2891) @[exu_mul_ctl.scala 137:112] + node _T_2914 = eq(_T_2913, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2915 = bits(_T_2914, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2916 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_2917 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2918 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2919 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2920 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2921 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2922 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2923 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2924 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2925 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2926 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2927 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2928 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2929 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2930 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2931 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2932 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2933 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2934 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2935 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2936 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2937 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2938 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2939 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2940 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2941 = add(_T_2917, _T_2918) @[exu_mul_ctl.scala 137:112] + node _T_2942 = add(_T_2941, _T_2919) @[exu_mul_ctl.scala 137:112] + node _T_2943 = add(_T_2942, _T_2920) @[exu_mul_ctl.scala 137:112] + node _T_2944 = add(_T_2943, _T_2921) @[exu_mul_ctl.scala 137:112] + node _T_2945 = add(_T_2944, _T_2922) @[exu_mul_ctl.scala 137:112] + node _T_2946 = add(_T_2945, _T_2923) @[exu_mul_ctl.scala 137:112] + node _T_2947 = add(_T_2946, _T_2924) @[exu_mul_ctl.scala 137:112] + node _T_2948 = add(_T_2947, _T_2925) @[exu_mul_ctl.scala 137:112] + node _T_2949 = add(_T_2948, _T_2926) @[exu_mul_ctl.scala 137:112] + node _T_2950 = add(_T_2949, _T_2927) @[exu_mul_ctl.scala 137:112] + node _T_2951 = add(_T_2950, _T_2928) @[exu_mul_ctl.scala 137:112] + node _T_2952 = add(_T_2951, _T_2929) @[exu_mul_ctl.scala 137:112] + node _T_2953 = add(_T_2952, _T_2930) @[exu_mul_ctl.scala 137:112] + node _T_2954 = add(_T_2953, _T_2931) @[exu_mul_ctl.scala 137:112] + node _T_2955 = add(_T_2954, _T_2932) @[exu_mul_ctl.scala 137:112] + node _T_2956 = add(_T_2955, _T_2933) @[exu_mul_ctl.scala 137:112] + node _T_2957 = add(_T_2956, _T_2934) @[exu_mul_ctl.scala 137:112] + node _T_2958 = add(_T_2957, _T_2935) @[exu_mul_ctl.scala 137:112] + node _T_2959 = add(_T_2958, _T_2936) @[exu_mul_ctl.scala 137:112] + node _T_2960 = add(_T_2959, _T_2937) @[exu_mul_ctl.scala 137:112] + node _T_2961 = add(_T_2960, _T_2938) @[exu_mul_ctl.scala 137:112] + node _T_2962 = add(_T_2961, _T_2939) @[exu_mul_ctl.scala 137:112] + node _T_2963 = add(_T_2962, _T_2940) @[exu_mul_ctl.scala 137:112] + node _T_2964 = eq(_T_2963, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2965 = bits(_T_2964, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2966 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_2967 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2968 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2969 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2970 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2971 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2972 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2973 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2974 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2975 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2976 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2977 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2978 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2979 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2980 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2981 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2982 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2983 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2984 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2985 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2986 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2987 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2988 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2989 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2990 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2991 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2992 = add(_T_2967, _T_2968) @[exu_mul_ctl.scala 137:112] + node _T_2993 = add(_T_2992, _T_2969) @[exu_mul_ctl.scala 137:112] + node _T_2994 = add(_T_2993, _T_2970) @[exu_mul_ctl.scala 137:112] + node _T_2995 = add(_T_2994, _T_2971) @[exu_mul_ctl.scala 137:112] + node _T_2996 = add(_T_2995, _T_2972) @[exu_mul_ctl.scala 137:112] + node _T_2997 = add(_T_2996, _T_2973) @[exu_mul_ctl.scala 137:112] + node _T_2998 = add(_T_2997, _T_2974) @[exu_mul_ctl.scala 137:112] + node _T_2999 = add(_T_2998, _T_2975) @[exu_mul_ctl.scala 137:112] + node _T_3000 = add(_T_2999, _T_2976) @[exu_mul_ctl.scala 137:112] + node _T_3001 = add(_T_3000, _T_2977) @[exu_mul_ctl.scala 137:112] + node _T_3002 = add(_T_3001, _T_2978) @[exu_mul_ctl.scala 137:112] + node _T_3003 = add(_T_3002, _T_2979) @[exu_mul_ctl.scala 137:112] + node _T_3004 = add(_T_3003, _T_2980) @[exu_mul_ctl.scala 137:112] + node _T_3005 = add(_T_3004, _T_2981) @[exu_mul_ctl.scala 137:112] + node _T_3006 = add(_T_3005, _T_2982) @[exu_mul_ctl.scala 137:112] + node _T_3007 = add(_T_3006, _T_2983) @[exu_mul_ctl.scala 137:112] + node _T_3008 = add(_T_3007, _T_2984) @[exu_mul_ctl.scala 137:112] + node _T_3009 = add(_T_3008, _T_2985) @[exu_mul_ctl.scala 137:112] + node _T_3010 = add(_T_3009, _T_2986) @[exu_mul_ctl.scala 137:112] + node _T_3011 = add(_T_3010, _T_2987) @[exu_mul_ctl.scala 137:112] + node _T_3012 = add(_T_3011, _T_2988) @[exu_mul_ctl.scala 137:112] + node _T_3013 = add(_T_3012, _T_2989) @[exu_mul_ctl.scala 137:112] + node _T_3014 = add(_T_3013, _T_2990) @[exu_mul_ctl.scala 137:112] + node _T_3015 = add(_T_3014, _T_2991) @[exu_mul_ctl.scala 137:112] + node _T_3016 = eq(_T_3015, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3017 = bits(_T_3016, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3018 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_3019 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3020 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3021 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3022 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3023 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3024 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3025 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3026 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3027 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3028 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3029 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3030 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3031 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3032 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3033 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3034 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3035 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3036 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3037 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3038 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3039 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3040 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3041 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3042 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3043 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3044 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3045 = add(_T_3019, _T_3020) @[exu_mul_ctl.scala 137:112] + node _T_3046 = add(_T_3045, _T_3021) @[exu_mul_ctl.scala 137:112] + node _T_3047 = add(_T_3046, _T_3022) @[exu_mul_ctl.scala 137:112] + node _T_3048 = add(_T_3047, _T_3023) @[exu_mul_ctl.scala 137:112] + node _T_3049 = add(_T_3048, _T_3024) @[exu_mul_ctl.scala 137:112] + node _T_3050 = add(_T_3049, _T_3025) @[exu_mul_ctl.scala 137:112] + node _T_3051 = add(_T_3050, _T_3026) @[exu_mul_ctl.scala 137:112] + node _T_3052 = add(_T_3051, _T_3027) @[exu_mul_ctl.scala 137:112] + node _T_3053 = add(_T_3052, _T_3028) @[exu_mul_ctl.scala 137:112] + node _T_3054 = add(_T_3053, _T_3029) @[exu_mul_ctl.scala 137:112] + node _T_3055 = add(_T_3054, _T_3030) @[exu_mul_ctl.scala 137:112] + node _T_3056 = add(_T_3055, _T_3031) @[exu_mul_ctl.scala 137:112] + node _T_3057 = add(_T_3056, _T_3032) @[exu_mul_ctl.scala 137:112] + node _T_3058 = add(_T_3057, _T_3033) @[exu_mul_ctl.scala 137:112] + node _T_3059 = add(_T_3058, _T_3034) @[exu_mul_ctl.scala 137:112] + node _T_3060 = add(_T_3059, _T_3035) @[exu_mul_ctl.scala 137:112] + node _T_3061 = add(_T_3060, _T_3036) @[exu_mul_ctl.scala 137:112] + node _T_3062 = add(_T_3061, _T_3037) @[exu_mul_ctl.scala 137:112] + node _T_3063 = add(_T_3062, _T_3038) @[exu_mul_ctl.scala 137:112] + node _T_3064 = add(_T_3063, _T_3039) @[exu_mul_ctl.scala 137:112] + node _T_3065 = add(_T_3064, _T_3040) @[exu_mul_ctl.scala 137:112] + node _T_3066 = add(_T_3065, _T_3041) @[exu_mul_ctl.scala 137:112] + node _T_3067 = add(_T_3066, _T_3042) @[exu_mul_ctl.scala 137:112] + node _T_3068 = add(_T_3067, _T_3043) @[exu_mul_ctl.scala 137:112] + node _T_3069 = add(_T_3068, _T_3044) @[exu_mul_ctl.scala 137:112] + node _T_3070 = eq(_T_3069, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3071 = bits(_T_3070, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3072 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_3073 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3074 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3075 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3076 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3077 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3078 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3079 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3080 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3081 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3082 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3083 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3084 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3085 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3086 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3087 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3088 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3089 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3090 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3091 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3092 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3093 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3094 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3095 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3096 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3097 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3098 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3099 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3100 = add(_T_3073, _T_3074) @[exu_mul_ctl.scala 137:112] + node _T_3101 = add(_T_3100, _T_3075) @[exu_mul_ctl.scala 137:112] + node _T_3102 = add(_T_3101, _T_3076) @[exu_mul_ctl.scala 137:112] + node _T_3103 = add(_T_3102, _T_3077) @[exu_mul_ctl.scala 137:112] + node _T_3104 = add(_T_3103, _T_3078) @[exu_mul_ctl.scala 137:112] + node _T_3105 = add(_T_3104, _T_3079) @[exu_mul_ctl.scala 137:112] + node _T_3106 = add(_T_3105, _T_3080) @[exu_mul_ctl.scala 137:112] + node _T_3107 = add(_T_3106, _T_3081) @[exu_mul_ctl.scala 137:112] + node _T_3108 = add(_T_3107, _T_3082) @[exu_mul_ctl.scala 137:112] + node _T_3109 = add(_T_3108, _T_3083) @[exu_mul_ctl.scala 137:112] + node _T_3110 = add(_T_3109, _T_3084) @[exu_mul_ctl.scala 137:112] + node _T_3111 = add(_T_3110, _T_3085) @[exu_mul_ctl.scala 137:112] + node _T_3112 = add(_T_3111, _T_3086) @[exu_mul_ctl.scala 137:112] + node _T_3113 = add(_T_3112, _T_3087) @[exu_mul_ctl.scala 137:112] + node _T_3114 = add(_T_3113, _T_3088) @[exu_mul_ctl.scala 137:112] + node _T_3115 = add(_T_3114, _T_3089) @[exu_mul_ctl.scala 137:112] + node _T_3116 = add(_T_3115, _T_3090) @[exu_mul_ctl.scala 137:112] + node _T_3117 = add(_T_3116, _T_3091) @[exu_mul_ctl.scala 137:112] + node _T_3118 = add(_T_3117, _T_3092) @[exu_mul_ctl.scala 137:112] + node _T_3119 = add(_T_3118, _T_3093) @[exu_mul_ctl.scala 137:112] + node _T_3120 = add(_T_3119, _T_3094) @[exu_mul_ctl.scala 137:112] + node _T_3121 = add(_T_3120, _T_3095) @[exu_mul_ctl.scala 137:112] + node _T_3122 = add(_T_3121, _T_3096) @[exu_mul_ctl.scala 137:112] + node _T_3123 = add(_T_3122, _T_3097) @[exu_mul_ctl.scala 137:112] + node _T_3124 = add(_T_3123, _T_3098) @[exu_mul_ctl.scala 137:112] + node _T_3125 = add(_T_3124, _T_3099) @[exu_mul_ctl.scala 137:112] + node _T_3126 = eq(_T_3125, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3127 = bits(_T_3126, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3128 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_3129 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3130 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3131 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3132 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3133 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3134 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3135 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3136 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3137 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3138 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3139 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3140 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3141 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3142 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3143 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3144 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3145 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3146 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3147 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3148 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3149 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3150 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3151 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3152 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3153 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3154 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3155 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3156 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_3157 = add(_T_3129, _T_3130) @[exu_mul_ctl.scala 137:112] + node _T_3158 = add(_T_3157, _T_3131) @[exu_mul_ctl.scala 137:112] + node _T_3159 = add(_T_3158, _T_3132) @[exu_mul_ctl.scala 137:112] + node _T_3160 = add(_T_3159, _T_3133) @[exu_mul_ctl.scala 137:112] + node _T_3161 = add(_T_3160, _T_3134) @[exu_mul_ctl.scala 137:112] + node _T_3162 = add(_T_3161, _T_3135) @[exu_mul_ctl.scala 137:112] + node _T_3163 = add(_T_3162, _T_3136) @[exu_mul_ctl.scala 137:112] + node _T_3164 = add(_T_3163, _T_3137) @[exu_mul_ctl.scala 137:112] + node _T_3165 = add(_T_3164, _T_3138) @[exu_mul_ctl.scala 137:112] + node _T_3166 = add(_T_3165, _T_3139) @[exu_mul_ctl.scala 137:112] + node _T_3167 = add(_T_3166, _T_3140) @[exu_mul_ctl.scala 137:112] + node _T_3168 = add(_T_3167, _T_3141) @[exu_mul_ctl.scala 137:112] + node _T_3169 = add(_T_3168, _T_3142) @[exu_mul_ctl.scala 137:112] + node _T_3170 = add(_T_3169, _T_3143) @[exu_mul_ctl.scala 137:112] + node _T_3171 = add(_T_3170, _T_3144) @[exu_mul_ctl.scala 137:112] + node _T_3172 = add(_T_3171, _T_3145) @[exu_mul_ctl.scala 137:112] + node _T_3173 = add(_T_3172, _T_3146) @[exu_mul_ctl.scala 137:112] + node _T_3174 = add(_T_3173, _T_3147) @[exu_mul_ctl.scala 137:112] + node _T_3175 = add(_T_3174, _T_3148) @[exu_mul_ctl.scala 137:112] + node _T_3176 = add(_T_3175, _T_3149) @[exu_mul_ctl.scala 137:112] + node _T_3177 = add(_T_3176, _T_3150) @[exu_mul_ctl.scala 137:112] + node _T_3178 = add(_T_3177, _T_3151) @[exu_mul_ctl.scala 137:112] + node _T_3179 = add(_T_3178, _T_3152) @[exu_mul_ctl.scala 137:112] + node _T_3180 = add(_T_3179, _T_3153) @[exu_mul_ctl.scala 137:112] + node _T_3181 = add(_T_3180, _T_3154) @[exu_mul_ctl.scala 137:112] + node _T_3182 = add(_T_3181, _T_3155) @[exu_mul_ctl.scala 137:112] + node _T_3183 = add(_T_3182, _T_3156) @[exu_mul_ctl.scala 137:112] + node _T_3184 = eq(_T_3183, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3185 = bits(_T_3184, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3186 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_3187 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3188 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3189 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3190 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3191 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3192 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3193 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3194 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3195 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3196 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3197 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3198 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3199 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3200 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3201 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3202 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3203 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3204 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3205 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3206 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3207 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3208 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3209 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3210 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3211 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3212 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3213 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3214 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_3215 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_3216 = add(_T_3187, _T_3188) @[exu_mul_ctl.scala 137:112] + node _T_3217 = add(_T_3216, _T_3189) @[exu_mul_ctl.scala 137:112] + node _T_3218 = add(_T_3217, _T_3190) @[exu_mul_ctl.scala 137:112] + node _T_3219 = add(_T_3218, _T_3191) @[exu_mul_ctl.scala 137:112] + node _T_3220 = add(_T_3219, _T_3192) @[exu_mul_ctl.scala 137:112] + node _T_3221 = add(_T_3220, _T_3193) @[exu_mul_ctl.scala 137:112] + node _T_3222 = add(_T_3221, _T_3194) @[exu_mul_ctl.scala 137:112] + node _T_3223 = add(_T_3222, _T_3195) @[exu_mul_ctl.scala 137:112] + node _T_3224 = add(_T_3223, _T_3196) @[exu_mul_ctl.scala 137:112] + node _T_3225 = add(_T_3224, _T_3197) @[exu_mul_ctl.scala 137:112] + node _T_3226 = add(_T_3225, _T_3198) @[exu_mul_ctl.scala 137:112] + node _T_3227 = add(_T_3226, _T_3199) @[exu_mul_ctl.scala 137:112] + node _T_3228 = add(_T_3227, _T_3200) @[exu_mul_ctl.scala 137:112] + node _T_3229 = add(_T_3228, _T_3201) @[exu_mul_ctl.scala 137:112] + node _T_3230 = add(_T_3229, _T_3202) @[exu_mul_ctl.scala 137:112] + node _T_3231 = add(_T_3230, _T_3203) @[exu_mul_ctl.scala 137:112] + node _T_3232 = add(_T_3231, _T_3204) @[exu_mul_ctl.scala 137:112] + node _T_3233 = add(_T_3232, _T_3205) @[exu_mul_ctl.scala 137:112] + node _T_3234 = add(_T_3233, _T_3206) @[exu_mul_ctl.scala 137:112] + node _T_3235 = add(_T_3234, _T_3207) @[exu_mul_ctl.scala 137:112] + node _T_3236 = add(_T_3235, _T_3208) @[exu_mul_ctl.scala 137:112] + node _T_3237 = add(_T_3236, _T_3209) @[exu_mul_ctl.scala 137:112] + node _T_3238 = add(_T_3237, _T_3210) @[exu_mul_ctl.scala 137:112] + node _T_3239 = add(_T_3238, _T_3211) @[exu_mul_ctl.scala 137:112] + node _T_3240 = add(_T_3239, _T_3212) @[exu_mul_ctl.scala 137:112] + node _T_3241 = add(_T_3240, _T_3213) @[exu_mul_ctl.scala 137:112] + node _T_3242 = add(_T_3241, _T_3214) @[exu_mul_ctl.scala 137:112] + node _T_3243 = add(_T_3242, _T_3215) @[exu_mul_ctl.scala 137:112] + node _T_3244 = eq(_T_3243, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3245 = bits(_T_3244, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3246 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_3247 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3248 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3249 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3250 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3251 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3252 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3253 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3254 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3255 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3256 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3257 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3258 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3259 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3260 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3261 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3262 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3263 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3264 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3265 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3266 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3267 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3268 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3269 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3270 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3271 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3272 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3273 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3274 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_3275 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_3276 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_3277 = add(_T_3247, _T_3248) @[exu_mul_ctl.scala 137:112] + node _T_3278 = add(_T_3277, _T_3249) @[exu_mul_ctl.scala 137:112] + node _T_3279 = add(_T_3278, _T_3250) @[exu_mul_ctl.scala 137:112] + node _T_3280 = add(_T_3279, _T_3251) @[exu_mul_ctl.scala 137:112] + node _T_3281 = add(_T_3280, _T_3252) @[exu_mul_ctl.scala 137:112] + node _T_3282 = add(_T_3281, _T_3253) @[exu_mul_ctl.scala 137:112] + node _T_3283 = add(_T_3282, _T_3254) @[exu_mul_ctl.scala 137:112] + node _T_3284 = add(_T_3283, _T_3255) @[exu_mul_ctl.scala 137:112] + node _T_3285 = add(_T_3284, _T_3256) @[exu_mul_ctl.scala 137:112] + node _T_3286 = add(_T_3285, _T_3257) @[exu_mul_ctl.scala 137:112] + node _T_3287 = add(_T_3286, _T_3258) @[exu_mul_ctl.scala 137:112] + node _T_3288 = add(_T_3287, _T_3259) @[exu_mul_ctl.scala 137:112] + node _T_3289 = add(_T_3288, _T_3260) @[exu_mul_ctl.scala 137:112] + node _T_3290 = add(_T_3289, _T_3261) @[exu_mul_ctl.scala 137:112] + node _T_3291 = add(_T_3290, _T_3262) @[exu_mul_ctl.scala 137:112] + node _T_3292 = add(_T_3291, _T_3263) @[exu_mul_ctl.scala 137:112] + node _T_3293 = add(_T_3292, _T_3264) @[exu_mul_ctl.scala 137:112] + node _T_3294 = add(_T_3293, _T_3265) @[exu_mul_ctl.scala 137:112] + node _T_3295 = add(_T_3294, _T_3266) @[exu_mul_ctl.scala 137:112] + node _T_3296 = add(_T_3295, _T_3267) @[exu_mul_ctl.scala 137:112] + node _T_3297 = add(_T_3296, _T_3268) @[exu_mul_ctl.scala 137:112] + node _T_3298 = add(_T_3297, _T_3269) @[exu_mul_ctl.scala 137:112] + node _T_3299 = add(_T_3298, _T_3270) @[exu_mul_ctl.scala 137:112] + node _T_3300 = add(_T_3299, _T_3271) @[exu_mul_ctl.scala 137:112] + node _T_3301 = add(_T_3300, _T_3272) @[exu_mul_ctl.scala 137:112] + node _T_3302 = add(_T_3301, _T_3273) @[exu_mul_ctl.scala 137:112] + node _T_3303 = add(_T_3302, _T_3274) @[exu_mul_ctl.scala 137:112] + node _T_3304 = add(_T_3303, _T_3275) @[exu_mul_ctl.scala 137:112] + node _T_3305 = add(_T_3304, _T_3276) @[exu_mul_ctl.scala 137:112] + node _T_3306 = eq(_T_3305, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3307 = bits(_T_3306, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3308 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_3309 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3310 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3311 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3312 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3313 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3314 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3315 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3316 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3317 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3318 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3319 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3320 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3321 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3322 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3323 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3324 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3325 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3326 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3327 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3328 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3329 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3330 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3331 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3332 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3333 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3334 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3335 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3336 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_3337 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_3338 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_3339 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_3340 = add(_T_3309, _T_3310) @[exu_mul_ctl.scala 137:112] + node _T_3341 = add(_T_3340, _T_3311) @[exu_mul_ctl.scala 137:112] + node _T_3342 = add(_T_3341, _T_3312) @[exu_mul_ctl.scala 137:112] + node _T_3343 = add(_T_3342, _T_3313) @[exu_mul_ctl.scala 137:112] + node _T_3344 = add(_T_3343, _T_3314) @[exu_mul_ctl.scala 137:112] + node _T_3345 = add(_T_3344, _T_3315) @[exu_mul_ctl.scala 137:112] + node _T_3346 = add(_T_3345, _T_3316) @[exu_mul_ctl.scala 137:112] + node _T_3347 = add(_T_3346, _T_3317) @[exu_mul_ctl.scala 137:112] + node _T_3348 = add(_T_3347, _T_3318) @[exu_mul_ctl.scala 137:112] + node _T_3349 = add(_T_3348, _T_3319) @[exu_mul_ctl.scala 137:112] + node _T_3350 = add(_T_3349, _T_3320) @[exu_mul_ctl.scala 137:112] + node _T_3351 = add(_T_3350, _T_3321) @[exu_mul_ctl.scala 137:112] + node _T_3352 = add(_T_3351, _T_3322) @[exu_mul_ctl.scala 137:112] + node _T_3353 = add(_T_3352, _T_3323) @[exu_mul_ctl.scala 137:112] + node _T_3354 = add(_T_3353, _T_3324) @[exu_mul_ctl.scala 137:112] + node _T_3355 = add(_T_3354, _T_3325) @[exu_mul_ctl.scala 137:112] + node _T_3356 = add(_T_3355, _T_3326) @[exu_mul_ctl.scala 137:112] + node _T_3357 = add(_T_3356, _T_3327) @[exu_mul_ctl.scala 137:112] + node _T_3358 = add(_T_3357, _T_3328) @[exu_mul_ctl.scala 137:112] + node _T_3359 = add(_T_3358, _T_3329) @[exu_mul_ctl.scala 137:112] + node _T_3360 = add(_T_3359, _T_3330) @[exu_mul_ctl.scala 137:112] + node _T_3361 = add(_T_3360, _T_3331) @[exu_mul_ctl.scala 137:112] + node _T_3362 = add(_T_3361, _T_3332) @[exu_mul_ctl.scala 137:112] + node _T_3363 = add(_T_3362, _T_3333) @[exu_mul_ctl.scala 137:112] + node _T_3364 = add(_T_3363, _T_3334) @[exu_mul_ctl.scala 137:112] + node _T_3365 = add(_T_3364, _T_3335) @[exu_mul_ctl.scala 137:112] + node _T_3366 = add(_T_3365, _T_3336) @[exu_mul_ctl.scala 137:112] + node _T_3367 = add(_T_3366, _T_3337) @[exu_mul_ctl.scala 137:112] + node _T_3368 = add(_T_3367, _T_3338) @[exu_mul_ctl.scala 137:112] + node _T_3369 = add(_T_3368, _T_3339) @[exu_mul_ctl.scala 137:112] + node _T_3370 = eq(_T_3369, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3371 = bits(_T_3370, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3372 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_3373 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3374 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3375 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3376 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3377 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3378 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3379 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3380 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3381 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3382 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3383 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3384 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3385 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3386 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3387 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3388 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3389 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3390 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3391 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3392 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3393 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3394 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3395 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3396 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3397 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3398 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3399 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3400 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_3401 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_3402 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_3403 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_3404 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_3405 = add(_T_3373, _T_3374) @[exu_mul_ctl.scala 137:112] + node _T_3406 = add(_T_3405, _T_3375) @[exu_mul_ctl.scala 137:112] + node _T_3407 = add(_T_3406, _T_3376) @[exu_mul_ctl.scala 137:112] + node _T_3408 = add(_T_3407, _T_3377) @[exu_mul_ctl.scala 137:112] + node _T_3409 = add(_T_3408, _T_3378) @[exu_mul_ctl.scala 137:112] + node _T_3410 = add(_T_3409, _T_3379) @[exu_mul_ctl.scala 137:112] + node _T_3411 = add(_T_3410, _T_3380) @[exu_mul_ctl.scala 137:112] + node _T_3412 = add(_T_3411, _T_3381) @[exu_mul_ctl.scala 137:112] + node _T_3413 = add(_T_3412, _T_3382) @[exu_mul_ctl.scala 137:112] + node _T_3414 = add(_T_3413, _T_3383) @[exu_mul_ctl.scala 137:112] + node _T_3415 = add(_T_3414, _T_3384) @[exu_mul_ctl.scala 137:112] + node _T_3416 = add(_T_3415, _T_3385) @[exu_mul_ctl.scala 137:112] + node _T_3417 = add(_T_3416, _T_3386) @[exu_mul_ctl.scala 137:112] + node _T_3418 = add(_T_3417, _T_3387) @[exu_mul_ctl.scala 137:112] + node _T_3419 = add(_T_3418, _T_3388) @[exu_mul_ctl.scala 137:112] + node _T_3420 = add(_T_3419, _T_3389) @[exu_mul_ctl.scala 137:112] + node _T_3421 = add(_T_3420, _T_3390) @[exu_mul_ctl.scala 137:112] + node _T_3422 = add(_T_3421, _T_3391) @[exu_mul_ctl.scala 137:112] + node _T_3423 = add(_T_3422, _T_3392) @[exu_mul_ctl.scala 137:112] + node _T_3424 = add(_T_3423, _T_3393) @[exu_mul_ctl.scala 137:112] + node _T_3425 = add(_T_3424, _T_3394) @[exu_mul_ctl.scala 137:112] + node _T_3426 = add(_T_3425, _T_3395) @[exu_mul_ctl.scala 137:112] + node _T_3427 = add(_T_3426, _T_3396) @[exu_mul_ctl.scala 137:112] + node _T_3428 = add(_T_3427, _T_3397) @[exu_mul_ctl.scala 137:112] + node _T_3429 = add(_T_3428, _T_3398) @[exu_mul_ctl.scala 137:112] + node _T_3430 = add(_T_3429, _T_3399) @[exu_mul_ctl.scala 137:112] + node _T_3431 = add(_T_3430, _T_3400) @[exu_mul_ctl.scala 137:112] + node _T_3432 = add(_T_3431, _T_3401) @[exu_mul_ctl.scala 137:112] + node _T_3433 = add(_T_3432, _T_3402) @[exu_mul_ctl.scala 137:112] + node _T_3434 = add(_T_3433, _T_3403) @[exu_mul_ctl.scala 137:112] + node _T_3435 = add(_T_3434, _T_3404) @[exu_mul_ctl.scala 137:112] + node _T_3436 = eq(_T_3435, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3437 = bits(_T_3436, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3438 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_3439 = mux(_T_3437, _T_3438, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_3440 = mux(_T_3371, _T_3372, _T_3439) @[Mux.scala 98:16] + node _T_3441 = mux(_T_3307, _T_3308, _T_3440) @[Mux.scala 98:16] + node _T_3442 = mux(_T_3245, _T_3246, _T_3441) @[Mux.scala 98:16] + node _T_3443 = mux(_T_3185, _T_3186, _T_3442) @[Mux.scala 98:16] + node _T_3444 = mux(_T_3127, _T_3128, _T_3443) @[Mux.scala 98:16] + node _T_3445 = mux(_T_3071, _T_3072, _T_3444) @[Mux.scala 98:16] + node _T_3446 = mux(_T_3017, _T_3018, _T_3445) @[Mux.scala 98:16] + node _T_3447 = mux(_T_2965, _T_2966, _T_3446) @[Mux.scala 98:16] + node _T_3448 = mux(_T_2915, _T_2916, _T_3447) @[Mux.scala 98:16] + node _T_3449 = mux(_T_2867, _T_2868, _T_3448) @[Mux.scala 98:16] + node _T_3450 = mux(_T_2821, _T_2822, _T_3449) @[Mux.scala 98:16] + node _T_3451 = mux(_T_2777, _T_2778, _T_3450) @[Mux.scala 98:16] + node _T_3452 = mux(_T_2735, _T_2736, _T_3451) @[Mux.scala 98:16] + node _T_3453 = mux(_T_2695, _T_2696, _T_3452) @[Mux.scala 98:16] + node _T_3454 = mux(_T_2657, _T_2658, _T_3453) @[Mux.scala 98:16] + node _T_3455 = mux(_T_2621, _T_2622, _T_3454) @[Mux.scala 98:16] + node _T_3456 = mux(_T_2587, _T_2588, _T_3455) @[Mux.scala 98:16] + node _T_3457 = mux(_T_2555, _T_2556, _T_3456) @[Mux.scala 98:16] + node _T_3458 = mux(_T_2525, _T_2526, _T_3457) @[Mux.scala 98:16] + node _T_3459 = mux(_T_2497, _T_2498, _T_3458) @[Mux.scala 98:16] + node _T_3460 = mux(_T_2471, _T_2472, _T_3459) @[Mux.scala 98:16] + node _T_3461 = mux(_T_2447, _T_2448, _T_3460) @[Mux.scala 98:16] + node _T_3462 = mux(_T_2425, _T_2426, _T_3461) @[Mux.scala 98:16] + node _T_3463 = mux(_T_2405, _T_2406, _T_3462) @[Mux.scala 98:16] + node _T_3464 = mux(_T_2387, _T_2388, _T_3463) @[Mux.scala 98:16] + node _T_3465 = mux(_T_2371, _T_2372, _T_3464) @[Mux.scala 98:16] + node _T_3466 = mux(_T_2357, _T_2358, _T_3465) @[Mux.scala 98:16] + node _T_3467 = mux(_T_2345, _T_2346, _T_3466) @[Mux.scala 98:16] + node _T_3468 = mux(_T_2335, _T_2336, _T_3467) @[Mux.scala 98:16] + node _T_3469 = mux(_T_2327, _T_2328, _T_3468) @[Mux.scala 98:16] + node _T_3470 = mux(_T_2321, _T_2322, _T_3469) @[Mux.scala 98:16] + node _T_3471 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_3472 = eq(_T_3471, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3473 = bits(_T_3472, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3474 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_3475 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3476 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3477 = add(_T_3475, _T_3476) @[exu_mul_ctl.scala 137:112] + node _T_3478 = eq(_T_3477, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3479 = bits(_T_3478, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3480 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_3481 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3482 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3483 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3484 = add(_T_3481, _T_3482) @[exu_mul_ctl.scala 137:112] + node _T_3485 = add(_T_3484, _T_3483) @[exu_mul_ctl.scala 137:112] + node _T_3486 = eq(_T_3485, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3487 = bits(_T_3486, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3488 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_3489 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3490 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3491 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3492 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3493 = add(_T_3489, _T_3490) @[exu_mul_ctl.scala 137:112] + node _T_3494 = add(_T_3493, _T_3491) @[exu_mul_ctl.scala 137:112] + node _T_3495 = add(_T_3494, _T_3492) @[exu_mul_ctl.scala 137:112] + node _T_3496 = eq(_T_3495, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3497 = bits(_T_3496, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3498 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_3499 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3500 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3501 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3502 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3503 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3504 = add(_T_3499, _T_3500) @[exu_mul_ctl.scala 137:112] + node _T_3505 = add(_T_3504, _T_3501) @[exu_mul_ctl.scala 137:112] + node _T_3506 = add(_T_3505, _T_3502) @[exu_mul_ctl.scala 137:112] + node _T_3507 = add(_T_3506, _T_3503) @[exu_mul_ctl.scala 137:112] + node _T_3508 = eq(_T_3507, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3509 = bits(_T_3508, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3510 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_3511 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3512 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3513 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3514 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3515 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3516 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3517 = add(_T_3511, _T_3512) @[exu_mul_ctl.scala 137:112] + node _T_3518 = add(_T_3517, _T_3513) @[exu_mul_ctl.scala 137:112] + node _T_3519 = add(_T_3518, _T_3514) @[exu_mul_ctl.scala 137:112] + node _T_3520 = add(_T_3519, _T_3515) @[exu_mul_ctl.scala 137:112] + node _T_3521 = add(_T_3520, _T_3516) @[exu_mul_ctl.scala 137:112] + node _T_3522 = eq(_T_3521, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3523 = bits(_T_3522, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3524 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_3525 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3526 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3527 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3528 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3529 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3530 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3531 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3532 = add(_T_3525, _T_3526) @[exu_mul_ctl.scala 137:112] + node _T_3533 = add(_T_3532, _T_3527) @[exu_mul_ctl.scala 137:112] + node _T_3534 = add(_T_3533, _T_3528) @[exu_mul_ctl.scala 137:112] + node _T_3535 = add(_T_3534, _T_3529) @[exu_mul_ctl.scala 137:112] + node _T_3536 = add(_T_3535, _T_3530) @[exu_mul_ctl.scala 137:112] + node _T_3537 = add(_T_3536, _T_3531) @[exu_mul_ctl.scala 137:112] + node _T_3538 = eq(_T_3537, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3539 = bits(_T_3538, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3540 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_3541 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3542 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3543 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3544 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3545 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3546 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3547 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3548 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3549 = add(_T_3541, _T_3542) @[exu_mul_ctl.scala 137:112] + node _T_3550 = add(_T_3549, _T_3543) @[exu_mul_ctl.scala 137:112] + node _T_3551 = add(_T_3550, _T_3544) @[exu_mul_ctl.scala 137:112] + node _T_3552 = add(_T_3551, _T_3545) @[exu_mul_ctl.scala 137:112] + node _T_3553 = add(_T_3552, _T_3546) @[exu_mul_ctl.scala 137:112] + node _T_3554 = add(_T_3553, _T_3547) @[exu_mul_ctl.scala 137:112] + node _T_3555 = add(_T_3554, _T_3548) @[exu_mul_ctl.scala 137:112] + node _T_3556 = eq(_T_3555, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3557 = bits(_T_3556, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3558 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_3559 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3560 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3561 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3562 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3563 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3564 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3565 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3566 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3567 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3568 = add(_T_3559, _T_3560) @[exu_mul_ctl.scala 137:112] + node _T_3569 = add(_T_3568, _T_3561) @[exu_mul_ctl.scala 137:112] + node _T_3570 = add(_T_3569, _T_3562) @[exu_mul_ctl.scala 137:112] + node _T_3571 = add(_T_3570, _T_3563) @[exu_mul_ctl.scala 137:112] + node _T_3572 = add(_T_3571, _T_3564) @[exu_mul_ctl.scala 137:112] + node _T_3573 = add(_T_3572, _T_3565) @[exu_mul_ctl.scala 137:112] + node _T_3574 = add(_T_3573, _T_3566) @[exu_mul_ctl.scala 137:112] + node _T_3575 = add(_T_3574, _T_3567) @[exu_mul_ctl.scala 137:112] + node _T_3576 = eq(_T_3575, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3577 = bits(_T_3576, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3578 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_3579 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3580 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3581 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3582 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3583 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3584 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3585 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3586 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3587 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3588 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3589 = add(_T_3579, _T_3580) @[exu_mul_ctl.scala 137:112] + node _T_3590 = add(_T_3589, _T_3581) @[exu_mul_ctl.scala 137:112] + node _T_3591 = add(_T_3590, _T_3582) @[exu_mul_ctl.scala 137:112] + node _T_3592 = add(_T_3591, _T_3583) @[exu_mul_ctl.scala 137:112] + node _T_3593 = add(_T_3592, _T_3584) @[exu_mul_ctl.scala 137:112] + node _T_3594 = add(_T_3593, _T_3585) @[exu_mul_ctl.scala 137:112] + node _T_3595 = add(_T_3594, _T_3586) @[exu_mul_ctl.scala 137:112] + node _T_3596 = add(_T_3595, _T_3587) @[exu_mul_ctl.scala 137:112] + node _T_3597 = add(_T_3596, _T_3588) @[exu_mul_ctl.scala 137:112] + node _T_3598 = eq(_T_3597, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3599 = bits(_T_3598, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3600 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_3601 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3602 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3603 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3604 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3605 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3606 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3607 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3608 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3609 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3610 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3611 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3612 = add(_T_3601, _T_3602) @[exu_mul_ctl.scala 137:112] + node _T_3613 = add(_T_3612, _T_3603) @[exu_mul_ctl.scala 137:112] + node _T_3614 = add(_T_3613, _T_3604) @[exu_mul_ctl.scala 137:112] + node _T_3615 = add(_T_3614, _T_3605) @[exu_mul_ctl.scala 137:112] + node _T_3616 = add(_T_3615, _T_3606) @[exu_mul_ctl.scala 137:112] + node _T_3617 = add(_T_3616, _T_3607) @[exu_mul_ctl.scala 137:112] + node _T_3618 = add(_T_3617, _T_3608) @[exu_mul_ctl.scala 137:112] + node _T_3619 = add(_T_3618, _T_3609) @[exu_mul_ctl.scala 137:112] + node _T_3620 = add(_T_3619, _T_3610) @[exu_mul_ctl.scala 137:112] + node _T_3621 = add(_T_3620, _T_3611) @[exu_mul_ctl.scala 137:112] + node _T_3622 = eq(_T_3621, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3623 = bits(_T_3622, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3624 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_3625 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3626 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3627 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3628 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3629 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3630 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3631 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3632 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3633 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3634 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3635 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3636 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3637 = add(_T_3625, _T_3626) @[exu_mul_ctl.scala 137:112] + node _T_3638 = add(_T_3637, _T_3627) @[exu_mul_ctl.scala 137:112] + node _T_3639 = add(_T_3638, _T_3628) @[exu_mul_ctl.scala 137:112] + node _T_3640 = add(_T_3639, _T_3629) @[exu_mul_ctl.scala 137:112] + node _T_3641 = add(_T_3640, _T_3630) @[exu_mul_ctl.scala 137:112] + node _T_3642 = add(_T_3641, _T_3631) @[exu_mul_ctl.scala 137:112] + node _T_3643 = add(_T_3642, _T_3632) @[exu_mul_ctl.scala 137:112] + node _T_3644 = add(_T_3643, _T_3633) @[exu_mul_ctl.scala 137:112] + node _T_3645 = add(_T_3644, _T_3634) @[exu_mul_ctl.scala 137:112] + node _T_3646 = add(_T_3645, _T_3635) @[exu_mul_ctl.scala 137:112] + node _T_3647 = add(_T_3646, _T_3636) @[exu_mul_ctl.scala 137:112] + node _T_3648 = eq(_T_3647, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3649 = bits(_T_3648, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3650 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_3651 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3652 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3653 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3654 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3655 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3656 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3657 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3658 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3659 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3660 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3661 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3662 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3663 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3664 = add(_T_3651, _T_3652) @[exu_mul_ctl.scala 137:112] + node _T_3665 = add(_T_3664, _T_3653) @[exu_mul_ctl.scala 137:112] + node _T_3666 = add(_T_3665, _T_3654) @[exu_mul_ctl.scala 137:112] + node _T_3667 = add(_T_3666, _T_3655) @[exu_mul_ctl.scala 137:112] + node _T_3668 = add(_T_3667, _T_3656) @[exu_mul_ctl.scala 137:112] + node _T_3669 = add(_T_3668, _T_3657) @[exu_mul_ctl.scala 137:112] + node _T_3670 = add(_T_3669, _T_3658) @[exu_mul_ctl.scala 137:112] + node _T_3671 = add(_T_3670, _T_3659) @[exu_mul_ctl.scala 137:112] + node _T_3672 = add(_T_3671, _T_3660) @[exu_mul_ctl.scala 137:112] + node _T_3673 = add(_T_3672, _T_3661) @[exu_mul_ctl.scala 137:112] + node _T_3674 = add(_T_3673, _T_3662) @[exu_mul_ctl.scala 137:112] + node _T_3675 = add(_T_3674, _T_3663) @[exu_mul_ctl.scala 137:112] + node _T_3676 = eq(_T_3675, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3677 = bits(_T_3676, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3678 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_3679 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3680 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3681 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3682 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3683 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3684 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3685 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3686 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3687 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3688 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3689 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3690 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3691 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3692 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3693 = add(_T_3679, _T_3680) @[exu_mul_ctl.scala 137:112] + node _T_3694 = add(_T_3693, _T_3681) @[exu_mul_ctl.scala 137:112] + node _T_3695 = add(_T_3694, _T_3682) @[exu_mul_ctl.scala 137:112] + node _T_3696 = add(_T_3695, _T_3683) @[exu_mul_ctl.scala 137:112] + node _T_3697 = add(_T_3696, _T_3684) @[exu_mul_ctl.scala 137:112] + node _T_3698 = add(_T_3697, _T_3685) @[exu_mul_ctl.scala 137:112] + node _T_3699 = add(_T_3698, _T_3686) @[exu_mul_ctl.scala 137:112] + node _T_3700 = add(_T_3699, _T_3687) @[exu_mul_ctl.scala 137:112] + node _T_3701 = add(_T_3700, _T_3688) @[exu_mul_ctl.scala 137:112] + node _T_3702 = add(_T_3701, _T_3689) @[exu_mul_ctl.scala 137:112] + node _T_3703 = add(_T_3702, _T_3690) @[exu_mul_ctl.scala 137:112] + node _T_3704 = add(_T_3703, _T_3691) @[exu_mul_ctl.scala 137:112] + node _T_3705 = add(_T_3704, _T_3692) @[exu_mul_ctl.scala 137:112] + node _T_3706 = eq(_T_3705, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3707 = bits(_T_3706, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3708 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_3709 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3710 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3711 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3712 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3713 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3714 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3715 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3716 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3717 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3718 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3719 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3720 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3721 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3722 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3723 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3724 = add(_T_3709, _T_3710) @[exu_mul_ctl.scala 137:112] + node _T_3725 = add(_T_3724, _T_3711) @[exu_mul_ctl.scala 137:112] + node _T_3726 = add(_T_3725, _T_3712) @[exu_mul_ctl.scala 137:112] + node _T_3727 = add(_T_3726, _T_3713) @[exu_mul_ctl.scala 137:112] + node _T_3728 = add(_T_3727, _T_3714) @[exu_mul_ctl.scala 137:112] + node _T_3729 = add(_T_3728, _T_3715) @[exu_mul_ctl.scala 137:112] + node _T_3730 = add(_T_3729, _T_3716) @[exu_mul_ctl.scala 137:112] + node _T_3731 = add(_T_3730, _T_3717) @[exu_mul_ctl.scala 137:112] + node _T_3732 = add(_T_3731, _T_3718) @[exu_mul_ctl.scala 137:112] + node _T_3733 = add(_T_3732, _T_3719) @[exu_mul_ctl.scala 137:112] + node _T_3734 = add(_T_3733, _T_3720) @[exu_mul_ctl.scala 137:112] + node _T_3735 = add(_T_3734, _T_3721) @[exu_mul_ctl.scala 137:112] + node _T_3736 = add(_T_3735, _T_3722) @[exu_mul_ctl.scala 137:112] + node _T_3737 = add(_T_3736, _T_3723) @[exu_mul_ctl.scala 137:112] + node _T_3738 = eq(_T_3737, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3739 = bits(_T_3738, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3740 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_3741 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3742 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3743 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3744 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3745 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3746 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3747 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3748 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3749 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3750 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3751 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3752 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3753 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3754 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3755 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3756 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3757 = add(_T_3741, _T_3742) @[exu_mul_ctl.scala 137:112] + node _T_3758 = add(_T_3757, _T_3743) @[exu_mul_ctl.scala 137:112] + node _T_3759 = add(_T_3758, _T_3744) @[exu_mul_ctl.scala 137:112] + node _T_3760 = add(_T_3759, _T_3745) @[exu_mul_ctl.scala 137:112] + node _T_3761 = add(_T_3760, _T_3746) @[exu_mul_ctl.scala 137:112] + node _T_3762 = add(_T_3761, _T_3747) @[exu_mul_ctl.scala 137:112] + node _T_3763 = add(_T_3762, _T_3748) @[exu_mul_ctl.scala 137:112] + node _T_3764 = add(_T_3763, _T_3749) @[exu_mul_ctl.scala 137:112] + node _T_3765 = add(_T_3764, _T_3750) @[exu_mul_ctl.scala 137:112] + node _T_3766 = add(_T_3765, _T_3751) @[exu_mul_ctl.scala 137:112] + node _T_3767 = add(_T_3766, _T_3752) @[exu_mul_ctl.scala 137:112] + node _T_3768 = add(_T_3767, _T_3753) @[exu_mul_ctl.scala 137:112] + node _T_3769 = add(_T_3768, _T_3754) @[exu_mul_ctl.scala 137:112] + node _T_3770 = add(_T_3769, _T_3755) @[exu_mul_ctl.scala 137:112] + node _T_3771 = add(_T_3770, _T_3756) @[exu_mul_ctl.scala 137:112] + node _T_3772 = eq(_T_3771, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3773 = bits(_T_3772, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3774 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_3775 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3776 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3777 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3778 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3779 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3780 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3781 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3782 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3783 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3784 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3785 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3786 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3787 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3788 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3789 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3790 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3791 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3792 = add(_T_3775, _T_3776) @[exu_mul_ctl.scala 137:112] + node _T_3793 = add(_T_3792, _T_3777) @[exu_mul_ctl.scala 137:112] + node _T_3794 = add(_T_3793, _T_3778) @[exu_mul_ctl.scala 137:112] + node _T_3795 = add(_T_3794, _T_3779) @[exu_mul_ctl.scala 137:112] + node _T_3796 = add(_T_3795, _T_3780) @[exu_mul_ctl.scala 137:112] + node _T_3797 = add(_T_3796, _T_3781) @[exu_mul_ctl.scala 137:112] + node _T_3798 = add(_T_3797, _T_3782) @[exu_mul_ctl.scala 137:112] + node _T_3799 = add(_T_3798, _T_3783) @[exu_mul_ctl.scala 137:112] + node _T_3800 = add(_T_3799, _T_3784) @[exu_mul_ctl.scala 137:112] + node _T_3801 = add(_T_3800, _T_3785) @[exu_mul_ctl.scala 137:112] + node _T_3802 = add(_T_3801, _T_3786) @[exu_mul_ctl.scala 137:112] + node _T_3803 = add(_T_3802, _T_3787) @[exu_mul_ctl.scala 137:112] + node _T_3804 = add(_T_3803, _T_3788) @[exu_mul_ctl.scala 137:112] + node _T_3805 = add(_T_3804, _T_3789) @[exu_mul_ctl.scala 137:112] + node _T_3806 = add(_T_3805, _T_3790) @[exu_mul_ctl.scala 137:112] + node _T_3807 = add(_T_3806, _T_3791) @[exu_mul_ctl.scala 137:112] + node _T_3808 = eq(_T_3807, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3809 = bits(_T_3808, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3810 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_3811 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3812 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3813 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3814 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3815 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3816 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3817 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3818 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3819 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3820 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3821 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3822 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3823 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3824 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3825 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3826 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3827 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3828 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3829 = add(_T_3811, _T_3812) @[exu_mul_ctl.scala 137:112] + node _T_3830 = add(_T_3829, _T_3813) @[exu_mul_ctl.scala 137:112] + node _T_3831 = add(_T_3830, _T_3814) @[exu_mul_ctl.scala 137:112] + node _T_3832 = add(_T_3831, _T_3815) @[exu_mul_ctl.scala 137:112] + node _T_3833 = add(_T_3832, _T_3816) @[exu_mul_ctl.scala 137:112] + node _T_3834 = add(_T_3833, _T_3817) @[exu_mul_ctl.scala 137:112] + node _T_3835 = add(_T_3834, _T_3818) @[exu_mul_ctl.scala 137:112] + node _T_3836 = add(_T_3835, _T_3819) @[exu_mul_ctl.scala 137:112] + node _T_3837 = add(_T_3836, _T_3820) @[exu_mul_ctl.scala 137:112] + node _T_3838 = add(_T_3837, _T_3821) @[exu_mul_ctl.scala 137:112] + node _T_3839 = add(_T_3838, _T_3822) @[exu_mul_ctl.scala 137:112] + node _T_3840 = add(_T_3839, _T_3823) @[exu_mul_ctl.scala 137:112] + node _T_3841 = add(_T_3840, _T_3824) @[exu_mul_ctl.scala 137:112] + node _T_3842 = add(_T_3841, _T_3825) @[exu_mul_ctl.scala 137:112] + node _T_3843 = add(_T_3842, _T_3826) @[exu_mul_ctl.scala 137:112] + node _T_3844 = add(_T_3843, _T_3827) @[exu_mul_ctl.scala 137:112] + node _T_3845 = add(_T_3844, _T_3828) @[exu_mul_ctl.scala 137:112] + node _T_3846 = eq(_T_3845, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3847 = bits(_T_3846, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3848 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_3849 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3850 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3851 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3852 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3853 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3854 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3855 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3856 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3857 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3858 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3859 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3860 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3861 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3862 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3863 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3864 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3865 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3866 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3867 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3868 = add(_T_3849, _T_3850) @[exu_mul_ctl.scala 137:112] + node _T_3869 = add(_T_3868, _T_3851) @[exu_mul_ctl.scala 137:112] + node _T_3870 = add(_T_3869, _T_3852) @[exu_mul_ctl.scala 137:112] + node _T_3871 = add(_T_3870, _T_3853) @[exu_mul_ctl.scala 137:112] + node _T_3872 = add(_T_3871, _T_3854) @[exu_mul_ctl.scala 137:112] + node _T_3873 = add(_T_3872, _T_3855) @[exu_mul_ctl.scala 137:112] + node _T_3874 = add(_T_3873, _T_3856) @[exu_mul_ctl.scala 137:112] + node _T_3875 = add(_T_3874, _T_3857) @[exu_mul_ctl.scala 137:112] + node _T_3876 = add(_T_3875, _T_3858) @[exu_mul_ctl.scala 137:112] + node _T_3877 = add(_T_3876, _T_3859) @[exu_mul_ctl.scala 137:112] + node _T_3878 = add(_T_3877, _T_3860) @[exu_mul_ctl.scala 137:112] + node _T_3879 = add(_T_3878, _T_3861) @[exu_mul_ctl.scala 137:112] + node _T_3880 = add(_T_3879, _T_3862) @[exu_mul_ctl.scala 137:112] + node _T_3881 = add(_T_3880, _T_3863) @[exu_mul_ctl.scala 137:112] + node _T_3882 = add(_T_3881, _T_3864) @[exu_mul_ctl.scala 137:112] + node _T_3883 = add(_T_3882, _T_3865) @[exu_mul_ctl.scala 137:112] + node _T_3884 = add(_T_3883, _T_3866) @[exu_mul_ctl.scala 137:112] + node _T_3885 = add(_T_3884, _T_3867) @[exu_mul_ctl.scala 137:112] + node _T_3886 = eq(_T_3885, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3887 = bits(_T_3886, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3888 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_3889 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3890 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3891 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3892 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3893 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3894 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3895 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3896 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3897 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3898 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3899 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3900 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3901 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3902 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3903 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3904 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3905 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3906 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3907 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3908 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3909 = add(_T_3889, _T_3890) @[exu_mul_ctl.scala 137:112] + node _T_3910 = add(_T_3909, _T_3891) @[exu_mul_ctl.scala 137:112] + node _T_3911 = add(_T_3910, _T_3892) @[exu_mul_ctl.scala 137:112] + node _T_3912 = add(_T_3911, _T_3893) @[exu_mul_ctl.scala 137:112] + node _T_3913 = add(_T_3912, _T_3894) @[exu_mul_ctl.scala 137:112] + node _T_3914 = add(_T_3913, _T_3895) @[exu_mul_ctl.scala 137:112] + node _T_3915 = add(_T_3914, _T_3896) @[exu_mul_ctl.scala 137:112] + node _T_3916 = add(_T_3915, _T_3897) @[exu_mul_ctl.scala 137:112] + node _T_3917 = add(_T_3916, _T_3898) @[exu_mul_ctl.scala 137:112] + node _T_3918 = add(_T_3917, _T_3899) @[exu_mul_ctl.scala 137:112] + node _T_3919 = add(_T_3918, _T_3900) @[exu_mul_ctl.scala 137:112] + node _T_3920 = add(_T_3919, _T_3901) @[exu_mul_ctl.scala 137:112] + node _T_3921 = add(_T_3920, _T_3902) @[exu_mul_ctl.scala 137:112] + node _T_3922 = add(_T_3921, _T_3903) @[exu_mul_ctl.scala 137:112] + node _T_3923 = add(_T_3922, _T_3904) @[exu_mul_ctl.scala 137:112] + node _T_3924 = add(_T_3923, _T_3905) @[exu_mul_ctl.scala 137:112] + node _T_3925 = add(_T_3924, _T_3906) @[exu_mul_ctl.scala 137:112] + node _T_3926 = add(_T_3925, _T_3907) @[exu_mul_ctl.scala 137:112] + node _T_3927 = add(_T_3926, _T_3908) @[exu_mul_ctl.scala 137:112] + node _T_3928 = eq(_T_3927, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3929 = bits(_T_3928, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3930 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_3931 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3932 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3933 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3934 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3935 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3936 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3937 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3938 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3939 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3940 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3941 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3942 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3943 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3944 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3945 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3946 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3947 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3948 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3949 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3950 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3951 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3952 = add(_T_3931, _T_3932) @[exu_mul_ctl.scala 137:112] + node _T_3953 = add(_T_3952, _T_3933) @[exu_mul_ctl.scala 137:112] + node _T_3954 = add(_T_3953, _T_3934) @[exu_mul_ctl.scala 137:112] + node _T_3955 = add(_T_3954, _T_3935) @[exu_mul_ctl.scala 137:112] + node _T_3956 = add(_T_3955, _T_3936) @[exu_mul_ctl.scala 137:112] + node _T_3957 = add(_T_3956, _T_3937) @[exu_mul_ctl.scala 137:112] + node _T_3958 = add(_T_3957, _T_3938) @[exu_mul_ctl.scala 137:112] + node _T_3959 = add(_T_3958, _T_3939) @[exu_mul_ctl.scala 137:112] + node _T_3960 = add(_T_3959, _T_3940) @[exu_mul_ctl.scala 137:112] + node _T_3961 = add(_T_3960, _T_3941) @[exu_mul_ctl.scala 137:112] + node _T_3962 = add(_T_3961, _T_3942) @[exu_mul_ctl.scala 137:112] + node _T_3963 = add(_T_3962, _T_3943) @[exu_mul_ctl.scala 137:112] + node _T_3964 = add(_T_3963, _T_3944) @[exu_mul_ctl.scala 137:112] + node _T_3965 = add(_T_3964, _T_3945) @[exu_mul_ctl.scala 137:112] + node _T_3966 = add(_T_3965, _T_3946) @[exu_mul_ctl.scala 137:112] + node _T_3967 = add(_T_3966, _T_3947) @[exu_mul_ctl.scala 137:112] + node _T_3968 = add(_T_3967, _T_3948) @[exu_mul_ctl.scala 137:112] + node _T_3969 = add(_T_3968, _T_3949) @[exu_mul_ctl.scala 137:112] + node _T_3970 = add(_T_3969, _T_3950) @[exu_mul_ctl.scala 137:112] + node _T_3971 = add(_T_3970, _T_3951) @[exu_mul_ctl.scala 137:112] + node _T_3972 = eq(_T_3971, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3973 = bits(_T_3972, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3974 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_3975 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3976 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3977 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3978 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3979 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3980 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3981 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3982 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3983 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3984 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3985 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3986 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3987 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3988 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3989 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3990 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3991 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3992 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3993 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3994 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3995 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3996 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3997 = add(_T_3975, _T_3976) @[exu_mul_ctl.scala 137:112] + node _T_3998 = add(_T_3997, _T_3977) @[exu_mul_ctl.scala 137:112] + node _T_3999 = add(_T_3998, _T_3978) @[exu_mul_ctl.scala 137:112] + node _T_4000 = add(_T_3999, _T_3979) @[exu_mul_ctl.scala 137:112] + node _T_4001 = add(_T_4000, _T_3980) @[exu_mul_ctl.scala 137:112] + node _T_4002 = add(_T_4001, _T_3981) @[exu_mul_ctl.scala 137:112] + node _T_4003 = add(_T_4002, _T_3982) @[exu_mul_ctl.scala 137:112] + node _T_4004 = add(_T_4003, _T_3983) @[exu_mul_ctl.scala 137:112] + node _T_4005 = add(_T_4004, _T_3984) @[exu_mul_ctl.scala 137:112] + node _T_4006 = add(_T_4005, _T_3985) @[exu_mul_ctl.scala 137:112] + node _T_4007 = add(_T_4006, _T_3986) @[exu_mul_ctl.scala 137:112] + node _T_4008 = add(_T_4007, _T_3987) @[exu_mul_ctl.scala 137:112] + node _T_4009 = add(_T_4008, _T_3988) @[exu_mul_ctl.scala 137:112] + node _T_4010 = add(_T_4009, _T_3989) @[exu_mul_ctl.scala 137:112] + node _T_4011 = add(_T_4010, _T_3990) @[exu_mul_ctl.scala 137:112] + node _T_4012 = add(_T_4011, _T_3991) @[exu_mul_ctl.scala 137:112] + node _T_4013 = add(_T_4012, _T_3992) @[exu_mul_ctl.scala 137:112] + node _T_4014 = add(_T_4013, _T_3993) @[exu_mul_ctl.scala 137:112] + node _T_4015 = add(_T_4014, _T_3994) @[exu_mul_ctl.scala 137:112] + node _T_4016 = add(_T_4015, _T_3995) @[exu_mul_ctl.scala 137:112] + node _T_4017 = add(_T_4016, _T_3996) @[exu_mul_ctl.scala 137:112] + node _T_4018 = eq(_T_4017, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4019 = bits(_T_4018, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4020 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_4021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4028 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4030 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4031 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4032 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4033 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4034 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4035 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4036 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4037 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4038 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4039 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4040 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4041 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4042 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4043 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4044 = add(_T_4021, _T_4022) @[exu_mul_ctl.scala 137:112] + node _T_4045 = add(_T_4044, _T_4023) @[exu_mul_ctl.scala 137:112] + node _T_4046 = add(_T_4045, _T_4024) @[exu_mul_ctl.scala 137:112] + node _T_4047 = add(_T_4046, _T_4025) @[exu_mul_ctl.scala 137:112] + node _T_4048 = add(_T_4047, _T_4026) @[exu_mul_ctl.scala 137:112] + node _T_4049 = add(_T_4048, _T_4027) @[exu_mul_ctl.scala 137:112] + node _T_4050 = add(_T_4049, _T_4028) @[exu_mul_ctl.scala 137:112] + node _T_4051 = add(_T_4050, _T_4029) @[exu_mul_ctl.scala 137:112] + node _T_4052 = add(_T_4051, _T_4030) @[exu_mul_ctl.scala 137:112] + node _T_4053 = add(_T_4052, _T_4031) @[exu_mul_ctl.scala 137:112] + node _T_4054 = add(_T_4053, _T_4032) @[exu_mul_ctl.scala 137:112] + node _T_4055 = add(_T_4054, _T_4033) @[exu_mul_ctl.scala 137:112] + node _T_4056 = add(_T_4055, _T_4034) @[exu_mul_ctl.scala 137:112] + node _T_4057 = add(_T_4056, _T_4035) @[exu_mul_ctl.scala 137:112] + node _T_4058 = add(_T_4057, _T_4036) @[exu_mul_ctl.scala 137:112] + node _T_4059 = add(_T_4058, _T_4037) @[exu_mul_ctl.scala 137:112] + node _T_4060 = add(_T_4059, _T_4038) @[exu_mul_ctl.scala 137:112] + node _T_4061 = add(_T_4060, _T_4039) @[exu_mul_ctl.scala 137:112] + node _T_4062 = add(_T_4061, _T_4040) @[exu_mul_ctl.scala 137:112] + node _T_4063 = add(_T_4062, _T_4041) @[exu_mul_ctl.scala 137:112] + node _T_4064 = add(_T_4063, _T_4042) @[exu_mul_ctl.scala 137:112] + node _T_4065 = add(_T_4064, _T_4043) @[exu_mul_ctl.scala 137:112] + node _T_4066 = eq(_T_4065, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4067 = bits(_T_4066, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4068 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_4069 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4070 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4071 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4072 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4073 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4074 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4075 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4076 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4077 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4078 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4079 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4080 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4081 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4082 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4083 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4084 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4085 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4086 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4087 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4088 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4089 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4090 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4091 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4092 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4093 = add(_T_4069, _T_4070) @[exu_mul_ctl.scala 137:112] + node _T_4094 = add(_T_4093, _T_4071) @[exu_mul_ctl.scala 137:112] + node _T_4095 = add(_T_4094, _T_4072) @[exu_mul_ctl.scala 137:112] + node _T_4096 = add(_T_4095, _T_4073) @[exu_mul_ctl.scala 137:112] + node _T_4097 = add(_T_4096, _T_4074) @[exu_mul_ctl.scala 137:112] + node _T_4098 = add(_T_4097, _T_4075) @[exu_mul_ctl.scala 137:112] + node _T_4099 = add(_T_4098, _T_4076) @[exu_mul_ctl.scala 137:112] + node _T_4100 = add(_T_4099, _T_4077) @[exu_mul_ctl.scala 137:112] + node _T_4101 = add(_T_4100, _T_4078) @[exu_mul_ctl.scala 137:112] + node _T_4102 = add(_T_4101, _T_4079) @[exu_mul_ctl.scala 137:112] + node _T_4103 = add(_T_4102, _T_4080) @[exu_mul_ctl.scala 137:112] + node _T_4104 = add(_T_4103, _T_4081) @[exu_mul_ctl.scala 137:112] + node _T_4105 = add(_T_4104, _T_4082) @[exu_mul_ctl.scala 137:112] + node _T_4106 = add(_T_4105, _T_4083) @[exu_mul_ctl.scala 137:112] + node _T_4107 = add(_T_4106, _T_4084) @[exu_mul_ctl.scala 137:112] + node _T_4108 = add(_T_4107, _T_4085) @[exu_mul_ctl.scala 137:112] + node _T_4109 = add(_T_4108, _T_4086) @[exu_mul_ctl.scala 137:112] + node _T_4110 = add(_T_4109, _T_4087) @[exu_mul_ctl.scala 137:112] + node _T_4111 = add(_T_4110, _T_4088) @[exu_mul_ctl.scala 137:112] + node _T_4112 = add(_T_4111, _T_4089) @[exu_mul_ctl.scala 137:112] + node _T_4113 = add(_T_4112, _T_4090) @[exu_mul_ctl.scala 137:112] + node _T_4114 = add(_T_4113, _T_4091) @[exu_mul_ctl.scala 137:112] + node _T_4115 = add(_T_4114, _T_4092) @[exu_mul_ctl.scala 137:112] + node _T_4116 = eq(_T_4115, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4117 = bits(_T_4116, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4118 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_4119 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4120 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4121 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4122 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4123 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4124 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4125 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4126 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4127 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4128 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4129 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4130 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4131 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4132 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4133 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4134 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4135 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4136 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4137 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4138 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4139 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4140 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4141 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4142 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4143 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4144 = add(_T_4119, _T_4120) @[exu_mul_ctl.scala 137:112] + node _T_4145 = add(_T_4144, _T_4121) @[exu_mul_ctl.scala 137:112] + node _T_4146 = add(_T_4145, _T_4122) @[exu_mul_ctl.scala 137:112] + node _T_4147 = add(_T_4146, _T_4123) @[exu_mul_ctl.scala 137:112] + node _T_4148 = add(_T_4147, _T_4124) @[exu_mul_ctl.scala 137:112] + node _T_4149 = add(_T_4148, _T_4125) @[exu_mul_ctl.scala 137:112] + node _T_4150 = add(_T_4149, _T_4126) @[exu_mul_ctl.scala 137:112] + node _T_4151 = add(_T_4150, _T_4127) @[exu_mul_ctl.scala 137:112] + node _T_4152 = add(_T_4151, _T_4128) @[exu_mul_ctl.scala 137:112] + node _T_4153 = add(_T_4152, _T_4129) @[exu_mul_ctl.scala 137:112] + node _T_4154 = add(_T_4153, _T_4130) @[exu_mul_ctl.scala 137:112] + node _T_4155 = add(_T_4154, _T_4131) @[exu_mul_ctl.scala 137:112] + node _T_4156 = add(_T_4155, _T_4132) @[exu_mul_ctl.scala 137:112] + node _T_4157 = add(_T_4156, _T_4133) @[exu_mul_ctl.scala 137:112] + node _T_4158 = add(_T_4157, _T_4134) @[exu_mul_ctl.scala 137:112] + node _T_4159 = add(_T_4158, _T_4135) @[exu_mul_ctl.scala 137:112] + node _T_4160 = add(_T_4159, _T_4136) @[exu_mul_ctl.scala 137:112] + node _T_4161 = add(_T_4160, _T_4137) @[exu_mul_ctl.scala 137:112] + node _T_4162 = add(_T_4161, _T_4138) @[exu_mul_ctl.scala 137:112] + node _T_4163 = add(_T_4162, _T_4139) @[exu_mul_ctl.scala 137:112] + node _T_4164 = add(_T_4163, _T_4140) @[exu_mul_ctl.scala 137:112] + node _T_4165 = add(_T_4164, _T_4141) @[exu_mul_ctl.scala 137:112] + node _T_4166 = add(_T_4165, _T_4142) @[exu_mul_ctl.scala 137:112] + node _T_4167 = add(_T_4166, _T_4143) @[exu_mul_ctl.scala 137:112] + node _T_4168 = eq(_T_4167, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4169 = bits(_T_4168, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4170 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_4171 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4172 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4173 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4174 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4175 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4176 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4177 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4178 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4179 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4180 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4181 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4182 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4183 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4184 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4185 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4186 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4187 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4188 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4189 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4190 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4191 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4192 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4193 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4194 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4195 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4196 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4197 = add(_T_4171, _T_4172) @[exu_mul_ctl.scala 137:112] + node _T_4198 = add(_T_4197, _T_4173) @[exu_mul_ctl.scala 137:112] + node _T_4199 = add(_T_4198, _T_4174) @[exu_mul_ctl.scala 137:112] + node _T_4200 = add(_T_4199, _T_4175) @[exu_mul_ctl.scala 137:112] + node _T_4201 = add(_T_4200, _T_4176) @[exu_mul_ctl.scala 137:112] + node _T_4202 = add(_T_4201, _T_4177) @[exu_mul_ctl.scala 137:112] + node _T_4203 = add(_T_4202, _T_4178) @[exu_mul_ctl.scala 137:112] + node _T_4204 = add(_T_4203, _T_4179) @[exu_mul_ctl.scala 137:112] + node _T_4205 = add(_T_4204, _T_4180) @[exu_mul_ctl.scala 137:112] + node _T_4206 = add(_T_4205, _T_4181) @[exu_mul_ctl.scala 137:112] + node _T_4207 = add(_T_4206, _T_4182) @[exu_mul_ctl.scala 137:112] + node _T_4208 = add(_T_4207, _T_4183) @[exu_mul_ctl.scala 137:112] + node _T_4209 = add(_T_4208, _T_4184) @[exu_mul_ctl.scala 137:112] + node _T_4210 = add(_T_4209, _T_4185) @[exu_mul_ctl.scala 137:112] + node _T_4211 = add(_T_4210, _T_4186) @[exu_mul_ctl.scala 137:112] + node _T_4212 = add(_T_4211, _T_4187) @[exu_mul_ctl.scala 137:112] + node _T_4213 = add(_T_4212, _T_4188) @[exu_mul_ctl.scala 137:112] + node _T_4214 = add(_T_4213, _T_4189) @[exu_mul_ctl.scala 137:112] + node _T_4215 = add(_T_4214, _T_4190) @[exu_mul_ctl.scala 137:112] + node _T_4216 = add(_T_4215, _T_4191) @[exu_mul_ctl.scala 137:112] + node _T_4217 = add(_T_4216, _T_4192) @[exu_mul_ctl.scala 137:112] + node _T_4218 = add(_T_4217, _T_4193) @[exu_mul_ctl.scala 137:112] + node _T_4219 = add(_T_4218, _T_4194) @[exu_mul_ctl.scala 137:112] + node _T_4220 = add(_T_4219, _T_4195) @[exu_mul_ctl.scala 137:112] + node _T_4221 = add(_T_4220, _T_4196) @[exu_mul_ctl.scala 137:112] + node _T_4222 = eq(_T_4221, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4223 = bits(_T_4222, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4224 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_4225 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4226 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4227 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4228 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4229 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4230 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4231 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4232 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4233 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4234 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4235 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4236 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4237 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4238 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4239 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4240 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4241 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4242 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4243 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4244 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4245 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4246 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4247 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4248 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4249 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4250 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4251 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4252 = add(_T_4225, _T_4226) @[exu_mul_ctl.scala 137:112] + node _T_4253 = add(_T_4252, _T_4227) @[exu_mul_ctl.scala 137:112] + node _T_4254 = add(_T_4253, _T_4228) @[exu_mul_ctl.scala 137:112] + node _T_4255 = add(_T_4254, _T_4229) @[exu_mul_ctl.scala 137:112] + node _T_4256 = add(_T_4255, _T_4230) @[exu_mul_ctl.scala 137:112] + node _T_4257 = add(_T_4256, _T_4231) @[exu_mul_ctl.scala 137:112] + node _T_4258 = add(_T_4257, _T_4232) @[exu_mul_ctl.scala 137:112] + node _T_4259 = add(_T_4258, _T_4233) @[exu_mul_ctl.scala 137:112] + node _T_4260 = add(_T_4259, _T_4234) @[exu_mul_ctl.scala 137:112] + node _T_4261 = add(_T_4260, _T_4235) @[exu_mul_ctl.scala 137:112] + node _T_4262 = add(_T_4261, _T_4236) @[exu_mul_ctl.scala 137:112] + node _T_4263 = add(_T_4262, _T_4237) @[exu_mul_ctl.scala 137:112] + node _T_4264 = add(_T_4263, _T_4238) @[exu_mul_ctl.scala 137:112] + node _T_4265 = add(_T_4264, _T_4239) @[exu_mul_ctl.scala 137:112] + node _T_4266 = add(_T_4265, _T_4240) @[exu_mul_ctl.scala 137:112] + node _T_4267 = add(_T_4266, _T_4241) @[exu_mul_ctl.scala 137:112] + node _T_4268 = add(_T_4267, _T_4242) @[exu_mul_ctl.scala 137:112] + node _T_4269 = add(_T_4268, _T_4243) @[exu_mul_ctl.scala 137:112] + node _T_4270 = add(_T_4269, _T_4244) @[exu_mul_ctl.scala 137:112] + node _T_4271 = add(_T_4270, _T_4245) @[exu_mul_ctl.scala 137:112] + node _T_4272 = add(_T_4271, _T_4246) @[exu_mul_ctl.scala 137:112] + node _T_4273 = add(_T_4272, _T_4247) @[exu_mul_ctl.scala 137:112] + node _T_4274 = add(_T_4273, _T_4248) @[exu_mul_ctl.scala 137:112] + node _T_4275 = add(_T_4274, _T_4249) @[exu_mul_ctl.scala 137:112] + node _T_4276 = add(_T_4275, _T_4250) @[exu_mul_ctl.scala 137:112] + node _T_4277 = add(_T_4276, _T_4251) @[exu_mul_ctl.scala 137:112] + node _T_4278 = eq(_T_4277, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4279 = bits(_T_4278, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4280 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_4281 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4282 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4283 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4284 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4285 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4286 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4287 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4288 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4289 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4290 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4291 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4292 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4293 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4294 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4295 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4296 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4297 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4298 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4299 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4300 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4301 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4302 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4303 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4304 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4305 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4306 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4307 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4308 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_4309 = add(_T_4281, _T_4282) @[exu_mul_ctl.scala 137:112] + node _T_4310 = add(_T_4309, _T_4283) @[exu_mul_ctl.scala 137:112] + node _T_4311 = add(_T_4310, _T_4284) @[exu_mul_ctl.scala 137:112] + node _T_4312 = add(_T_4311, _T_4285) @[exu_mul_ctl.scala 137:112] + node _T_4313 = add(_T_4312, _T_4286) @[exu_mul_ctl.scala 137:112] + node _T_4314 = add(_T_4313, _T_4287) @[exu_mul_ctl.scala 137:112] + node _T_4315 = add(_T_4314, _T_4288) @[exu_mul_ctl.scala 137:112] + node _T_4316 = add(_T_4315, _T_4289) @[exu_mul_ctl.scala 137:112] + node _T_4317 = add(_T_4316, _T_4290) @[exu_mul_ctl.scala 137:112] + node _T_4318 = add(_T_4317, _T_4291) @[exu_mul_ctl.scala 137:112] + node _T_4319 = add(_T_4318, _T_4292) @[exu_mul_ctl.scala 137:112] + node _T_4320 = add(_T_4319, _T_4293) @[exu_mul_ctl.scala 137:112] + node _T_4321 = add(_T_4320, _T_4294) @[exu_mul_ctl.scala 137:112] + node _T_4322 = add(_T_4321, _T_4295) @[exu_mul_ctl.scala 137:112] + node _T_4323 = add(_T_4322, _T_4296) @[exu_mul_ctl.scala 137:112] + node _T_4324 = add(_T_4323, _T_4297) @[exu_mul_ctl.scala 137:112] + node _T_4325 = add(_T_4324, _T_4298) @[exu_mul_ctl.scala 137:112] + node _T_4326 = add(_T_4325, _T_4299) @[exu_mul_ctl.scala 137:112] + node _T_4327 = add(_T_4326, _T_4300) @[exu_mul_ctl.scala 137:112] + node _T_4328 = add(_T_4327, _T_4301) @[exu_mul_ctl.scala 137:112] + node _T_4329 = add(_T_4328, _T_4302) @[exu_mul_ctl.scala 137:112] + node _T_4330 = add(_T_4329, _T_4303) @[exu_mul_ctl.scala 137:112] + node _T_4331 = add(_T_4330, _T_4304) @[exu_mul_ctl.scala 137:112] + node _T_4332 = add(_T_4331, _T_4305) @[exu_mul_ctl.scala 137:112] + node _T_4333 = add(_T_4332, _T_4306) @[exu_mul_ctl.scala 137:112] + node _T_4334 = add(_T_4333, _T_4307) @[exu_mul_ctl.scala 137:112] + node _T_4335 = add(_T_4334, _T_4308) @[exu_mul_ctl.scala 137:112] + node _T_4336 = eq(_T_4335, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4337 = bits(_T_4336, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4338 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_4339 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4340 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4341 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4342 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4343 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4344 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4345 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4346 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4347 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4348 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4349 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4350 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4351 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4352 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4353 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4354 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4355 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4356 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4357 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4358 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4359 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4360 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4361 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4362 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4363 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4364 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4365 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4366 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_4367 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_4368 = add(_T_4339, _T_4340) @[exu_mul_ctl.scala 137:112] + node _T_4369 = add(_T_4368, _T_4341) @[exu_mul_ctl.scala 137:112] + node _T_4370 = add(_T_4369, _T_4342) @[exu_mul_ctl.scala 137:112] + node _T_4371 = add(_T_4370, _T_4343) @[exu_mul_ctl.scala 137:112] + node _T_4372 = add(_T_4371, _T_4344) @[exu_mul_ctl.scala 137:112] + node _T_4373 = add(_T_4372, _T_4345) @[exu_mul_ctl.scala 137:112] + node _T_4374 = add(_T_4373, _T_4346) @[exu_mul_ctl.scala 137:112] + node _T_4375 = add(_T_4374, _T_4347) @[exu_mul_ctl.scala 137:112] + node _T_4376 = add(_T_4375, _T_4348) @[exu_mul_ctl.scala 137:112] + node _T_4377 = add(_T_4376, _T_4349) @[exu_mul_ctl.scala 137:112] + node _T_4378 = add(_T_4377, _T_4350) @[exu_mul_ctl.scala 137:112] + node _T_4379 = add(_T_4378, _T_4351) @[exu_mul_ctl.scala 137:112] + node _T_4380 = add(_T_4379, _T_4352) @[exu_mul_ctl.scala 137:112] + node _T_4381 = add(_T_4380, _T_4353) @[exu_mul_ctl.scala 137:112] + node _T_4382 = add(_T_4381, _T_4354) @[exu_mul_ctl.scala 137:112] + node _T_4383 = add(_T_4382, _T_4355) @[exu_mul_ctl.scala 137:112] + node _T_4384 = add(_T_4383, _T_4356) @[exu_mul_ctl.scala 137:112] + node _T_4385 = add(_T_4384, _T_4357) @[exu_mul_ctl.scala 137:112] + node _T_4386 = add(_T_4385, _T_4358) @[exu_mul_ctl.scala 137:112] + node _T_4387 = add(_T_4386, _T_4359) @[exu_mul_ctl.scala 137:112] + node _T_4388 = add(_T_4387, _T_4360) @[exu_mul_ctl.scala 137:112] + node _T_4389 = add(_T_4388, _T_4361) @[exu_mul_ctl.scala 137:112] + node _T_4390 = add(_T_4389, _T_4362) @[exu_mul_ctl.scala 137:112] + node _T_4391 = add(_T_4390, _T_4363) @[exu_mul_ctl.scala 137:112] + node _T_4392 = add(_T_4391, _T_4364) @[exu_mul_ctl.scala 137:112] + node _T_4393 = add(_T_4392, _T_4365) @[exu_mul_ctl.scala 137:112] + node _T_4394 = add(_T_4393, _T_4366) @[exu_mul_ctl.scala 137:112] + node _T_4395 = add(_T_4394, _T_4367) @[exu_mul_ctl.scala 137:112] + node _T_4396 = eq(_T_4395, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4397 = bits(_T_4396, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4398 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_4399 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4400 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4401 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4402 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4403 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4404 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4405 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4406 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4407 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4408 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4409 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4410 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4411 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4412 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4413 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4414 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4415 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4416 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4417 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4418 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4419 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4420 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4421 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4422 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4423 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4424 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4425 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4426 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_4427 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_4428 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_4429 = add(_T_4399, _T_4400) @[exu_mul_ctl.scala 137:112] + node _T_4430 = add(_T_4429, _T_4401) @[exu_mul_ctl.scala 137:112] + node _T_4431 = add(_T_4430, _T_4402) @[exu_mul_ctl.scala 137:112] + node _T_4432 = add(_T_4431, _T_4403) @[exu_mul_ctl.scala 137:112] + node _T_4433 = add(_T_4432, _T_4404) @[exu_mul_ctl.scala 137:112] + node _T_4434 = add(_T_4433, _T_4405) @[exu_mul_ctl.scala 137:112] + node _T_4435 = add(_T_4434, _T_4406) @[exu_mul_ctl.scala 137:112] + node _T_4436 = add(_T_4435, _T_4407) @[exu_mul_ctl.scala 137:112] + node _T_4437 = add(_T_4436, _T_4408) @[exu_mul_ctl.scala 137:112] + node _T_4438 = add(_T_4437, _T_4409) @[exu_mul_ctl.scala 137:112] + node _T_4439 = add(_T_4438, _T_4410) @[exu_mul_ctl.scala 137:112] + node _T_4440 = add(_T_4439, _T_4411) @[exu_mul_ctl.scala 137:112] + node _T_4441 = add(_T_4440, _T_4412) @[exu_mul_ctl.scala 137:112] + node _T_4442 = add(_T_4441, _T_4413) @[exu_mul_ctl.scala 137:112] + node _T_4443 = add(_T_4442, _T_4414) @[exu_mul_ctl.scala 137:112] + node _T_4444 = add(_T_4443, _T_4415) @[exu_mul_ctl.scala 137:112] + node _T_4445 = add(_T_4444, _T_4416) @[exu_mul_ctl.scala 137:112] + node _T_4446 = add(_T_4445, _T_4417) @[exu_mul_ctl.scala 137:112] + node _T_4447 = add(_T_4446, _T_4418) @[exu_mul_ctl.scala 137:112] + node _T_4448 = add(_T_4447, _T_4419) @[exu_mul_ctl.scala 137:112] + node _T_4449 = add(_T_4448, _T_4420) @[exu_mul_ctl.scala 137:112] + node _T_4450 = add(_T_4449, _T_4421) @[exu_mul_ctl.scala 137:112] + node _T_4451 = add(_T_4450, _T_4422) @[exu_mul_ctl.scala 137:112] + node _T_4452 = add(_T_4451, _T_4423) @[exu_mul_ctl.scala 137:112] + node _T_4453 = add(_T_4452, _T_4424) @[exu_mul_ctl.scala 137:112] + node _T_4454 = add(_T_4453, _T_4425) @[exu_mul_ctl.scala 137:112] + node _T_4455 = add(_T_4454, _T_4426) @[exu_mul_ctl.scala 137:112] + node _T_4456 = add(_T_4455, _T_4427) @[exu_mul_ctl.scala 137:112] + node _T_4457 = add(_T_4456, _T_4428) @[exu_mul_ctl.scala 137:112] + node _T_4458 = eq(_T_4457, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4459 = bits(_T_4458, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4460 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_4461 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4462 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4463 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4464 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4465 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4466 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4467 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4468 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4469 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4470 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4471 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4472 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4473 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4474 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4475 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4476 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4477 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4478 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4479 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4480 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4481 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4482 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4483 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4484 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4485 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4486 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4487 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4488 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_4489 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_4490 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_4491 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_4492 = add(_T_4461, _T_4462) @[exu_mul_ctl.scala 137:112] + node _T_4493 = add(_T_4492, _T_4463) @[exu_mul_ctl.scala 137:112] + node _T_4494 = add(_T_4493, _T_4464) @[exu_mul_ctl.scala 137:112] + node _T_4495 = add(_T_4494, _T_4465) @[exu_mul_ctl.scala 137:112] + node _T_4496 = add(_T_4495, _T_4466) @[exu_mul_ctl.scala 137:112] + node _T_4497 = add(_T_4496, _T_4467) @[exu_mul_ctl.scala 137:112] + node _T_4498 = add(_T_4497, _T_4468) @[exu_mul_ctl.scala 137:112] + node _T_4499 = add(_T_4498, _T_4469) @[exu_mul_ctl.scala 137:112] + node _T_4500 = add(_T_4499, _T_4470) @[exu_mul_ctl.scala 137:112] + node _T_4501 = add(_T_4500, _T_4471) @[exu_mul_ctl.scala 137:112] + node _T_4502 = add(_T_4501, _T_4472) @[exu_mul_ctl.scala 137:112] + node _T_4503 = add(_T_4502, _T_4473) @[exu_mul_ctl.scala 137:112] + node _T_4504 = add(_T_4503, _T_4474) @[exu_mul_ctl.scala 137:112] + node _T_4505 = add(_T_4504, _T_4475) @[exu_mul_ctl.scala 137:112] + node _T_4506 = add(_T_4505, _T_4476) @[exu_mul_ctl.scala 137:112] + node _T_4507 = add(_T_4506, _T_4477) @[exu_mul_ctl.scala 137:112] + node _T_4508 = add(_T_4507, _T_4478) @[exu_mul_ctl.scala 137:112] + node _T_4509 = add(_T_4508, _T_4479) @[exu_mul_ctl.scala 137:112] + node _T_4510 = add(_T_4509, _T_4480) @[exu_mul_ctl.scala 137:112] + node _T_4511 = add(_T_4510, _T_4481) @[exu_mul_ctl.scala 137:112] + node _T_4512 = add(_T_4511, _T_4482) @[exu_mul_ctl.scala 137:112] + node _T_4513 = add(_T_4512, _T_4483) @[exu_mul_ctl.scala 137:112] + node _T_4514 = add(_T_4513, _T_4484) @[exu_mul_ctl.scala 137:112] + node _T_4515 = add(_T_4514, _T_4485) @[exu_mul_ctl.scala 137:112] + node _T_4516 = add(_T_4515, _T_4486) @[exu_mul_ctl.scala 137:112] + node _T_4517 = add(_T_4516, _T_4487) @[exu_mul_ctl.scala 137:112] + node _T_4518 = add(_T_4517, _T_4488) @[exu_mul_ctl.scala 137:112] + node _T_4519 = add(_T_4518, _T_4489) @[exu_mul_ctl.scala 137:112] + node _T_4520 = add(_T_4519, _T_4490) @[exu_mul_ctl.scala 137:112] + node _T_4521 = add(_T_4520, _T_4491) @[exu_mul_ctl.scala 137:112] + node _T_4522 = eq(_T_4521, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4523 = bits(_T_4522, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4524 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_4525 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4526 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4527 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4528 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4529 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4530 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4531 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4532 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4533 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4534 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4535 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4536 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4537 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4538 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4539 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4540 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4541 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4542 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4543 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4544 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4545 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4546 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4547 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4548 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4549 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4550 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4551 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4552 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_4553 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_4554 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_4555 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_4556 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_4557 = add(_T_4525, _T_4526) @[exu_mul_ctl.scala 137:112] + node _T_4558 = add(_T_4557, _T_4527) @[exu_mul_ctl.scala 137:112] + node _T_4559 = add(_T_4558, _T_4528) @[exu_mul_ctl.scala 137:112] + node _T_4560 = add(_T_4559, _T_4529) @[exu_mul_ctl.scala 137:112] + node _T_4561 = add(_T_4560, _T_4530) @[exu_mul_ctl.scala 137:112] + node _T_4562 = add(_T_4561, _T_4531) @[exu_mul_ctl.scala 137:112] + node _T_4563 = add(_T_4562, _T_4532) @[exu_mul_ctl.scala 137:112] + node _T_4564 = add(_T_4563, _T_4533) @[exu_mul_ctl.scala 137:112] + node _T_4565 = add(_T_4564, _T_4534) @[exu_mul_ctl.scala 137:112] + node _T_4566 = add(_T_4565, _T_4535) @[exu_mul_ctl.scala 137:112] + node _T_4567 = add(_T_4566, _T_4536) @[exu_mul_ctl.scala 137:112] + node _T_4568 = add(_T_4567, _T_4537) @[exu_mul_ctl.scala 137:112] + node _T_4569 = add(_T_4568, _T_4538) @[exu_mul_ctl.scala 137:112] + node _T_4570 = add(_T_4569, _T_4539) @[exu_mul_ctl.scala 137:112] + node _T_4571 = add(_T_4570, _T_4540) @[exu_mul_ctl.scala 137:112] + node _T_4572 = add(_T_4571, _T_4541) @[exu_mul_ctl.scala 137:112] + node _T_4573 = add(_T_4572, _T_4542) @[exu_mul_ctl.scala 137:112] + node _T_4574 = add(_T_4573, _T_4543) @[exu_mul_ctl.scala 137:112] + node _T_4575 = add(_T_4574, _T_4544) @[exu_mul_ctl.scala 137:112] + node _T_4576 = add(_T_4575, _T_4545) @[exu_mul_ctl.scala 137:112] + node _T_4577 = add(_T_4576, _T_4546) @[exu_mul_ctl.scala 137:112] + node _T_4578 = add(_T_4577, _T_4547) @[exu_mul_ctl.scala 137:112] + node _T_4579 = add(_T_4578, _T_4548) @[exu_mul_ctl.scala 137:112] + node _T_4580 = add(_T_4579, _T_4549) @[exu_mul_ctl.scala 137:112] + node _T_4581 = add(_T_4580, _T_4550) @[exu_mul_ctl.scala 137:112] + node _T_4582 = add(_T_4581, _T_4551) @[exu_mul_ctl.scala 137:112] + node _T_4583 = add(_T_4582, _T_4552) @[exu_mul_ctl.scala 137:112] + node _T_4584 = add(_T_4583, _T_4553) @[exu_mul_ctl.scala 137:112] + node _T_4585 = add(_T_4584, _T_4554) @[exu_mul_ctl.scala 137:112] + node _T_4586 = add(_T_4585, _T_4555) @[exu_mul_ctl.scala 137:112] + node _T_4587 = add(_T_4586, _T_4556) @[exu_mul_ctl.scala 137:112] + node _T_4588 = eq(_T_4587, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4589 = bits(_T_4588, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4590 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_4591 = mux(_T_4589, _T_4590, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_4592 = mux(_T_4523, _T_4524, _T_4591) @[Mux.scala 98:16] + node _T_4593 = mux(_T_4459, _T_4460, _T_4592) @[Mux.scala 98:16] + node _T_4594 = mux(_T_4397, _T_4398, _T_4593) @[Mux.scala 98:16] + node _T_4595 = mux(_T_4337, _T_4338, _T_4594) @[Mux.scala 98:16] + node _T_4596 = mux(_T_4279, _T_4280, _T_4595) @[Mux.scala 98:16] + node _T_4597 = mux(_T_4223, _T_4224, _T_4596) @[Mux.scala 98:16] + node _T_4598 = mux(_T_4169, _T_4170, _T_4597) @[Mux.scala 98:16] + node _T_4599 = mux(_T_4117, _T_4118, _T_4598) @[Mux.scala 98:16] + node _T_4600 = mux(_T_4067, _T_4068, _T_4599) @[Mux.scala 98:16] + node _T_4601 = mux(_T_4019, _T_4020, _T_4600) @[Mux.scala 98:16] + node _T_4602 = mux(_T_3973, _T_3974, _T_4601) @[Mux.scala 98:16] + node _T_4603 = mux(_T_3929, _T_3930, _T_4602) @[Mux.scala 98:16] + node _T_4604 = mux(_T_3887, _T_3888, _T_4603) @[Mux.scala 98:16] + node _T_4605 = mux(_T_3847, _T_3848, _T_4604) @[Mux.scala 98:16] + node _T_4606 = mux(_T_3809, _T_3810, _T_4605) @[Mux.scala 98:16] + node _T_4607 = mux(_T_3773, _T_3774, _T_4606) @[Mux.scala 98:16] + node _T_4608 = mux(_T_3739, _T_3740, _T_4607) @[Mux.scala 98:16] + node _T_4609 = mux(_T_3707, _T_3708, _T_4608) @[Mux.scala 98:16] + node _T_4610 = mux(_T_3677, _T_3678, _T_4609) @[Mux.scala 98:16] + node _T_4611 = mux(_T_3649, _T_3650, _T_4610) @[Mux.scala 98:16] + node _T_4612 = mux(_T_3623, _T_3624, _T_4611) @[Mux.scala 98:16] + node _T_4613 = mux(_T_3599, _T_3600, _T_4612) @[Mux.scala 98:16] + node _T_4614 = mux(_T_3577, _T_3578, _T_4613) @[Mux.scala 98:16] + node _T_4615 = mux(_T_3557, _T_3558, _T_4614) @[Mux.scala 98:16] + node _T_4616 = mux(_T_3539, _T_3540, _T_4615) @[Mux.scala 98:16] + node _T_4617 = mux(_T_3523, _T_3524, _T_4616) @[Mux.scala 98:16] + node _T_4618 = mux(_T_3509, _T_3510, _T_4617) @[Mux.scala 98:16] + node _T_4619 = mux(_T_3497, _T_3498, _T_4618) @[Mux.scala 98:16] + node _T_4620 = mux(_T_3487, _T_3488, _T_4619) @[Mux.scala 98:16] + node _T_4621 = mux(_T_3479, _T_3480, _T_4620) @[Mux.scala 98:16] + node _T_4622 = mux(_T_3473, _T_3474, _T_4621) @[Mux.scala 98:16] + node _T_4623 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_4624 = eq(_T_4623, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4625 = bits(_T_4624, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4626 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_4627 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4628 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4629 = add(_T_4627, _T_4628) @[exu_mul_ctl.scala 137:112] + node _T_4630 = eq(_T_4629, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4631 = bits(_T_4630, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4632 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_4633 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4634 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4635 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4636 = add(_T_4633, _T_4634) @[exu_mul_ctl.scala 137:112] + node _T_4637 = add(_T_4636, _T_4635) @[exu_mul_ctl.scala 137:112] + node _T_4638 = eq(_T_4637, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4639 = bits(_T_4638, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4640 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_4641 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4642 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4643 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4644 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4645 = add(_T_4641, _T_4642) @[exu_mul_ctl.scala 137:112] + node _T_4646 = add(_T_4645, _T_4643) @[exu_mul_ctl.scala 137:112] + node _T_4647 = add(_T_4646, _T_4644) @[exu_mul_ctl.scala 137:112] + node _T_4648 = eq(_T_4647, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4649 = bits(_T_4648, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4650 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_4651 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4652 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4653 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4654 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4655 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4656 = add(_T_4651, _T_4652) @[exu_mul_ctl.scala 137:112] + node _T_4657 = add(_T_4656, _T_4653) @[exu_mul_ctl.scala 137:112] + node _T_4658 = add(_T_4657, _T_4654) @[exu_mul_ctl.scala 137:112] + node _T_4659 = add(_T_4658, _T_4655) @[exu_mul_ctl.scala 137:112] + node _T_4660 = eq(_T_4659, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4661 = bits(_T_4660, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4662 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_4663 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4664 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4665 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4666 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4667 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4668 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4669 = add(_T_4663, _T_4664) @[exu_mul_ctl.scala 137:112] + node _T_4670 = add(_T_4669, _T_4665) @[exu_mul_ctl.scala 137:112] + node _T_4671 = add(_T_4670, _T_4666) @[exu_mul_ctl.scala 137:112] + node _T_4672 = add(_T_4671, _T_4667) @[exu_mul_ctl.scala 137:112] + node _T_4673 = add(_T_4672, _T_4668) @[exu_mul_ctl.scala 137:112] + node _T_4674 = eq(_T_4673, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4675 = bits(_T_4674, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4676 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_4677 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4678 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4679 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4680 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4681 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4682 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4683 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4684 = add(_T_4677, _T_4678) @[exu_mul_ctl.scala 137:112] + node _T_4685 = add(_T_4684, _T_4679) @[exu_mul_ctl.scala 137:112] + node _T_4686 = add(_T_4685, _T_4680) @[exu_mul_ctl.scala 137:112] + node _T_4687 = add(_T_4686, _T_4681) @[exu_mul_ctl.scala 137:112] + node _T_4688 = add(_T_4687, _T_4682) @[exu_mul_ctl.scala 137:112] + node _T_4689 = add(_T_4688, _T_4683) @[exu_mul_ctl.scala 137:112] + node _T_4690 = eq(_T_4689, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4691 = bits(_T_4690, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4692 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_4693 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4694 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4695 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4696 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4697 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4698 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4699 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4700 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4701 = add(_T_4693, _T_4694) @[exu_mul_ctl.scala 137:112] + node _T_4702 = add(_T_4701, _T_4695) @[exu_mul_ctl.scala 137:112] + node _T_4703 = add(_T_4702, _T_4696) @[exu_mul_ctl.scala 137:112] + node _T_4704 = add(_T_4703, _T_4697) @[exu_mul_ctl.scala 137:112] + node _T_4705 = add(_T_4704, _T_4698) @[exu_mul_ctl.scala 137:112] + node _T_4706 = add(_T_4705, _T_4699) @[exu_mul_ctl.scala 137:112] + node _T_4707 = add(_T_4706, _T_4700) @[exu_mul_ctl.scala 137:112] + node _T_4708 = eq(_T_4707, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4709 = bits(_T_4708, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4710 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_4711 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4712 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4713 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4714 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4715 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4716 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4717 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4718 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4719 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4720 = add(_T_4711, _T_4712) @[exu_mul_ctl.scala 137:112] + node _T_4721 = add(_T_4720, _T_4713) @[exu_mul_ctl.scala 137:112] + node _T_4722 = add(_T_4721, _T_4714) @[exu_mul_ctl.scala 137:112] + node _T_4723 = add(_T_4722, _T_4715) @[exu_mul_ctl.scala 137:112] + node _T_4724 = add(_T_4723, _T_4716) @[exu_mul_ctl.scala 137:112] + node _T_4725 = add(_T_4724, _T_4717) @[exu_mul_ctl.scala 137:112] + node _T_4726 = add(_T_4725, _T_4718) @[exu_mul_ctl.scala 137:112] + node _T_4727 = add(_T_4726, _T_4719) @[exu_mul_ctl.scala 137:112] + node _T_4728 = eq(_T_4727, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4729 = bits(_T_4728, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4730 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_4731 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4732 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4733 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4734 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4735 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4736 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4737 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4738 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4739 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4740 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4741 = add(_T_4731, _T_4732) @[exu_mul_ctl.scala 137:112] + node _T_4742 = add(_T_4741, _T_4733) @[exu_mul_ctl.scala 137:112] + node _T_4743 = add(_T_4742, _T_4734) @[exu_mul_ctl.scala 137:112] + node _T_4744 = add(_T_4743, _T_4735) @[exu_mul_ctl.scala 137:112] + node _T_4745 = add(_T_4744, _T_4736) @[exu_mul_ctl.scala 137:112] + node _T_4746 = add(_T_4745, _T_4737) @[exu_mul_ctl.scala 137:112] + node _T_4747 = add(_T_4746, _T_4738) @[exu_mul_ctl.scala 137:112] + node _T_4748 = add(_T_4747, _T_4739) @[exu_mul_ctl.scala 137:112] + node _T_4749 = add(_T_4748, _T_4740) @[exu_mul_ctl.scala 137:112] + node _T_4750 = eq(_T_4749, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4751 = bits(_T_4750, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4752 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_4753 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4754 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4755 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4756 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4757 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4758 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4759 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4760 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4761 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4762 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4763 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4764 = add(_T_4753, _T_4754) @[exu_mul_ctl.scala 137:112] + node _T_4765 = add(_T_4764, _T_4755) @[exu_mul_ctl.scala 137:112] + node _T_4766 = add(_T_4765, _T_4756) @[exu_mul_ctl.scala 137:112] + node _T_4767 = add(_T_4766, _T_4757) @[exu_mul_ctl.scala 137:112] + node _T_4768 = add(_T_4767, _T_4758) @[exu_mul_ctl.scala 137:112] + node _T_4769 = add(_T_4768, _T_4759) @[exu_mul_ctl.scala 137:112] + node _T_4770 = add(_T_4769, _T_4760) @[exu_mul_ctl.scala 137:112] + node _T_4771 = add(_T_4770, _T_4761) @[exu_mul_ctl.scala 137:112] + node _T_4772 = add(_T_4771, _T_4762) @[exu_mul_ctl.scala 137:112] + node _T_4773 = add(_T_4772, _T_4763) @[exu_mul_ctl.scala 137:112] + node _T_4774 = eq(_T_4773, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4775 = bits(_T_4774, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4776 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_4777 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4778 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4779 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4780 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4781 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4782 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4783 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4784 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4785 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4786 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4787 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4788 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4789 = add(_T_4777, _T_4778) @[exu_mul_ctl.scala 137:112] + node _T_4790 = add(_T_4789, _T_4779) @[exu_mul_ctl.scala 137:112] + node _T_4791 = add(_T_4790, _T_4780) @[exu_mul_ctl.scala 137:112] + node _T_4792 = add(_T_4791, _T_4781) @[exu_mul_ctl.scala 137:112] + node _T_4793 = add(_T_4792, _T_4782) @[exu_mul_ctl.scala 137:112] + node _T_4794 = add(_T_4793, _T_4783) @[exu_mul_ctl.scala 137:112] + node _T_4795 = add(_T_4794, _T_4784) @[exu_mul_ctl.scala 137:112] + node _T_4796 = add(_T_4795, _T_4785) @[exu_mul_ctl.scala 137:112] + node _T_4797 = add(_T_4796, _T_4786) @[exu_mul_ctl.scala 137:112] + node _T_4798 = add(_T_4797, _T_4787) @[exu_mul_ctl.scala 137:112] + node _T_4799 = add(_T_4798, _T_4788) @[exu_mul_ctl.scala 137:112] + node _T_4800 = eq(_T_4799, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4801 = bits(_T_4800, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4802 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_4803 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4804 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4805 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4806 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4807 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4808 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4809 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4810 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4811 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4812 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4813 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4814 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4815 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4816 = add(_T_4803, _T_4804) @[exu_mul_ctl.scala 137:112] + node _T_4817 = add(_T_4816, _T_4805) @[exu_mul_ctl.scala 137:112] + node _T_4818 = add(_T_4817, _T_4806) @[exu_mul_ctl.scala 137:112] + node _T_4819 = add(_T_4818, _T_4807) @[exu_mul_ctl.scala 137:112] + node _T_4820 = add(_T_4819, _T_4808) @[exu_mul_ctl.scala 137:112] + node _T_4821 = add(_T_4820, _T_4809) @[exu_mul_ctl.scala 137:112] + node _T_4822 = add(_T_4821, _T_4810) @[exu_mul_ctl.scala 137:112] + node _T_4823 = add(_T_4822, _T_4811) @[exu_mul_ctl.scala 137:112] + node _T_4824 = add(_T_4823, _T_4812) @[exu_mul_ctl.scala 137:112] + node _T_4825 = add(_T_4824, _T_4813) @[exu_mul_ctl.scala 137:112] + node _T_4826 = add(_T_4825, _T_4814) @[exu_mul_ctl.scala 137:112] + node _T_4827 = add(_T_4826, _T_4815) @[exu_mul_ctl.scala 137:112] + node _T_4828 = eq(_T_4827, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4829 = bits(_T_4828, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4830 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_4831 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4832 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4833 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4834 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4835 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4836 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4837 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4838 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4839 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4840 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4841 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4842 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4843 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4844 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4845 = add(_T_4831, _T_4832) @[exu_mul_ctl.scala 137:112] + node _T_4846 = add(_T_4845, _T_4833) @[exu_mul_ctl.scala 137:112] + node _T_4847 = add(_T_4846, _T_4834) @[exu_mul_ctl.scala 137:112] + node _T_4848 = add(_T_4847, _T_4835) @[exu_mul_ctl.scala 137:112] + node _T_4849 = add(_T_4848, _T_4836) @[exu_mul_ctl.scala 137:112] + node _T_4850 = add(_T_4849, _T_4837) @[exu_mul_ctl.scala 137:112] + node _T_4851 = add(_T_4850, _T_4838) @[exu_mul_ctl.scala 137:112] + node _T_4852 = add(_T_4851, _T_4839) @[exu_mul_ctl.scala 137:112] + node _T_4853 = add(_T_4852, _T_4840) @[exu_mul_ctl.scala 137:112] + node _T_4854 = add(_T_4853, _T_4841) @[exu_mul_ctl.scala 137:112] + node _T_4855 = add(_T_4854, _T_4842) @[exu_mul_ctl.scala 137:112] + node _T_4856 = add(_T_4855, _T_4843) @[exu_mul_ctl.scala 137:112] + node _T_4857 = add(_T_4856, _T_4844) @[exu_mul_ctl.scala 137:112] + node _T_4858 = eq(_T_4857, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4859 = bits(_T_4858, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4860 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_4861 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4862 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4863 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4864 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4865 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4866 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4867 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4868 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4869 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4870 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4871 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4872 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4873 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4874 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4875 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4876 = add(_T_4861, _T_4862) @[exu_mul_ctl.scala 137:112] + node _T_4877 = add(_T_4876, _T_4863) @[exu_mul_ctl.scala 137:112] + node _T_4878 = add(_T_4877, _T_4864) @[exu_mul_ctl.scala 137:112] + node _T_4879 = add(_T_4878, _T_4865) @[exu_mul_ctl.scala 137:112] + node _T_4880 = add(_T_4879, _T_4866) @[exu_mul_ctl.scala 137:112] + node _T_4881 = add(_T_4880, _T_4867) @[exu_mul_ctl.scala 137:112] + node _T_4882 = add(_T_4881, _T_4868) @[exu_mul_ctl.scala 137:112] + node _T_4883 = add(_T_4882, _T_4869) @[exu_mul_ctl.scala 137:112] + node _T_4884 = add(_T_4883, _T_4870) @[exu_mul_ctl.scala 137:112] + node _T_4885 = add(_T_4884, _T_4871) @[exu_mul_ctl.scala 137:112] + node _T_4886 = add(_T_4885, _T_4872) @[exu_mul_ctl.scala 137:112] + node _T_4887 = add(_T_4886, _T_4873) @[exu_mul_ctl.scala 137:112] + node _T_4888 = add(_T_4887, _T_4874) @[exu_mul_ctl.scala 137:112] + node _T_4889 = add(_T_4888, _T_4875) @[exu_mul_ctl.scala 137:112] + node _T_4890 = eq(_T_4889, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4891 = bits(_T_4890, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4892 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_4893 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4894 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4895 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4896 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4897 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4898 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4899 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4900 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4901 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4902 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4903 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4904 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4905 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4906 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4907 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4908 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4909 = add(_T_4893, _T_4894) @[exu_mul_ctl.scala 137:112] + node _T_4910 = add(_T_4909, _T_4895) @[exu_mul_ctl.scala 137:112] + node _T_4911 = add(_T_4910, _T_4896) @[exu_mul_ctl.scala 137:112] + node _T_4912 = add(_T_4911, _T_4897) @[exu_mul_ctl.scala 137:112] + node _T_4913 = add(_T_4912, _T_4898) @[exu_mul_ctl.scala 137:112] + node _T_4914 = add(_T_4913, _T_4899) @[exu_mul_ctl.scala 137:112] + node _T_4915 = add(_T_4914, _T_4900) @[exu_mul_ctl.scala 137:112] + node _T_4916 = add(_T_4915, _T_4901) @[exu_mul_ctl.scala 137:112] + node _T_4917 = add(_T_4916, _T_4902) @[exu_mul_ctl.scala 137:112] + node _T_4918 = add(_T_4917, _T_4903) @[exu_mul_ctl.scala 137:112] + node _T_4919 = add(_T_4918, _T_4904) @[exu_mul_ctl.scala 137:112] + node _T_4920 = add(_T_4919, _T_4905) @[exu_mul_ctl.scala 137:112] + node _T_4921 = add(_T_4920, _T_4906) @[exu_mul_ctl.scala 137:112] + node _T_4922 = add(_T_4921, _T_4907) @[exu_mul_ctl.scala 137:112] + node _T_4923 = add(_T_4922, _T_4908) @[exu_mul_ctl.scala 137:112] + node _T_4924 = eq(_T_4923, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4925 = bits(_T_4924, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4926 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_4927 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4928 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4929 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4930 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4931 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4932 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4933 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4934 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4935 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4936 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4937 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4938 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4939 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4940 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4941 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4942 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4943 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4944 = add(_T_4927, _T_4928) @[exu_mul_ctl.scala 137:112] + node _T_4945 = add(_T_4944, _T_4929) @[exu_mul_ctl.scala 137:112] + node _T_4946 = add(_T_4945, _T_4930) @[exu_mul_ctl.scala 137:112] + node _T_4947 = add(_T_4946, _T_4931) @[exu_mul_ctl.scala 137:112] + node _T_4948 = add(_T_4947, _T_4932) @[exu_mul_ctl.scala 137:112] + node _T_4949 = add(_T_4948, _T_4933) @[exu_mul_ctl.scala 137:112] + node _T_4950 = add(_T_4949, _T_4934) @[exu_mul_ctl.scala 137:112] + node _T_4951 = add(_T_4950, _T_4935) @[exu_mul_ctl.scala 137:112] + node _T_4952 = add(_T_4951, _T_4936) @[exu_mul_ctl.scala 137:112] + node _T_4953 = add(_T_4952, _T_4937) @[exu_mul_ctl.scala 137:112] + node _T_4954 = add(_T_4953, _T_4938) @[exu_mul_ctl.scala 137:112] + node _T_4955 = add(_T_4954, _T_4939) @[exu_mul_ctl.scala 137:112] + node _T_4956 = add(_T_4955, _T_4940) @[exu_mul_ctl.scala 137:112] + node _T_4957 = add(_T_4956, _T_4941) @[exu_mul_ctl.scala 137:112] + node _T_4958 = add(_T_4957, _T_4942) @[exu_mul_ctl.scala 137:112] + node _T_4959 = add(_T_4958, _T_4943) @[exu_mul_ctl.scala 137:112] + node _T_4960 = eq(_T_4959, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4961 = bits(_T_4960, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4962 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_4963 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4964 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4965 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4966 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4967 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4968 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4969 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4970 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4971 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4972 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4973 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4974 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4975 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4976 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4977 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4978 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4979 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4980 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4981 = add(_T_4963, _T_4964) @[exu_mul_ctl.scala 137:112] + node _T_4982 = add(_T_4981, _T_4965) @[exu_mul_ctl.scala 137:112] + node _T_4983 = add(_T_4982, _T_4966) @[exu_mul_ctl.scala 137:112] + node _T_4984 = add(_T_4983, _T_4967) @[exu_mul_ctl.scala 137:112] + node _T_4985 = add(_T_4984, _T_4968) @[exu_mul_ctl.scala 137:112] + node _T_4986 = add(_T_4985, _T_4969) @[exu_mul_ctl.scala 137:112] + node _T_4987 = add(_T_4986, _T_4970) @[exu_mul_ctl.scala 137:112] + node _T_4988 = add(_T_4987, _T_4971) @[exu_mul_ctl.scala 137:112] + node _T_4989 = add(_T_4988, _T_4972) @[exu_mul_ctl.scala 137:112] + node _T_4990 = add(_T_4989, _T_4973) @[exu_mul_ctl.scala 137:112] + node _T_4991 = add(_T_4990, _T_4974) @[exu_mul_ctl.scala 137:112] + node _T_4992 = add(_T_4991, _T_4975) @[exu_mul_ctl.scala 137:112] + node _T_4993 = add(_T_4992, _T_4976) @[exu_mul_ctl.scala 137:112] + node _T_4994 = add(_T_4993, _T_4977) @[exu_mul_ctl.scala 137:112] + node _T_4995 = add(_T_4994, _T_4978) @[exu_mul_ctl.scala 137:112] + node _T_4996 = add(_T_4995, _T_4979) @[exu_mul_ctl.scala 137:112] + node _T_4997 = add(_T_4996, _T_4980) @[exu_mul_ctl.scala 137:112] + node _T_4998 = eq(_T_4997, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4999 = bits(_T_4998, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5000 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_5001 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5002 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5003 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5004 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5005 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5006 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5007 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5008 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5009 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5010 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5011 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5012 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5013 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5014 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5015 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5016 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5017 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5018 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5019 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5020 = add(_T_5001, _T_5002) @[exu_mul_ctl.scala 137:112] + node _T_5021 = add(_T_5020, _T_5003) @[exu_mul_ctl.scala 137:112] + node _T_5022 = add(_T_5021, _T_5004) @[exu_mul_ctl.scala 137:112] + node _T_5023 = add(_T_5022, _T_5005) @[exu_mul_ctl.scala 137:112] + node _T_5024 = add(_T_5023, _T_5006) @[exu_mul_ctl.scala 137:112] + node _T_5025 = add(_T_5024, _T_5007) @[exu_mul_ctl.scala 137:112] + node _T_5026 = add(_T_5025, _T_5008) @[exu_mul_ctl.scala 137:112] + node _T_5027 = add(_T_5026, _T_5009) @[exu_mul_ctl.scala 137:112] + node _T_5028 = add(_T_5027, _T_5010) @[exu_mul_ctl.scala 137:112] + node _T_5029 = add(_T_5028, _T_5011) @[exu_mul_ctl.scala 137:112] + node _T_5030 = add(_T_5029, _T_5012) @[exu_mul_ctl.scala 137:112] + node _T_5031 = add(_T_5030, _T_5013) @[exu_mul_ctl.scala 137:112] + node _T_5032 = add(_T_5031, _T_5014) @[exu_mul_ctl.scala 137:112] + node _T_5033 = add(_T_5032, _T_5015) @[exu_mul_ctl.scala 137:112] + node _T_5034 = add(_T_5033, _T_5016) @[exu_mul_ctl.scala 137:112] + node _T_5035 = add(_T_5034, _T_5017) @[exu_mul_ctl.scala 137:112] + node _T_5036 = add(_T_5035, _T_5018) @[exu_mul_ctl.scala 137:112] + node _T_5037 = add(_T_5036, _T_5019) @[exu_mul_ctl.scala 137:112] + node _T_5038 = eq(_T_5037, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5039 = bits(_T_5038, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5040 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_5041 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5042 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5043 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5044 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5045 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5046 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5047 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5048 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5049 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5050 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5051 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5052 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5053 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5054 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5055 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5056 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5057 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5058 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5059 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5060 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5061 = add(_T_5041, _T_5042) @[exu_mul_ctl.scala 137:112] + node _T_5062 = add(_T_5061, _T_5043) @[exu_mul_ctl.scala 137:112] + node _T_5063 = add(_T_5062, _T_5044) @[exu_mul_ctl.scala 137:112] + node _T_5064 = add(_T_5063, _T_5045) @[exu_mul_ctl.scala 137:112] + node _T_5065 = add(_T_5064, _T_5046) @[exu_mul_ctl.scala 137:112] + node _T_5066 = add(_T_5065, _T_5047) @[exu_mul_ctl.scala 137:112] + node _T_5067 = add(_T_5066, _T_5048) @[exu_mul_ctl.scala 137:112] + node _T_5068 = add(_T_5067, _T_5049) @[exu_mul_ctl.scala 137:112] + node _T_5069 = add(_T_5068, _T_5050) @[exu_mul_ctl.scala 137:112] + node _T_5070 = add(_T_5069, _T_5051) @[exu_mul_ctl.scala 137:112] + node _T_5071 = add(_T_5070, _T_5052) @[exu_mul_ctl.scala 137:112] + node _T_5072 = add(_T_5071, _T_5053) @[exu_mul_ctl.scala 137:112] + node _T_5073 = add(_T_5072, _T_5054) @[exu_mul_ctl.scala 137:112] + node _T_5074 = add(_T_5073, _T_5055) @[exu_mul_ctl.scala 137:112] + node _T_5075 = add(_T_5074, _T_5056) @[exu_mul_ctl.scala 137:112] + node _T_5076 = add(_T_5075, _T_5057) @[exu_mul_ctl.scala 137:112] + node _T_5077 = add(_T_5076, _T_5058) @[exu_mul_ctl.scala 137:112] + node _T_5078 = add(_T_5077, _T_5059) @[exu_mul_ctl.scala 137:112] + node _T_5079 = add(_T_5078, _T_5060) @[exu_mul_ctl.scala 137:112] + node _T_5080 = eq(_T_5079, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5081 = bits(_T_5080, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5082 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_5083 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5084 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5085 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5086 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5087 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5088 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5089 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5090 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5091 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5092 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5093 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5094 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5095 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5096 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5097 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5098 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5099 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5100 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5101 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5102 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5103 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5104 = add(_T_5083, _T_5084) @[exu_mul_ctl.scala 137:112] + node _T_5105 = add(_T_5104, _T_5085) @[exu_mul_ctl.scala 137:112] + node _T_5106 = add(_T_5105, _T_5086) @[exu_mul_ctl.scala 137:112] + node _T_5107 = add(_T_5106, _T_5087) @[exu_mul_ctl.scala 137:112] + node _T_5108 = add(_T_5107, _T_5088) @[exu_mul_ctl.scala 137:112] + node _T_5109 = add(_T_5108, _T_5089) @[exu_mul_ctl.scala 137:112] + node _T_5110 = add(_T_5109, _T_5090) @[exu_mul_ctl.scala 137:112] + node _T_5111 = add(_T_5110, _T_5091) @[exu_mul_ctl.scala 137:112] + node _T_5112 = add(_T_5111, _T_5092) @[exu_mul_ctl.scala 137:112] + node _T_5113 = add(_T_5112, _T_5093) @[exu_mul_ctl.scala 137:112] + node _T_5114 = add(_T_5113, _T_5094) @[exu_mul_ctl.scala 137:112] + node _T_5115 = add(_T_5114, _T_5095) @[exu_mul_ctl.scala 137:112] + node _T_5116 = add(_T_5115, _T_5096) @[exu_mul_ctl.scala 137:112] + node _T_5117 = add(_T_5116, _T_5097) @[exu_mul_ctl.scala 137:112] + node _T_5118 = add(_T_5117, _T_5098) @[exu_mul_ctl.scala 137:112] + node _T_5119 = add(_T_5118, _T_5099) @[exu_mul_ctl.scala 137:112] + node _T_5120 = add(_T_5119, _T_5100) @[exu_mul_ctl.scala 137:112] + node _T_5121 = add(_T_5120, _T_5101) @[exu_mul_ctl.scala 137:112] + node _T_5122 = add(_T_5121, _T_5102) @[exu_mul_ctl.scala 137:112] + node _T_5123 = add(_T_5122, _T_5103) @[exu_mul_ctl.scala 137:112] + node _T_5124 = eq(_T_5123, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5125 = bits(_T_5124, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5126 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_5127 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5128 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5129 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5130 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5131 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5132 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5133 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5134 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5135 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5136 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5137 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5138 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5139 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5140 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5141 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5142 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5143 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5144 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5145 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5146 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5147 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5148 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5149 = add(_T_5127, _T_5128) @[exu_mul_ctl.scala 137:112] + node _T_5150 = add(_T_5149, _T_5129) @[exu_mul_ctl.scala 137:112] + node _T_5151 = add(_T_5150, _T_5130) @[exu_mul_ctl.scala 137:112] + node _T_5152 = add(_T_5151, _T_5131) @[exu_mul_ctl.scala 137:112] + node _T_5153 = add(_T_5152, _T_5132) @[exu_mul_ctl.scala 137:112] + node _T_5154 = add(_T_5153, _T_5133) @[exu_mul_ctl.scala 137:112] + node _T_5155 = add(_T_5154, _T_5134) @[exu_mul_ctl.scala 137:112] + node _T_5156 = add(_T_5155, _T_5135) @[exu_mul_ctl.scala 137:112] + node _T_5157 = add(_T_5156, _T_5136) @[exu_mul_ctl.scala 137:112] + node _T_5158 = add(_T_5157, _T_5137) @[exu_mul_ctl.scala 137:112] + node _T_5159 = add(_T_5158, _T_5138) @[exu_mul_ctl.scala 137:112] + node _T_5160 = add(_T_5159, _T_5139) @[exu_mul_ctl.scala 137:112] + node _T_5161 = add(_T_5160, _T_5140) @[exu_mul_ctl.scala 137:112] + node _T_5162 = add(_T_5161, _T_5141) @[exu_mul_ctl.scala 137:112] + node _T_5163 = add(_T_5162, _T_5142) @[exu_mul_ctl.scala 137:112] + node _T_5164 = add(_T_5163, _T_5143) @[exu_mul_ctl.scala 137:112] + node _T_5165 = add(_T_5164, _T_5144) @[exu_mul_ctl.scala 137:112] + node _T_5166 = add(_T_5165, _T_5145) @[exu_mul_ctl.scala 137:112] + node _T_5167 = add(_T_5166, _T_5146) @[exu_mul_ctl.scala 137:112] + node _T_5168 = add(_T_5167, _T_5147) @[exu_mul_ctl.scala 137:112] + node _T_5169 = add(_T_5168, _T_5148) @[exu_mul_ctl.scala 137:112] + node _T_5170 = eq(_T_5169, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5171 = bits(_T_5170, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5172 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_5173 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5174 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5175 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5176 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5177 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5178 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5179 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5180 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5181 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5182 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5183 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5184 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5185 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5186 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5187 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5188 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5189 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5190 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5191 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5192 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5193 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5194 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5195 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5196 = add(_T_5173, _T_5174) @[exu_mul_ctl.scala 137:112] + node _T_5197 = add(_T_5196, _T_5175) @[exu_mul_ctl.scala 137:112] + node _T_5198 = add(_T_5197, _T_5176) @[exu_mul_ctl.scala 137:112] + node _T_5199 = add(_T_5198, _T_5177) @[exu_mul_ctl.scala 137:112] + node _T_5200 = add(_T_5199, _T_5178) @[exu_mul_ctl.scala 137:112] + node _T_5201 = add(_T_5200, _T_5179) @[exu_mul_ctl.scala 137:112] + node _T_5202 = add(_T_5201, _T_5180) @[exu_mul_ctl.scala 137:112] + node _T_5203 = add(_T_5202, _T_5181) @[exu_mul_ctl.scala 137:112] + node _T_5204 = add(_T_5203, _T_5182) @[exu_mul_ctl.scala 137:112] + node _T_5205 = add(_T_5204, _T_5183) @[exu_mul_ctl.scala 137:112] + node _T_5206 = add(_T_5205, _T_5184) @[exu_mul_ctl.scala 137:112] + node _T_5207 = add(_T_5206, _T_5185) @[exu_mul_ctl.scala 137:112] + node _T_5208 = add(_T_5207, _T_5186) @[exu_mul_ctl.scala 137:112] + node _T_5209 = add(_T_5208, _T_5187) @[exu_mul_ctl.scala 137:112] + node _T_5210 = add(_T_5209, _T_5188) @[exu_mul_ctl.scala 137:112] + node _T_5211 = add(_T_5210, _T_5189) @[exu_mul_ctl.scala 137:112] + node _T_5212 = add(_T_5211, _T_5190) @[exu_mul_ctl.scala 137:112] + node _T_5213 = add(_T_5212, _T_5191) @[exu_mul_ctl.scala 137:112] + node _T_5214 = add(_T_5213, _T_5192) @[exu_mul_ctl.scala 137:112] + node _T_5215 = add(_T_5214, _T_5193) @[exu_mul_ctl.scala 137:112] + node _T_5216 = add(_T_5215, _T_5194) @[exu_mul_ctl.scala 137:112] + node _T_5217 = add(_T_5216, _T_5195) @[exu_mul_ctl.scala 137:112] + node _T_5218 = eq(_T_5217, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5219 = bits(_T_5218, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5220 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_5221 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5222 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5223 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5224 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5225 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5226 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5227 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5228 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5229 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5230 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5231 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5232 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5233 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5234 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5235 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5236 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5237 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5238 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5239 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5240 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5241 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5242 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5243 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5244 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5245 = add(_T_5221, _T_5222) @[exu_mul_ctl.scala 137:112] + node _T_5246 = add(_T_5245, _T_5223) @[exu_mul_ctl.scala 137:112] + node _T_5247 = add(_T_5246, _T_5224) @[exu_mul_ctl.scala 137:112] + node _T_5248 = add(_T_5247, _T_5225) @[exu_mul_ctl.scala 137:112] + node _T_5249 = add(_T_5248, _T_5226) @[exu_mul_ctl.scala 137:112] + node _T_5250 = add(_T_5249, _T_5227) @[exu_mul_ctl.scala 137:112] + node _T_5251 = add(_T_5250, _T_5228) @[exu_mul_ctl.scala 137:112] + node _T_5252 = add(_T_5251, _T_5229) @[exu_mul_ctl.scala 137:112] + node _T_5253 = add(_T_5252, _T_5230) @[exu_mul_ctl.scala 137:112] + node _T_5254 = add(_T_5253, _T_5231) @[exu_mul_ctl.scala 137:112] + node _T_5255 = add(_T_5254, _T_5232) @[exu_mul_ctl.scala 137:112] + node _T_5256 = add(_T_5255, _T_5233) @[exu_mul_ctl.scala 137:112] + node _T_5257 = add(_T_5256, _T_5234) @[exu_mul_ctl.scala 137:112] + node _T_5258 = add(_T_5257, _T_5235) @[exu_mul_ctl.scala 137:112] + node _T_5259 = add(_T_5258, _T_5236) @[exu_mul_ctl.scala 137:112] + node _T_5260 = add(_T_5259, _T_5237) @[exu_mul_ctl.scala 137:112] + node _T_5261 = add(_T_5260, _T_5238) @[exu_mul_ctl.scala 137:112] + node _T_5262 = add(_T_5261, _T_5239) @[exu_mul_ctl.scala 137:112] + node _T_5263 = add(_T_5262, _T_5240) @[exu_mul_ctl.scala 137:112] + node _T_5264 = add(_T_5263, _T_5241) @[exu_mul_ctl.scala 137:112] + node _T_5265 = add(_T_5264, _T_5242) @[exu_mul_ctl.scala 137:112] + node _T_5266 = add(_T_5265, _T_5243) @[exu_mul_ctl.scala 137:112] + node _T_5267 = add(_T_5266, _T_5244) @[exu_mul_ctl.scala 137:112] + node _T_5268 = eq(_T_5267, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5269 = bits(_T_5268, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5270 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_5271 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5272 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5273 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5274 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5275 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5276 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5277 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5278 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5279 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5280 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5281 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5282 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5283 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5284 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5285 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5286 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5287 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5288 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5289 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5290 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5291 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5292 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5293 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5294 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5295 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5296 = add(_T_5271, _T_5272) @[exu_mul_ctl.scala 137:112] + node _T_5297 = add(_T_5296, _T_5273) @[exu_mul_ctl.scala 137:112] + node _T_5298 = add(_T_5297, _T_5274) @[exu_mul_ctl.scala 137:112] + node _T_5299 = add(_T_5298, _T_5275) @[exu_mul_ctl.scala 137:112] + node _T_5300 = add(_T_5299, _T_5276) @[exu_mul_ctl.scala 137:112] + node _T_5301 = add(_T_5300, _T_5277) @[exu_mul_ctl.scala 137:112] + node _T_5302 = add(_T_5301, _T_5278) @[exu_mul_ctl.scala 137:112] + node _T_5303 = add(_T_5302, _T_5279) @[exu_mul_ctl.scala 137:112] + node _T_5304 = add(_T_5303, _T_5280) @[exu_mul_ctl.scala 137:112] + node _T_5305 = add(_T_5304, _T_5281) @[exu_mul_ctl.scala 137:112] + node _T_5306 = add(_T_5305, _T_5282) @[exu_mul_ctl.scala 137:112] + node _T_5307 = add(_T_5306, _T_5283) @[exu_mul_ctl.scala 137:112] + node _T_5308 = add(_T_5307, _T_5284) @[exu_mul_ctl.scala 137:112] + node _T_5309 = add(_T_5308, _T_5285) @[exu_mul_ctl.scala 137:112] + node _T_5310 = add(_T_5309, _T_5286) @[exu_mul_ctl.scala 137:112] + node _T_5311 = add(_T_5310, _T_5287) @[exu_mul_ctl.scala 137:112] + node _T_5312 = add(_T_5311, _T_5288) @[exu_mul_ctl.scala 137:112] + node _T_5313 = add(_T_5312, _T_5289) @[exu_mul_ctl.scala 137:112] + node _T_5314 = add(_T_5313, _T_5290) @[exu_mul_ctl.scala 137:112] + node _T_5315 = add(_T_5314, _T_5291) @[exu_mul_ctl.scala 137:112] + node _T_5316 = add(_T_5315, _T_5292) @[exu_mul_ctl.scala 137:112] + node _T_5317 = add(_T_5316, _T_5293) @[exu_mul_ctl.scala 137:112] + node _T_5318 = add(_T_5317, _T_5294) @[exu_mul_ctl.scala 137:112] + node _T_5319 = add(_T_5318, _T_5295) @[exu_mul_ctl.scala 137:112] + node _T_5320 = eq(_T_5319, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5321 = bits(_T_5320, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5322 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_5323 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5324 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5325 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5326 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5327 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5328 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5329 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5330 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5331 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5332 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5333 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5334 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5335 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5336 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5337 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5338 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5339 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5340 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5341 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5342 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5343 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5344 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5345 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5346 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5347 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5348 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5349 = add(_T_5323, _T_5324) @[exu_mul_ctl.scala 137:112] + node _T_5350 = add(_T_5349, _T_5325) @[exu_mul_ctl.scala 137:112] + node _T_5351 = add(_T_5350, _T_5326) @[exu_mul_ctl.scala 137:112] + node _T_5352 = add(_T_5351, _T_5327) @[exu_mul_ctl.scala 137:112] + node _T_5353 = add(_T_5352, _T_5328) @[exu_mul_ctl.scala 137:112] + node _T_5354 = add(_T_5353, _T_5329) @[exu_mul_ctl.scala 137:112] + node _T_5355 = add(_T_5354, _T_5330) @[exu_mul_ctl.scala 137:112] + node _T_5356 = add(_T_5355, _T_5331) @[exu_mul_ctl.scala 137:112] + node _T_5357 = add(_T_5356, _T_5332) @[exu_mul_ctl.scala 137:112] + node _T_5358 = add(_T_5357, _T_5333) @[exu_mul_ctl.scala 137:112] + node _T_5359 = add(_T_5358, _T_5334) @[exu_mul_ctl.scala 137:112] + node _T_5360 = add(_T_5359, _T_5335) @[exu_mul_ctl.scala 137:112] + node _T_5361 = add(_T_5360, _T_5336) @[exu_mul_ctl.scala 137:112] + node _T_5362 = add(_T_5361, _T_5337) @[exu_mul_ctl.scala 137:112] + node _T_5363 = add(_T_5362, _T_5338) @[exu_mul_ctl.scala 137:112] + node _T_5364 = add(_T_5363, _T_5339) @[exu_mul_ctl.scala 137:112] + node _T_5365 = add(_T_5364, _T_5340) @[exu_mul_ctl.scala 137:112] + node _T_5366 = add(_T_5365, _T_5341) @[exu_mul_ctl.scala 137:112] + node _T_5367 = add(_T_5366, _T_5342) @[exu_mul_ctl.scala 137:112] + node _T_5368 = add(_T_5367, _T_5343) @[exu_mul_ctl.scala 137:112] + node _T_5369 = add(_T_5368, _T_5344) @[exu_mul_ctl.scala 137:112] + node _T_5370 = add(_T_5369, _T_5345) @[exu_mul_ctl.scala 137:112] + node _T_5371 = add(_T_5370, _T_5346) @[exu_mul_ctl.scala 137:112] + node _T_5372 = add(_T_5371, _T_5347) @[exu_mul_ctl.scala 137:112] + node _T_5373 = add(_T_5372, _T_5348) @[exu_mul_ctl.scala 137:112] + node _T_5374 = eq(_T_5373, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5375 = bits(_T_5374, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5376 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_5377 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5378 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5379 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5380 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5381 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5382 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5383 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5384 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5385 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5386 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5387 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5388 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5389 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5390 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5391 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5392 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5393 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5394 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5395 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5396 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5397 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5398 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5399 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5400 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5401 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5402 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5403 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5404 = add(_T_5377, _T_5378) @[exu_mul_ctl.scala 137:112] + node _T_5405 = add(_T_5404, _T_5379) @[exu_mul_ctl.scala 137:112] + node _T_5406 = add(_T_5405, _T_5380) @[exu_mul_ctl.scala 137:112] + node _T_5407 = add(_T_5406, _T_5381) @[exu_mul_ctl.scala 137:112] + node _T_5408 = add(_T_5407, _T_5382) @[exu_mul_ctl.scala 137:112] + node _T_5409 = add(_T_5408, _T_5383) @[exu_mul_ctl.scala 137:112] + node _T_5410 = add(_T_5409, _T_5384) @[exu_mul_ctl.scala 137:112] + node _T_5411 = add(_T_5410, _T_5385) @[exu_mul_ctl.scala 137:112] + node _T_5412 = add(_T_5411, _T_5386) @[exu_mul_ctl.scala 137:112] + node _T_5413 = add(_T_5412, _T_5387) @[exu_mul_ctl.scala 137:112] + node _T_5414 = add(_T_5413, _T_5388) @[exu_mul_ctl.scala 137:112] + node _T_5415 = add(_T_5414, _T_5389) @[exu_mul_ctl.scala 137:112] + node _T_5416 = add(_T_5415, _T_5390) @[exu_mul_ctl.scala 137:112] + node _T_5417 = add(_T_5416, _T_5391) @[exu_mul_ctl.scala 137:112] + node _T_5418 = add(_T_5417, _T_5392) @[exu_mul_ctl.scala 137:112] + node _T_5419 = add(_T_5418, _T_5393) @[exu_mul_ctl.scala 137:112] + node _T_5420 = add(_T_5419, _T_5394) @[exu_mul_ctl.scala 137:112] + node _T_5421 = add(_T_5420, _T_5395) @[exu_mul_ctl.scala 137:112] + node _T_5422 = add(_T_5421, _T_5396) @[exu_mul_ctl.scala 137:112] + node _T_5423 = add(_T_5422, _T_5397) @[exu_mul_ctl.scala 137:112] + node _T_5424 = add(_T_5423, _T_5398) @[exu_mul_ctl.scala 137:112] + node _T_5425 = add(_T_5424, _T_5399) @[exu_mul_ctl.scala 137:112] + node _T_5426 = add(_T_5425, _T_5400) @[exu_mul_ctl.scala 137:112] + node _T_5427 = add(_T_5426, _T_5401) @[exu_mul_ctl.scala 137:112] + node _T_5428 = add(_T_5427, _T_5402) @[exu_mul_ctl.scala 137:112] + node _T_5429 = add(_T_5428, _T_5403) @[exu_mul_ctl.scala 137:112] + node _T_5430 = eq(_T_5429, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5431 = bits(_T_5430, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5432 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_5433 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5434 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5435 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5436 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5437 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5438 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5439 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5440 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5441 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5442 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5443 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5444 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5445 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5446 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5447 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5448 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5449 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5450 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5451 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5452 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5453 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5454 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5455 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5456 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5457 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5458 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5459 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5460 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_5461 = add(_T_5433, _T_5434) @[exu_mul_ctl.scala 137:112] + node _T_5462 = add(_T_5461, _T_5435) @[exu_mul_ctl.scala 137:112] + node _T_5463 = add(_T_5462, _T_5436) @[exu_mul_ctl.scala 137:112] + node _T_5464 = add(_T_5463, _T_5437) @[exu_mul_ctl.scala 137:112] + node _T_5465 = add(_T_5464, _T_5438) @[exu_mul_ctl.scala 137:112] + node _T_5466 = add(_T_5465, _T_5439) @[exu_mul_ctl.scala 137:112] + node _T_5467 = add(_T_5466, _T_5440) @[exu_mul_ctl.scala 137:112] + node _T_5468 = add(_T_5467, _T_5441) @[exu_mul_ctl.scala 137:112] + node _T_5469 = add(_T_5468, _T_5442) @[exu_mul_ctl.scala 137:112] + node _T_5470 = add(_T_5469, _T_5443) @[exu_mul_ctl.scala 137:112] + node _T_5471 = add(_T_5470, _T_5444) @[exu_mul_ctl.scala 137:112] + node _T_5472 = add(_T_5471, _T_5445) @[exu_mul_ctl.scala 137:112] + node _T_5473 = add(_T_5472, _T_5446) @[exu_mul_ctl.scala 137:112] + node _T_5474 = add(_T_5473, _T_5447) @[exu_mul_ctl.scala 137:112] + node _T_5475 = add(_T_5474, _T_5448) @[exu_mul_ctl.scala 137:112] + node _T_5476 = add(_T_5475, _T_5449) @[exu_mul_ctl.scala 137:112] + node _T_5477 = add(_T_5476, _T_5450) @[exu_mul_ctl.scala 137:112] + node _T_5478 = add(_T_5477, _T_5451) @[exu_mul_ctl.scala 137:112] + node _T_5479 = add(_T_5478, _T_5452) @[exu_mul_ctl.scala 137:112] + node _T_5480 = add(_T_5479, _T_5453) @[exu_mul_ctl.scala 137:112] + node _T_5481 = add(_T_5480, _T_5454) @[exu_mul_ctl.scala 137:112] + node _T_5482 = add(_T_5481, _T_5455) @[exu_mul_ctl.scala 137:112] + node _T_5483 = add(_T_5482, _T_5456) @[exu_mul_ctl.scala 137:112] + node _T_5484 = add(_T_5483, _T_5457) @[exu_mul_ctl.scala 137:112] + node _T_5485 = add(_T_5484, _T_5458) @[exu_mul_ctl.scala 137:112] + node _T_5486 = add(_T_5485, _T_5459) @[exu_mul_ctl.scala 137:112] + node _T_5487 = add(_T_5486, _T_5460) @[exu_mul_ctl.scala 137:112] + node _T_5488 = eq(_T_5487, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5489 = bits(_T_5488, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5490 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_5491 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5492 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5493 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5494 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5495 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5496 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5497 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5498 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5499 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5500 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5501 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5502 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5503 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5504 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5505 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5506 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5507 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5508 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5509 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5510 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5511 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5512 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5513 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5514 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5515 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5516 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5517 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5518 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_5519 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_5520 = add(_T_5491, _T_5492) @[exu_mul_ctl.scala 137:112] + node _T_5521 = add(_T_5520, _T_5493) @[exu_mul_ctl.scala 137:112] + node _T_5522 = add(_T_5521, _T_5494) @[exu_mul_ctl.scala 137:112] + node _T_5523 = add(_T_5522, _T_5495) @[exu_mul_ctl.scala 137:112] + node _T_5524 = add(_T_5523, _T_5496) @[exu_mul_ctl.scala 137:112] + node _T_5525 = add(_T_5524, _T_5497) @[exu_mul_ctl.scala 137:112] + node _T_5526 = add(_T_5525, _T_5498) @[exu_mul_ctl.scala 137:112] + node _T_5527 = add(_T_5526, _T_5499) @[exu_mul_ctl.scala 137:112] + node _T_5528 = add(_T_5527, _T_5500) @[exu_mul_ctl.scala 137:112] + node _T_5529 = add(_T_5528, _T_5501) @[exu_mul_ctl.scala 137:112] + node _T_5530 = add(_T_5529, _T_5502) @[exu_mul_ctl.scala 137:112] + node _T_5531 = add(_T_5530, _T_5503) @[exu_mul_ctl.scala 137:112] + node _T_5532 = add(_T_5531, _T_5504) @[exu_mul_ctl.scala 137:112] + node _T_5533 = add(_T_5532, _T_5505) @[exu_mul_ctl.scala 137:112] + node _T_5534 = add(_T_5533, _T_5506) @[exu_mul_ctl.scala 137:112] + node _T_5535 = add(_T_5534, _T_5507) @[exu_mul_ctl.scala 137:112] + node _T_5536 = add(_T_5535, _T_5508) @[exu_mul_ctl.scala 137:112] + node _T_5537 = add(_T_5536, _T_5509) @[exu_mul_ctl.scala 137:112] + node _T_5538 = add(_T_5537, _T_5510) @[exu_mul_ctl.scala 137:112] + node _T_5539 = add(_T_5538, _T_5511) @[exu_mul_ctl.scala 137:112] + node _T_5540 = add(_T_5539, _T_5512) @[exu_mul_ctl.scala 137:112] + node _T_5541 = add(_T_5540, _T_5513) @[exu_mul_ctl.scala 137:112] + node _T_5542 = add(_T_5541, _T_5514) @[exu_mul_ctl.scala 137:112] + node _T_5543 = add(_T_5542, _T_5515) @[exu_mul_ctl.scala 137:112] + node _T_5544 = add(_T_5543, _T_5516) @[exu_mul_ctl.scala 137:112] + node _T_5545 = add(_T_5544, _T_5517) @[exu_mul_ctl.scala 137:112] + node _T_5546 = add(_T_5545, _T_5518) @[exu_mul_ctl.scala 137:112] + node _T_5547 = add(_T_5546, _T_5519) @[exu_mul_ctl.scala 137:112] + node _T_5548 = eq(_T_5547, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5549 = bits(_T_5548, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5550 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_5551 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5552 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5553 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5554 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5555 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5556 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5557 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5558 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5559 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5560 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5561 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5562 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5563 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5564 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5565 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5566 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5567 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5568 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5569 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5570 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5571 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5572 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5573 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5574 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5575 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5576 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5577 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5578 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_5579 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_5580 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_5581 = add(_T_5551, _T_5552) @[exu_mul_ctl.scala 137:112] + node _T_5582 = add(_T_5581, _T_5553) @[exu_mul_ctl.scala 137:112] + node _T_5583 = add(_T_5582, _T_5554) @[exu_mul_ctl.scala 137:112] + node _T_5584 = add(_T_5583, _T_5555) @[exu_mul_ctl.scala 137:112] + node _T_5585 = add(_T_5584, _T_5556) @[exu_mul_ctl.scala 137:112] + node _T_5586 = add(_T_5585, _T_5557) @[exu_mul_ctl.scala 137:112] + node _T_5587 = add(_T_5586, _T_5558) @[exu_mul_ctl.scala 137:112] + node _T_5588 = add(_T_5587, _T_5559) @[exu_mul_ctl.scala 137:112] + node _T_5589 = add(_T_5588, _T_5560) @[exu_mul_ctl.scala 137:112] + node _T_5590 = add(_T_5589, _T_5561) @[exu_mul_ctl.scala 137:112] + node _T_5591 = add(_T_5590, _T_5562) @[exu_mul_ctl.scala 137:112] + node _T_5592 = add(_T_5591, _T_5563) @[exu_mul_ctl.scala 137:112] + node _T_5593 = add(_T_5592, _T_5564) @[exu_mul_ctl.scala 137:112] + node _T_5594 = add(_T_5593, _T_5565) @[exu_mul_ctl.scala 137:112] + node _T_5595 = add(_T_5594, _T_5566) @[exu_mul_ctl.scala 137:112] + node _T_5596 = add(_T_5595, _T_5567) @[exu_mul_ctl.scala 137:112] + node _T_5597 = add(_T_5596, _T_5568) @[exu_mul_ctl.scala 137:112] + node _T_5598 = add(_T_5597, _T_5569) @[exu_mul_ctl.scala 137:112] + node _T_5599 = add(_T_5598, _T_5570) @[exu_mul_ctl.scala 137:112] + node _T_5600 = add(_T_5599, _T_5571) @[exu_mul_ctl.scala 137:112] + node _T_5601 = add(_T_5600, _T_5572) @[exu_mul_ctl.scala 137:112] + node _T_5602 = add(_T_5601, _T_5573) @[exu_mul_ctl.scala 137:112] + node _T_5603 = add(_T_5602, _T_5574) @[exu_mul_ctl.scala 137:112] + node _T_5604 = add(_T_5603, _T_5575) @[exu_mul_ctl.scala 137:112] + node _T_5605 = add(_T_5604, _T_5576) @[exu_mul_ctl.scala 137:112] + node _T_5606 = add(_T_5605, _T_5577) @[exu_mul_ctl.scala 137:112] + node _T_5607 = add(_T_5606, _T_5578) @[exu_mul_ctl.scala 137:112] + node _T_5608 = add(_T_5607, _T_5579) @[exu_mul_ctl.scala 137:112] + node _T_5609 = add(_T_5608, _T_5580) @[exu_mul_ctl.scala 137:112] + node _T_5610 = eq(_T_5609, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5611 = bits(_T_5610, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5612 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_5613 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5614 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5615 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5616 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5617 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5618 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5619 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5620 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5621 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5622 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5623 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5624 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5625 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5626 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5627 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5628 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5629 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5630 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5631 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5632 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5633 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5634 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5635 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5636 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5637 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5638 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5639 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5640 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_5641 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_5642 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_5643 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_5644 = add(_T_5613, _T_5614) @[exu_mul_ctl.scala 137:112] + node _T_5645 = add(_T_5644, _T_5615) @[exu_mul_ctl.scala 137:112] + node _T_5646 = add(_T_5645, _T_5616) @[exu_mul_ctl.scala 137:112] + node _T_5647 = add(_T_5646, _T_5617) @[exu_mul_ctl.scala 137:112] + node _T_5648 = add(_T_5647, _T_5618) @[exu_mul_ctl.scala 137:112] + node _T_5649 = add(_T_5648, _T_5619) @[exu_mul_ctl.scala 137:112] + node _T_5650 = add(_T_5649, _T_5620) @[exu_mul_ctl.scala 137:112] + node _T_5651 = add(_T_5650, _T_5621) @[exu_mul_ctl.scala 137:112] + node _T_5652 = add(_T_5651, _T_5622) @[exu_mul_ctl.scala 137:112] + node _T_5653 = add(_T_5652, _T_5623) @[exu_mul_ctl.scala 137:112] + node _T_5654 = add(_T_5653, _T_5624) @[exu_mul_ctl.scala 137:112] + node _T_5655 = add(_T_5654, _T_5625) @[exu_mul_ctl.scala 137:112] + node _T_5656 = add(_T_5655, _T_5626) @[exu_mul_ctl.scala 137:112] + node _T_5657 = add(_T_5656, _T_5627) @[exu_mul_ctl.scala 137:112] + node _T_5658 = add(_T_5657, _T_5628) @[exu_mul_ctl.scala 137:112] + node _T_5659 = add(_T_5658, _T_5629) @[exu_mul_ctl.scala 137:112] + node _T_5660 = add(_T_5659, _T_5630) @[exu_mul_ctl.scala 137:112] + node _T_5661 = add(_T_5660, _T_5631) @[exu_mul_ctl.scala 137:112] + node _T_5662 = add(_T_5661, _T_5632) @[exu_mul_ctl.scala 137:112] + node _T_5663 = add(_T_5662, _T_5633) @[exu_mul_ctl.scala 137:112] + node _T_5664 = add(_T_5663, _T_5634) @[exu_mul_ctl.scala 137:112] + node _T_5665 = add(_T_5664, _T_5635) @[exu_mul_ctl.scala 137:112] + node _T_5666 = add(_T_5665, _T_5636) @[exu_mul_ctl.scala 137:112] + node _T_5667 = add(_T_5666, _T_5637) @[exu_mul_ctl.scala 137:112] + node _T_5668 = add(_T_5667, _T_5638) @[exu_mul_ctl.scala 137:112] + node _T_5669 = add(_T_5668, _T_5639) @[exu_mul_ctl.scala 137:112] + node _T_5670 = add(_T_5669, _T_5640) @[exu_mul_ctl.scala 137:112] + node _T_5671 = add(_T_5670, _T_5641) @[exu_mul_ctl.scala 137:112] + node _T_5672 = add(_T_5671, _T_5642) @[exu_mul_ctl.scala 137:112] + node _T_5673 = add(_T_5672, _T_5643) @[exu_mul_ctl.scala 137:112] + node _T_5674 = eq(_T_5673, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5675 = bits(_T_5674, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5676 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_5677 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5678 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5679 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5680 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5681 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5682 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5683 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5684 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5685 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5686 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5687 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5688 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5689 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5690 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5691 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5692 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5693 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5694 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5695 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5696 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5697 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5698 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5699 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5700 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5701 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5702 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5703 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5704 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_5705 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_5706 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_5707 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_5708 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_5709 = add(_T_5677, _T_5678) @[exu_mul_ctl.scala 137:112] + node _T_5710 = add(_T_5709, _T_5679) @[exu_mul_ctl.scala 137:112] + node _T_5711 = add(_T_5710, _T_5680) @[exu_mul_ctl.scala 137:112] + node _T_5712 = add(_T_5711, _T_5681) @[exu_mul_ctl.scala 137:112] + node _T_5713 = add(_T_5712, _T_5682) @[exu_mul_ctl.scala 137:112] + node _T_5714 = add(_T_5713, _T_5683) @[exu_mul_ctl.scala 137:112] + node _T_5715 = add(_T_5714, _T_5684) @[exu_mul_ctl.scala 137:112] + node _T_5716 = add(_T_5715, _T_5685) @[exu_mul_ctl.scala 137:112] + node _T_5717 = add(_T_5716, _T_5686) @[exu_mul_ctl.scala 137:112] + node _T_5718 = add(_T_5717, _T_5687) @[exu_mul_ctl.scala 137:112] + node _T_5719 = add(_T_5718, _T_5688) @[exu_mul_ctl.scala 137:112] + node _T_5720 = add(_T_5719, _T_5689) @[exu_mul_ctl.scala 137:112] + node _T_5721 = add(_T_5720, _T_5690) @[exu_mul_ctl.scala 137:112] + node _T_5722 = add(_T_5721, _T_5691) @[exu_mul_ctl.scala 137:112] + node _T_5723 = add(_T_5722, _T_5692) @[exu_mul_ctl.scala 137:112] + node _T_5724 = add(_T_5723, _T_5693) @[exu_mul_ctl.scala 137:112] + node _T_5725 = add(_T_5724, _T_5694) @[exu_mul_ctl.scala 137:112] + node _T_5726 = add(_T_5725, _T_5695) @[exu_mul_ctl.scala 137:112] + node _T_5727 = add(_T_5726, _T_5696) @[exu_mul_ctl.scala 137:112] + node _T_5728 = add(_T_5727, _T_5697) @[exu_mul_ctl.scala 137:112] + node _T_5729 = add(_T_5728, _T_5698) @[exu_mul_ctl.scala 137:112] + node _T_5730 = add(_T_5729, _T_5699) @[exu_mul_ctl.scala 137:112] + node _T_5731 = add(_T_5730, _T_5700) @[exu_mul_ctl.scala 137:112] + node _T_5732 = add(_T_5731, _T_5701) @[exu_mul_ctl.scala 137:112] + node _T_5733 = add(_T_5732, _T_5702) @[exu_mul_ctl.scala 137:112] + node _T_5734 = add(_T_5733, _T_5703) @[exu_mul_ctl.scala 137:112] + node _T_5735 = add(_T_5734, _T_5704) @[exu_mul_ctl.scala 137:112] + node _T_5736 = add(_T_5735, _T_5705) @[exu_mul_ctl.scala 137:112] + node _T_5737 = add(_T_5736, _T_5706) @[exu_mul_ctl.scala 137:112] + node _T_5738 = add(_T_5737, _T_5707) @[exu_mul_ctl.scala 137:112] + node _T_5739 = add(_T_5738, _T_5708) @[exu_mul_ctl.scala 137:112] + node _T_5740 = eq(_T_5739, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5741 = bits(_T_5740, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5742 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_5743 = mux(_T_5741, _T_5742, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_5744 = mux(_T_5675, _T_5676, _T_5743) @[Mux.scala 98:16] + node _T_5745 = mux(_T_5611, _T_5612, _T_5744) @[Mux.scala 98:16] + node _T_5746 = mux(_T_5549, _T_5550, _T_5745) @[Mux.scala 98:16] + node _T_5747 = mux(_T_5489, _T_5490, _T_5746) @[Mux.scala 98:16] + node _T_5748 = mux(_T_5431, _T_5432, _T_5747) @[Mux.scala 98:16] + node _T_5749 = mux(_T_5375, _T_5376, _T_5748) @[Mux.scala 98:16] + node _T_5750 = mux(_T_5321, _T_5322, _T_5749) @[Mux.scala 98:16] + node _T_5751 = mux(_T_5269, _T_5270, _T_5750) @[Mux.scala 98:16] + node _T_5752 = mux(_T_5219, _T_5220, _T_5751) @[Mux.scala 98:16] + node _T_5753 = mux(_T_5171, _T_5172, _T_5752) @[Mux.scala 98:16] + node _T_5754 = mux(_T_5125, _T_5126, _T_5753) @[Mux.scala 98:16] + node _T_5755 = mux(_T_5081, _T_5082, _T_5754) @[Mux.scala 98:16] + node _T_5756 = mux(_T_5039, _T_5040, _T_5755) @[Mux.scala 98:16] + node _T_5757 = mux(_T_4999, _T_5000, _T_5756) @[Mux.scala 98:16] + node _T_5758 = mux(_T_4961, _T_4962, _T_5757) @[Mux.scala 98:16] + node _T_5759 = mux(_T_4925, _T_4926, _T_5758) @[Mux.scala 98:16] + node _T_5760 = mux(_T_4891, _T_4892, _T_5759) @[Mux.scala 98:16] + node _T_5761 = mux(_T_4859, _T_4860, _T_5760) @[Mux.scala 98:16] + node _T_5762 = mux(_T_4829, _T_4830, _T_5761) @[Mux.scala 98:16] + node _T_5763 = mux(_T_4801, _T_4802, _T_5762) @[Mux.scala 98:16] + node _T_5764 = mux(_T_4775, _T_4776, _T_5763) @[Mux.scala 98:16] + node _T_5765 = mux(_T_4751, _T_4752, _T_5764) @[Mux.scala 98:16] + node _T_5766 = mux(_T_4729, _T_4730, _T_5765) @[Mux.scala 98:16] + node _T_5767 = mux(_T_4709, _T_4710, _T_5766) @[Mux.scala 98:16] + node _T_5768 = mux(_T_4691, _T_4692, _T_5767) @[Mux.scala 98:16] + node _T_5769 = mux(_T_4675, _T_4676, _T_5768) @[Mux.scala 98:16] + node _T_5770 = mux(_T_4661, _T_4662, _T_5769) @[Mux.scala 98:16] + node _T_5771 = mux(_T_4649, _T_4650, _T_5770) @[Mux.scala 98:16] + node _T_5772 = mux(_T_4639, _T_4640, _T_5771) @[Mux.scala 98:16] + node _T_5773 = mux(_T_4631, _T_4632, _T_5772) @[Mux.scala 98:16] + node _T_5774 = mux(_T_4625, _T_4626, _T_5773) @[Mux.scala 98:16] + node _T_5775 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_5776 = eq(_T_5775, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5777 = bits(_T_5776, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5778 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_5779 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5780 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5781 = add(_T_5779, _T_5780) @[exu_mul_ctl.scala 137:112] + node _T_5782 = eq(_T_5781, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5783 = bits(_T_5782, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5784 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_5785 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5786 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5787 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5788 = add(_T_5785, _T_5786) @[exu_mul_ctl.scala 137:112] + node _T_5789 = add(_T_5788, _T_5787) @[exu_mul_ctl.scala 137:112] + node _T_5790 = eq(_T_5789, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5791 = bits(_T_5790, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5792 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_5793 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5794 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5795 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5796 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5797 = add(_T_5793, _T_5794) @[exu_mul_ctl.scala 137:112] + node _T_5798 = add(_T_5797, _T_5795) @[exu_mul_ctl.scala 137:112] + node _T_5799 = add(_T_5798, _T_5796) @[exu_mul_ctl.scala 137:112] + node _T_5800 = eq(_T_5799, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5801 = bits(_T_5800, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5802 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_5803 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5804 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5805 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5806 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5807 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5808 = add(_T_5803, _T_5804) @[exu_mul_ctl.scala 137:112] + node _T_5809 = add(_T_5808, _T_5805) @[exu_mul_ctl.scala 137:112] + node _T_5810 = add(_T_5809, _T_5806) @[exu_mul_ctl.scala 137:112] + node _T_5811 = add(_T_5810, _T_5807) @[exu_mul_ctl.scala 137:112] + node _T_5812 = eq(_T_5811, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5813 = bits(_T_5812, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5814 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_5815 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5816 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5817 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5818 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5819 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5820 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5821 = add(_T_5815, _T_5816) @[exu_mul_ctl.scala 137:112] + node _T_5822 = add(_T_5821, _T_5817) @[exu_mul_ctl.scala 137:112] + node _T_5823 = add(_T_5822, _T_5818) @[exu_mul_ctl.scala 137:112] + node _T_5824 = add(_T_5823, _T_5819) @[exu_mul_ctl.scala 137:112] + node _T_5825 = add(_T_5824, _T_5820) @[exu_mul_ctl.scala 137:112] + node _T_5826 = eq(_T_5825, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5827 = bits(_T_5826, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5828 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_5829 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5830 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5831 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5832 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5833 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5834 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5835 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5836 = add(_T_5829, _T_5830) @[exu_mul_ctl.scala 137:112] + node _T_5837 = add(_T_5836, _T_5831) @[exu_mul_ctl.scala 137:112] + node _T_5838 = add(_T_5837, _T_5832) @[exu_mul_ctl.scala 137:112] + node _T_5839 = add(_T_5838, _T_5833) @[exu_mul_ctl.scala 137:112] + node _T_5840 = add(_T_5839, _T_5834) @[exu_mul_ctl.scala 137:112] + node _T_5841 = add(_T_5840, _T_5835) @[exu_mul_ctl.scala 137:112] + node _T_5842 = eq(_T_5841, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5843 = bits(_T_5842, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5844 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_5845 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5846 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5847 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5848 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5849 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5850 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5851 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5852 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5853 = add(_T_5845, _T_5846) @[exu_mul_ctl.scala 137:112] + node _T_5854 = add(_T_5853, _T_5847) @[exu_mul_ctl.scala 137:112] + node _T_5855 = add(_T_5854, _T_5848) @[exu_mul_ctl.scala 137:112] + node _T_5856 = add(_T_5855, _T_5849) @[exu_mul_ctl.scala 137:112] + node _T_5857 = add(_T_5856, _T_5850) @[exu_mul_ctl.scala 137:112] + node _T_5858 = add(_T_5857, _T_5851) @[exu_mul_ctl.scala 137:112] + node _T_5859 = add(_T_5858, _T_5852) @[exu_mul_ctl.scala 137:112] + node _T_5860 = eq(_T_5859, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5861 = bits(_T_5860, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5862 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_5863 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5864 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5865 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5866 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5867 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5868 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5869 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5870 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5871 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5872 = add(_T_5863, _T_5864) @[exu_mul_ctl.scala 137:112] + node _T_5873 = add(_T_5872, _T_5865) @[exu_mul_ctl.scala 137:112] + node _T_5874 = add(_T_5873, _T_5866) @[exu_mul_ctl.scala 137:112] + node _T_5875 = add(_T_5874, _T_5867) @[exu_mul_ctl.scala 137:112] + node _T_5876 = add(_T_5875, _T_5868) @[exu_mul_ctl.scala 137:112] + node _T_5877 = add(_T_5876, _T_5869) @[exu_mul_ctl.scala 137:112] + node _T_5878 = add(_T_5877, _T_5870) @[exu_mul_ctl.scala 137:112] + node _T_5879 = add(_T_5878, _T_5871) @[exu_mul_ctl.scala 137:112] + node _T_5880 = eq(_T_5879, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5881 = bits(_T_5880, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5882 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_5883 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5884 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5885 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5886 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5887 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5888 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5889 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5890 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5891 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5892 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5893 = add(_T_5883, _T_5884) @[exu_mul_ctl.scala 137:112] + node _T_5894 = add(_T_5893, _T_5885) @[exu_mul_ctl.scala 137:112] + node _T_5895 = add(_T_5894, _T_5886) @[exu_mul_ctl.scala 137:112] + node _T_5896 = add(_T_5895, _T_5887) @[exu_mul_ctl.scala 137:112] + node _T_5897 = add(_T_5896, _T_5888) @[exu_mul_ctl.scala 137:112] + node _T_5898 = add(_T_5897, _T_5889) @[exu_mul_ctl.scala 137:112] + node _T_5899 = add(_T_5898, _T_5890) @[exu_mul_ctl.scala 137:112] + node _T_5900 = add(_T_5899, _T_5891) @[exu_mul_ctl.scala 137:112] + node _T_5901 = add(_T_5900, _T_5892) @[exu_mul_ctl.scala 137:112] + node _T_5902 = eq(_T_5901, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5903 = bits(_T_5902, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5904 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_5905 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5906 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5907 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5908 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5909 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5910 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5911 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5912 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5913 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5914 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5915 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5916 = add(_T_5905, _T_5906) @[exu_mul_ctl.scala 137:112] + node _T_5917 = add(_T_5916, _T_5907) @[exu_mul_ctl.scala 137:112] + node _T_5918 = add(_T_5917, _T_5908) @[exu_mul_ctl.scala 137:112] + node _T_5919 = add(_T_5918, _T_5909) @[exu_mul_ctl.scala 137:112] + node _T_5920 = add(_T_5919, _T_5910) @[exu_mul_ctl.scala 137:112] + node _T_5921 = add(_T_5920, _T_5911) @[exu_mul_ctl.scala 137:112] + node _T_5922 = add(_T_5921, _T_5912) @[exu_mul_ctl.scala 137:112] + node _T_5923 = add(_T_5922, _T_5913) @[exu_mul_ctl.scala 137:112] + node _T_5924 = add(_T_5923, _T_5914) @[exu_mul_ctl.scala 137:112] + node _T_5925 = add(_T_5924, _T_5915) @[exu_mul_ctl.scala 137:112] + node _T_5926 = eq(_T_5925, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5927 = bits(_T_5926, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5928 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_5929 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5930 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5931 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5932 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5933 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5934 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5935 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5936 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5937 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5938 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5939 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5940 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5941 = add(_T_5929, _T_5930) @[exu_mul_ctl.scala 137:112] + node _T_5942 = add(_T_5941, _T_5931) @[exu_mul_ctl.scala 137:112] + node _T_5943 = add(_T_5942, _T_5932) @[exu_mul_ctl.scala 137:112] + node _T_5944 = add(_T_5943, _T_5933) @[exu_mul_ctl.scala 137:112] + node _T_5945 = add(_T_5944, _T_5934) @[exu_mul_ctl.scala 137:112] + node _T_5946 = add(_T_5945, _T_5935) @[exu_mul_ctl.scala 137:112] + node _T_5947 = add(_T_5946, _T_5936) @[exu_mul_ctl.scala 137:112] + node _T_5948 = add(_T_5947, _T_5937) @[exu_mul_ctl.scala 137:112] + node _T_5949 = add(_T_5948, _T_5938) @[exu_mul_ctl.scala 137:112] + node _T_5950 = add(_T_5949, _T_5939) @[exu_mul_ctl.scala 137:112] + node _T_5951 = add(_T_5950, _T_5940) @[exu_mul_ctl.scala 137:112] + node _T_5952 = eq(_T_5951, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5953 = bits(_T_5952, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5954 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_5955 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5956 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5957 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5958 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5959 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5960 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5961 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5962 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5963 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5964 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5965 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5966 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5967 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5968 = add(_T_5955, _T_5956) @[exu_mul_ctl.scala 137:112] + node _T_5969 = add(_T_5968, _T_5957) @[exu_mul_ctl.scala 137:112] + node _T_5970 = add(_T_5969, _T_5958) @[exu_mul_ctl.scala 137:112] + node _T_5971 = add(_T_5970, _T_5959) @[exu_mul_ctl.scala 137:112] + node _T_5972 = add(_T_5971, _T_5960) @[exu_mul_ctl.scala 137:112] + node _T_5973 = add(_T_5972, _T_5961) @[exu_mul_ctl.scala 137:112] + node _T_5974 = add(_T_5973, _T_5962) @[exu_mul_ctl.scala 137:112] + node _T_5975 = add(_T_5974, _T_5963) @[exu_mul_ctl.scala 137:112] + node _T_5976 = add(_T_5975, _T_5964) @[exu_mul_ctl.scala 137:112] + node _T_5977 = add(_T_5976, _T_5965) @[exu_mul_ctl.scala 137:112] + node _T_5978 = add(_T_5977, _T_5966) @[exu_mul_ctl.scala 137:112] + node _T_5979 = add(_T_5978, _T_5967) @[exu_mul_ctl.scala 137:112] + node _T_5980 = eq(_T_5979, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5981 = bits(_T_5980, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5982 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_5983 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5984 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5985 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5986 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5987 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5988 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5989 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5990 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5991 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5992 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5993 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5994 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5995 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5996 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5997 = add(_T_5983, _T_5984) @[exu_mul_ctl.scala 137:112] + node _T_5998 = add(_T_5997, _T_5985) @[exu_mul_ctl.scala 137:112] + node _T_5999 = add(_T_5998, _T_5986) @[exu_mul_ctl.scala 137:112] + node _T_6000 = add(_T_5999, _T_5987) @[exu_mul_ctl.scala 137:112] + node _T_6001 = add(_T_6000, _T_5988) @[exu_mul_ctl.scala 137:112] + node _T_6002 = add(_T_6001, _T_5989) @[exu_mul_ctl.scala 137:112] + node _T_6003 = add(_T_6002, _T_5990) @[exu_mul_ctl.scala 137:112] + node _T_6004 = add(_T_6003, _T_5991) @[exu_mul_ctl.scala 137:112] + node _T_6005 = add(_T_6004, _T_5992) @[exu_mul_ctl.scala 137:112] + node _T_6006 = add(_T_6005, _T_5993) @[exu_mul_ctl.scala 137:112] + node _T_6007 = add(_T_6006, _T_5994) @[exu_mul_ctl.scala 137:112] + node _T_6008 = add(_T_6007, _T_5995) @[exu_mul_ctl.scala 137:112] + node _T_6009 = add(_T_6008, _T_5996) @[exu_mul_ctl.scala 137:112] + node _T_6010 = eq(_T_6009, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6011 = bits(_T_6010, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6012 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_6013 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6014 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6015 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6016 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6017 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6018 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6019 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6020 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6021 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6022 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6023 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6024 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6025 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6026 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6027 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6028 = add(_T_6013, _T_6014) @[exu_mul_ctl.scala 137:112] + node _T_6029 = add(_T_6028, _T_6015) @[exu_mul_ctl.scala 137:112] + node _T_6030 = add(_T_6029, _T_6016) @[exu_mul_ctl.scala 137:112] + node _T_6031 = add(_T_6030, _T_6017) @[exu_mul_ctl.scala 137:112] + node _T_6032 = add(_T_6031, _T_6018) @[exu_mul_ctl.scala 137:112] + node _T_6033 = add(_T_6032, _T_6019) @[exu_mul_ctl.scala 137:112] + node _T_6034 = add(_T_6033, _T_6020) @[exu_mul_ctl.scala 137:112] + node _T_6035 = add(_T_6034, _T_6021) @[exu_mul_ctl.scala 137:112] + node _T_6036 = add(_T_6035, _T_6022) @[exu_mul_ctl.scala 137:112] + node _T_6037 = add(_T_6036, _T_6023) @[exu_mul_ctl.scala 137:112] + node _T_6038 = add(_T_6037, _T_6024) @[exu_mul_ctl.scala 137:112] + node _T_6039 = add(_T_6038, _T_6025) @[exu_mul_ctl.scala 137:112] + node _T_6040 = add(_T_6039, _T_6026) @[exu_mul_ctl.scala 137:112] + node _T_6041 = add(_T_6040, _T_6027) @[exu_mul_ctl.scala 137:112] + node _T_6042 = eq(_T_6041, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6043 = bits(_T_6042, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6044 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_6045 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6046 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6047 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6048 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6049 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6050 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6051 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6052 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6053 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6054 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6055 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6056 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6057 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6058 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6059 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6060 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6061 = add(_T_6045, _T_6046) @[exu_mul_ctl.scala 137:112] + node _T_6062 = add(_T_6061, _T_6047) @[exu_mul_ctl.scala 137:112] + node _T_6063 = add(_T_6062, _T_6048) @[exu_mul_ctl.scala 137:112] + node _T_6064 = add(_T_6063, _T_6049) @[exu_mul_ctl.scala 137:112] + node _T_6065 = add(_T_6064, _T_6050) @[exu_mul_ctl.scala 137:112] + node _T_6066 = add(_T_6065, _T_6051) @[exu_mul_ctl.scala 137:112] + node _T_6067 = add(_T_6066, _T_6052) @[exu_mul_ctl.scala 137:112] + node _T_6068 = add(_T_6067, _T_6053) @[exu_mul_ctl.scala 137:112] + node _T_6069 = add(_T_6068, _T_6054) @[exu_mul_ctl.scala 137:112] + node _T_6070 = add(_T_6069, _T_6055) @[exu_mul_ctl.scala 137:112] + node _T_6071 = add(_T_6070, _T_6056) @[exu_mul_ctl.scala 137:112] + node _T_6072 = add(_T_6071, _T_6057) @[exu_mul_ctl.scala 137:112] + node _T_6073 = add(_T_6072, _T_6058) @[exu_mul_ctl.scala 137:112] + node _T_6074 = add(_T_6073, _T_6059) @[exu_mul_ctl.scala 137:112] + node _T_6075 = add(_T_6074, _T_6060) @[exu_mul_ctl.scala 137:112] + node _T_6076 = eq(_T_6075, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6077 = bits(_T_6076, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6078 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_6079 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6080 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6081 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6082 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6083 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6084 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6085 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6086 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6087 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6088 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6089 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6090 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6091 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6092 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6093 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6094 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6095 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6096 = add(_T_6079, _T_6080) @[exu_mul_ctl.scala 137:112] + node _T_6097 = add(_T_6096, _T_6081) @[exu_mul_ctl.scala 137:112] + node _T_6098 = add(_T_6097, _T_6082) @[exu_mul_ctl.scala 137:112] + node _T_6099 = add(_T_6098, _T_6083) @[exu_mul_ctl.scala 137:112] + node _T_6100 = add(_T_6099, _T_6084) @[exu_mul_ctl.scala 137:112] + node _T_6101 = add(_T_6100, _T_6085) @[exu_mul_ctl.scala 137:112] + node _T_6102 = add(_T_6101, _T_6086) @[exu_mul_ctl.scala 137:112] + node _T_6103 = add(_T_6102, _T_6087) @[exu_mul_ctl.scala 137:112] + node _T_6104 = add(_T_6103, _T_6088) @[exu_mul_ctl.scala 137:112] + node _T_6105 = add(_T_6104, _T_6089) @[exu_mul_ctl.scala 137:112] + node _T_6106 = add(_T_6105, _T_6090) @[exu_mul_ctl.scala 137:112] + node _T_6107 = add(_T_6106, _T_6091) @[exu_mul_ctl.scala 137:112] + node _T_6108 = add(_T_6107, _T_6092) @[exu_mul_ctl.scala 137:112] + node _T_6109 = add(_T_6108, _T_6093) @[exu_mul_ctl.scala 137:112] + node _T_6110 = add(_T_6109, _T_6094) @[exu_mul_ctl.scala 137:112] + node _T_6111 = add(_T_6110, _T_6095) @[exu_mul_ctl.scala 137:112] + node _T_6112 = eq(_T_6111, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6113 = bits(_T_6112, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6114 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_6115 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6116 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6117 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6118 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6119 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6120 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6121 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6122 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6123 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6124 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6125 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6126 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6127 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6128 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6129 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6130 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6131 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6132 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6133 = add(_T_6115, _T_6116) @[exu_mul_ctl.scala 137:112] + node _T_6134 = add(_T_6133, _T_6117) @[exu_mul_ctl.scala 137:112] + node _T_6135 = add(_T_6134, _T_6118) @[exu_mul_ctl.scala 137:112] + node _T_6136 = add(_T_6135, _T_6119) @[exu_mul_ctl.scala 137:112] + node _T_6137 = add(_T_6136, _T_6120) @[exu_mul_ctl.scala 137:112] + node _T_6138 = add(_T_6137, _T_6121) @[exu_mul_ctl.scala 137:112] + node _T_6139 = add(_T_6138, _T_6122) @[exu_mul_ctl.scala 137:112] + node _T_6140 = add(_T_6139, _T_6123) @[exu_mul_ctl.scala 137:112] + node _T_6141 = add(_T_6140, _T_6124) @[exu_mul_ctl.scala 137:112] + node _T_6142 = add(_T_6141, _T_6125) @[exu_mul_ctl.scala 137:112] + node _T_6143 = add(_T_6142, _T_6126) @[exu_mul_ctl.scala 137:112] + node _T_6144 = add(_T_6143, _T_6127) @[exu_mul_ctl.scala 137:112] + node _T_6145 = add(_T_6144, _T_6128) @[exu_mul_ctl.scala 137:112] + node _T_6146 = add(_T_6145, _T_6129) @[exu_mul_ctl.scala 137:112] + node _T_6147 = add(_T_6146, _T_6130) @[exu_mul_ctl.scala 137:112] + node _T_6148 = add(_T_6147, _T_6131) @[exu_mul_ctl.scala 137:112] + node _T_6149 = add(_T_6148, _T_6132) @[exu_mul_ctl.scala 137:112] + node _T_6150 = eq(_T_6149, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6151 = bits(_T_6150, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6152 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_6153 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6154 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6155 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6156 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6157 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6158 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6159 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6160 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6161 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6162 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6163 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6164 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6165 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6166 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6167 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6168 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6169 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6170 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6171 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6172 = add(_T_6153, _T_6154) @[exu_mul_ctl.scala 137:112] + node _T_6173 = add(_T_6172, _T_6155) @[exu_mul_ctl.scala 137:112] + node _T_6174 = add(_T_6173, _T_6156) @[exu_mul_ctl.scala 137:112] + node _T_6175 = add(_T_6174, _T_6157) @[exu_mul_ctl.scala 137:112] + node _T_6176 = add(_T_6175, _T_6158) @[exu_mul_ctl.scala 137:112] + node _T_6177 = add(_T_6176, _T_6159) @[exu_mul_ctl.scala 137:112] + node _T_6178 = add(_T_6177, _T_6160) @[exu_mul_ctl.scala 137:112] + node _T_6179 = add(_T_6178, _T_6161) @[exu_mul_ctl.scala 137:112] + node _T_6180 = add(_T_6179, _T_6162) @[exu_mul_ctl.scala 137:112] + node _T_6181 = add(_T_6180, _T_6163) @[exu_mul_ctl.scala 137:112] + node _T_6182 = add(_T_6181, _T_6164) @[exu_mul_ctl.scala 137:112] + node _T_6183 = add(_T_6182, _T_6165) @[exu_mul_ctl.scala 137:112] + node _T_6184 = add(_T_6183, _T_6166) @[exu_mul_ctl.scala 137:112] + node _T_6185 = add(_T_6184, _T_6167) @[exu_mul_ctl.scala 137:112] + node _T_6186 = add(_T_6185, _T_6168) @[exu_mul_ctl.scala 137:112] + node _T_6187 = add(_T_6186, _T_6169) @[exu_mul_ctl.scala 137:112] + node _T_6188 = add(_T_6187, _T_6170) @[exu_mul_ctl.scala 137:112] + node _T_6189 = add(_T_6188, _T_6171) @[exu_mul_ctl.scala 137:112] + node _T_6190 = eq(_T_6189, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6191 = bits(_T_6190, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6192 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_6193 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6194 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6195 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6196 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6197 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6198 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6199 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6200 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6201 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6202 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6203 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6204 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6205 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6206 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6207 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6208 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6209 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6210 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6211 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6212 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6213 = add(_T_6193, _T_6194) @[exu_mul_ctl.scala 137:112] + node _T_6214 = add(_T_6213, _T_6195) @[exu_mul_ctl.scala 137:112] + node _T_6215 = add(_T_6214, _T_6196) @[exu_mul_ctl.scala 137:112] + node _T_6216 = add(_T_6215, _T_6197) @[exu_mul_ctl.scala 137:112] + node _T_6217 = add(_T_6216, _T_6198) @[exu_mul_ctl.scala 137:112] + node _T_6218 = add(_T_6217, _T_6199) @[exu_mul_ctl.scala 137:112] + node _T_6219 = add(_T_6218, _T_6200) @[exu_mul_ctl.scala 137:112] + node _T_6220 = add(_T_6219, _T_6201) @[exu_mul_ctl.scala 137:112] + node _T_6221 = add(_T_6220, _T_6202) @[exu_mul_ctl.scala 137:112] + node _T_6222 = add(_T_6221, _T_6203) @[exu_mul_ctl.scala 137:112] + node _T_6223 = add(_T_6222, _T_6204) @[exu_mul_ctl.scala 137:112] + node _T_6224 = add(_T_6223, _T_6205) @[exu_mul_ctl.scala 137:112] + node _T_6225 = add(_T_6224, _T_6206) @[exu_mul_ctl.scala 137:112] + node _T_6226 = add(_T_6225, _T_6207) @[exu_mul_ctl.scala 137:112] + node _T_6227 = add(_T_6226, _T_6208) @[exu_mul_ctl.scala 137:112] + node _T_6228 = add(_T_6227, _T_6209) @[exu_mul_ctl.scala 137:112] + node _T_6229 = add(_T_6228, _T_6210) @[exu_mul_ctl.scala 137:112] + node _T_6230 = add(_T_6229, _T_6211) @[exu_mul_ctl.scala 137:112] + node _T_6231 = add(_T_6230, _T_6212) @[exu_mul_ctl.scala 137:112] + node _T_6232 = eq(_T_6231, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6233 = bits(_T_6232, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6234 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_6235 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6236 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6237 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6238 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6239 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6240 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6241 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6242 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6243 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6244 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6245 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6246 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6247 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6248 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6249 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6250 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6251 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6252 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6253 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6254 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6255 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6256 = add(_T_6235, _T_6236) @[exu_mul_ctl.scala 137:112] + node _T_6257 = add(_T_6256, _T_6237) @[exu_mul_ctl.scala 137:112] + node _T_6258 = add(_T_6257, _T_6238) @[exu_mul_ctl.scala 137:112] + node _T_6259 = add(_T_6258, _T_6239) @[exu_mul_ctl.scala 137:112] + node _T_6260 = add(_T_6259, _T_6240) @[exu_mul_ctl.scala 137:112] + node _T_6261 = add(_T_6260, _T_6241) @[exu_mul_ctl.scala 137:112] + node _T_6262 = add(_T_6261, _T_6242) @[exu_mul_ctl.scala 137:112] + node _T_6263 = add(_T_6262, _T_6243) @[exu_mul_ctl.scala 137:112] + node _T_6264 = add(_T_6263, _T_6244) @[exu_mul_ctl.scala 137:112] + node _T_6265 = add(_T_6264, _T_6245) @[exu_mul_ctl.scala 137:112] + node _T_6266 = add(_T_6265, _T_6246) @[exu_mul_ctl.scala 137:112] + node _T_6267 = add(_T_6266, _T_6247) @[exu_mul_ctl.scala 137:112] + node _T_6268 = add(_T_6267, _T_6248) @[exu_mul_ctl.scala 137:112] + node _T_6269 = add(_T_6268, _T_6249) @[exu_mul_ctl.scala 137:112] + node _T_6270 = add(_T_6269, _T_6250) @[exu_mul_ctl.scala 137:112] + node _T_6271 = add(_T_6270, _T_6251) @[exu_mul_ctl.scala 137:112] + node _T_6272 = add(_T_6271, _T_6252) @[exu_mul_ctl.scala 137:112] + node _T_6273 = add(_T_6272, _T_6253) @[exu_mul_ctl.scala 137:112] + node _T_6274 = add(_T_6273, _T_6254) @[exu_mul_ctl.scala 137:112] + node _T_6275 = add(_T_6274, _T_6255) @[exu_mul_ctl.scala 137:112] + node _T_6276 = eq(_T_6275, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6277 = bits(_T_6276, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6278 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_6279 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6280 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6281 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6282 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6283 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6284 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6285 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6286 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6287 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6288 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6289 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6290 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6291 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6292 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6293 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6294 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6295 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6296 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6297 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6298 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6299 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6300 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6301 = add(_T_6279, _T_6280) @[exu_mul_ctl.scala 137:112] + node _T_6302 = add(_T_6301, _T_6281) @[exu_mul_ctl.scala 137:112] + node _T_6303 = add(_T_6302, _T_6282) @[exu_mul_ctl.scala 137:112] + node _T_6304 = add(_T_6303, _T_6283) @[exu_mul_ctl.scala 137:112] + node _T_6305 = add(_T_6304, _T_6284) @[exu_mul_ctl.scala 137:112] + node _T_6306 = add(_T_6305, _T_6285) @[exu_mul_ctl.scala 137:112] + node _T_6307 = add(_T_6306, _T_6286) @[exu_mul_ctl.scala 137:112] + node _T_6308 = add(_T_6307, _T_6287) @[exu_mul_ctl.scala 137:112] + node _T_6309 = add(_T_6308, _T_6288) @[exu_mul_ctl.scala 137:112] + node _T_6310 = add(_T_6309, _T_6289) @[exu_mul_ctl.scala 137:112] + node _T_6311 = add(_T_6310, _T_6290) @[exu_mul_ctl.scala 137:112] + node _T_6312 = add(_T_6311, _T_6291) @[exu_mul_ctl.scala 137:112] + node _T_6313 = add(_T_6312, _T_6292) @[exu_mul_ctl.scala 137:112] + node _T_6314 = add(_T_6313, _T_6293) @[exu_mul_ctl.scala 137:112] + node _T_6315 = add(_T_6314, _T_6294) @[exu_mul_ctl.scala 137:112] + node _T_6316 = add(_T_6315, _T_6295) @[exu_mul_ctl.scala 137:112] + node _T_6317 = add(_T_6316, _T_6296) @[exu_mul_ctl.scala 137:112] + node _T_6318 = add(_T_6317, _T_6297) @[exu_mul_ctl.scala 137:112] + node _T_6319 = add(_T_6318, _T_6298) @[exu_mul_ctl.scala 137:112] + node _T_6320 = add(_T_6319, _T_6299) @[exu_mul_ctl.scala 137:112] + node _T_6321 = add(_T_6320, _T_6300) @[exu_mul_ctl.scala 137:112] + node _T_6322 = eq(_T_6321, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6323 = bits(_T_6322, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6324 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_6325 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6326 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6327 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6328 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6329 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6330 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6331 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6332 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6333 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6334 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6335 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6336 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6337 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6338 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6339 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6340 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6341 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6342 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6343 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6344 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6345 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6346 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6347 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6348 = add(_T_6325, _T_6326) @[exu_mul_ctl.scala 137:112] + node _T_6349 = add(_T_6348, _T_6327) @[exu_mul_ctl.scala 137:112] + node _T_6350 = add(_T_6349, _T_6328) @[exu_mul_ctl.scala 137:112] + node _T_6351 = add(_T_6350, _T_6329) @[exu_mul_ctl.scala 137:112] + node _T_6352 = add(_T_6351, _T_6330) @[exu_mul_ctl.scala 137:112] + node _T_6353 = add(_T_6352, _T_6331) @[exu_mul_ctl.scala 137:112] + node _T_6354 = add(_T_6353, _T_6332) @[exu_mul_ctl.scala 137:112] + node _T_6355 = add(_T_6354, _T_6333) @[exu_mul_ctl.scala 137:112] + node _T_6356 = add(_T_6355, _T_6334) @[exu_mul_ctl.scala 137:112] + node _T_6357 = add(_T_6356, _T_6335) @[exu_mul_ctl.scala 137:112] + node _T_6358 = add(_T_6357, _T_6336) @[exu_mul_ctl.scala 137:112] + node _T_6359 = add(_T_6358, _T_6337) @[exu_mul_ctl.scala 137:112] + node _T_6360 = add(_T_6359, _T_6338) @[exu_mul_ctl.scala 137:112] + node _T_6361 = add(_T_6360, _T_6339) @[exu_mul_ctl.scala 137:112] + node _T_6362 = add(_T_6361, _T_6340) @[exu_mul_ctl.scala 137:112] + node _T_6363 = add(_T_6362, _T_6341) @[exu_mul_ctl.scala 137:112] + node _T_6364 = add(_T_6363, _T_6342) @[exu_mul_ctl.scala 137:112] + node _T_6365 = add(_T_6364, _T_6343) @[exu_mul_ctl.scala 137:112] + node _T_6366 = add(_T_6365, _T_6344) @[exu_mul_ctl.scala 137:112] + node _T_6367 = add(_T_6366, _T_6345) @[exu_mul_ctl.scala 137:112] + node _T_6368 = add(_T_6367, _T_6346) @[exu_mul_ctl.scala 137:112] + node _T_6369 = add(_T_6368, _T_6347) @[exu_mul_ctl.scala 137:112] + node _T_6370 = eq(_T_6369, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6371 = bits(_T_6370, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6372 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_6373 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6374 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6375 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6376 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6377 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6378 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6379 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6380 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6381 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6382 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6383 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6384 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6385 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6386 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6387 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6388 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6389 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6390 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6391 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6392 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6393 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6394 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6395 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6396 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6397 = add(_T_6373, _T_6374) @[exu_mul_ctl.scala 137:112] + node _T_6398 = add(_T_6397, _T_6375) @[exu_mul_ctl.scala 137:112] + node _T_6399 = add(_T_6398, _T_6376) @[exu_mul_ctl.scala 137:112] + node _T_6400 = add(_T_6399, _T_6377) @[exu_mul_ctl.scala 137:112] + node _T_6401 = add(_T_6400, _T_6378) @[exu_mul_ctl.scala 137:112] + node _T_6402 = add(_T_6401, _T_6379) @[exu_mul_ctl.scala 137:112] + node _T_6403 = add(_T_6402, _T_6380) @[exu_mul_ctl.scala 137:112] + node _T_6404 = add(_T_6403, _T_6381) @[exu_mul_ctl.scala 137:112] + node _T_6405 = add(_T_6404, _T_6382) @[exu_mul_ctl.scala 137:112] + node _T_6406 = add(_T_6405, _T_6383) @[exu_mul_ctl.scala 137:112] + node _T_6407 = add(_T_6406, _T_6384) @[exu_mul_ctl.scala 137:112] + node _T_6408 = add(_T_6407, _T_6385) @[exu_mul_ctl.scala 137:112] + node _T_6409 = add(_T_6408, _T_6386) @[exu_mul_ctl.scala 137:112] + node _T_6410 = add(_T_6409, _T_6387) @[exu_mul_ctl.scala 137:112] + node _T_6411 = add(_T_6410, _T_6388) @[exu_mul_ctl.scala 137:112] + node _T_6412 = add(_T_6411, _T_6389) @[exu_mul_ctl.scala 137:112] + node _T_6413 = add(_T_6412, _T_6390) @[exu_mul_ctl.scala 137:112] + node _T_6414 = add(_T_6413, _T_6391) @[exu_mul_ctl.scala 137:112] + node _T_6415 = add(_T_6414, _T_6392) @[exu_mul_ctl.scala 137:112] + node _T_6416 = add(_T_6415, _T_6393) @[exu_mul_ctl.scala 137:112] + node _T_6417 = add(_T_6416, _T_6394) @[exu_mul_ctl.scala 137:112] + node _T_6418 = add(_T_6417, _T_6395) @[exu_mul_ctl.scala 137:112] + node _T_6419 = add(_T_6418, _T_6396) @[exu_mul_ctl.scala 137:112] + node _T_6420 = eq(_T_6419, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6421 = bits(_T_6420, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6422 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_6423 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6424 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6425 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6426 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6427 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6428 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6429 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6430 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6431 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6432 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6433 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6434 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6435 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6436 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6437 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6438 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6439 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6440 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6441 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6442 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6443 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6444 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6445 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6446 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6447 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6448 = add(_T_6423, _T_6424) @[exu_mul_ctl.scala 137:112] + node _T_6449 = add(_T_6448, _T_6425) @[exu_mul_ctl.scala 137:112] + node _T_6450 = add(_T_6449, _T_6426) @[exu_mul_ctl.scala 137:112] + node _T_6451 = add(_T_6450, _T_6427) @[exu_mul_ctl.scala 137:112] + node _T_6452 = add(_T_6451, _T_6428) @[exu_mul_ctl.scala 137:112] + node _T_6453 = add(_T_6452, _T_6429) @[exu_mul_ctl.scala 137:112] + node _T_6454 = add(_T_6453, _T_6430) @[exu_mul_ctl.scala 137:112] + node _T_6455 = add(_T_6454, _T_6431) @[exu_mul_ctl.scala 137:112] + node _T_6456 = add(_T_6455, _T_6432) @[exu_mul_ctl.scala 137:112] + node _T_6457 = add(_T_6456, _T_6433) @[exu_mul_ctl.scala 137:112] + node _T_6458 = add(_T_6457, _T_6434) @[exu_mul_ctl.scala 137:112] + node _T_6459 = add(_T_6458, _T_6435) @[exu_mul_ctl.scala 137:112] + node _T_6460 = add(_T_6459, _T_6436) @[exu_mul_ctl.scala 137:112] + node _T_6461 = add(_T_6460, _T_6437) @[exu_mul_ctl.scala 137:112] + node _T_6462 = add(_T_6461, _T_6438) @[exu_mul_ctl.scala 137:112] + node _T_6463 = add(_T_6462, _T_6439) @[exu_mul_ctl.scala 137:112] + node _T_6464 = add(_T_6463, _T_6440) @[exu_mul_ctl.scala 137:112] + node _T_6465 = add(_T_6464, _T_6441) @[exu_mul_ctl.scala 137:112] + node _T_6466 = add(_T_6465, _T_6442) @[exu_mul_ctl.scala 137:112] + node _T_6467 = add(_T_6466, _T_6443) @[exu_mul_ctl.scala 137:112] + node _T_6468 = add(_T_6467, _T_6444) @[exu_mul_ctl.scala 137:112] + node _T_6469 = add(_T_6468, _T_6445) @[exu_mul_ctl.scala 137:112] + node _T_6470 = add(_T_6469, _T_6446) @[exu_mul_ctl.scala 137:112] + node _T_6471 = add(_T_6470, _T_6447) @[exu_mul_ctl.scala 137:112] + node _T_6472 = eq(_T_6471, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6473 = bits(_T_6472, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6474 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_6475 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6476 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6477 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6478 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6479 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6480 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6481 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6482 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6483 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6484 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6485 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6486 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6487 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6488 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6489 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6490 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6491 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6492 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6493 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6494 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6495 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6496 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6497 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6498 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6499 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6500 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6501 = add(_T_6475, _T_6476) @[exu_mul_ctl.scala 137:112] + node _T_6502 = add(_T_6501, _T_6477) @[exu_mul_ctl.scala 137:112] + node _T_6503 = add(_T_6502, _T_6478) @[exu_mul_ctl.scala 137:112] + node _T_6504 = add(_T_6503, _T_6479) @[exu_mul_ctl.scala 137:112] + node _T_6505 = add(_T_6504, _T_6480) @[exu_mul_ctl.scala 137:112] + node _T_6506 = add(_T_6505, _T_6481) @[exu_mul_ctl.scala 137:112] + node _T_6507 = add(_T_6506, _T_6482) @[exu_mul_ctl.scala 137:112] + node _T_6508 = add(_T_6507, _T_6483) @[exu_mul_ctl.scala 137:112] + node _T_6509 = add(_T_6508, _T_6484) @[exu_mul_ctl.scala 137:112] + node _T_6510 = add(_T_6509, _T_6485) @[exu_mul_ctl.scala 137:112] + node _T_6511 = add(_T_6510, _T_6486) @[exu_mul_ctl.scala 137:112] + node _T_6512 = add(_T_6511, _T_6487) @[exu_mul_ctl.scala 137:112] + node _T_6513 = add(_T_6512, _T_6488) @[exu_mul_ctl.scala 137:112] + node _T_6514 = add(_T_6513, _T_6489) @[exu_mul_ctl.scala 137:112] + node _T_6515 = add(_T_6514, _T_6490) @[exu_mul_ctl.scala 137:112] + node _T_6516 = add(_T_6515, _T_6491) @[exu_mul_ctl.scala 137:112] + node _T_6517 = add(_T_6516, _T_6492) @[exu_mul_ctl.scala 137:112] + node _T_6518 = add(_T_6517, _T_6493) @[exu_mul_ctl.scala 137:112] + node _T_6519 = add(_T_6518, _T_6494) @[exu_mul_ctl.scala 137:112] + node _T_6520 = add(_T_6519, _T_6495) @[exu_mul_ctl.scala 137:112] + node _T_6521 = add(_T_6520, _T_6496) @[exu_mul_ctl.scala 137:112] + node _T_6522 = add(_T_6521, _T_6497) @[exu_mul_ctl.scala 137:112] + node _T_6523 = add(_T_6522, _T_6498) @[exu_mul_ctl.scala 137:112] + node _T_6524 = add(_T_6523, _T_6499) @[exu_mul_ctl.scala 137:112] + node _T_6525 = add(_T_6524, _T_6500) @[exu_mul_ctl.scala 137:112] + node _T_6526 = eq(_T_6525, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6527 = bits(_T_6526, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6528 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_6529 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6530 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6531 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6532 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6533 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6534 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6535 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6536 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6537 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6538 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6539 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6540 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6541 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6542 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6543 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6544 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6545 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6546 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6547 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6548 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6549 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6550 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6551 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6552 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6553 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6554 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6555 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6556 = add(_T_6529, _T_6530) @[exu_mul_ctl.scala 137:112] + node _T_6557 = add(_T_6556, _T_6531) @[exu_mul_ctl.scala 137:112] + node _T_6558 = add(_T_6557, _T_6532) @[exu_mul_ctl.scala 137:112] + node _T_6559 = add(_T_6558, _T_6533) @[exu_mul_ctl.scala 137:112] + node _T_6560 = add(_T_6559, _T_6534) @[exu_mul_ctl.scala 137:112] + node _T_6561 = add(_T_6560, _T_6535) @[exu_mul_ctl.scala 137:112] + node _T_6562 = add(_T_6561, _T_6536) @[exu_mul_ctl.scala 137:112] + node _T_6563 = add(_T_6562, _T_6537) @[exu_mul_ctl.scala 137:112] + node _T_6564 = add(_T_6563, _T_6538) @[exu_mul_ctl.scala 137:112] + node _T_6565 = add(_T_6564, _T_6539) @[exu_mul_ctl.scala 137:112] + node _T_6566 = add(_T_6565, _T_6540) @[exu_mul_ctl.scala 137:112] + node _T_6567 = add(_T_6566, _T_6541) @[exu_mul_ctl.scala 137:112] + node _T_6568 = add(_T_6567, _T_6542) @[exu_mul_ctl.scala 137:112] + node _T_6569 = add(_T_6568, _T_6543) @[exu_mul_ctl.scala 137:112] + node _T_6570 = add(_T_6569, _T_6544) @[exu_mul_ctl.scala 137:112] + node _T_6571 = add(_T_6570, _T_6545) @[exu_mul_ctl.scala 137:112] + node _T_6572 = add(_T_6571, _T_6546) @[exu_mul_ctl.scala 137:112] + node _T_6573 = add(_T_6572, _T_6547) @[exu_mul_ctl.scala 137:112] + node _T_6574 = add(_T_6573, _T_6548) @[exu_mul_ctl.scala 137:112] + node _T_6575 = add(_T_6574, _T_6549) @[exu_mul_ctl.scala 137:112] + node _T_6576 = add(_T_6575, _T_6550) @[exu_mul_ctl.scala 137:112] + node _T_6577 = add(_T_6576, _T_6551) @[exu_mul_ctl.scala 137:112] + node _T_6578 = add(_T_6577, _T_6552) @[exu_mul_ctl.scala 137:112] + node _T_6579 = add(_T_6578, _T_6553) @[exu_mul_ctl.scala 137:112] + node _T_6580 = add(_T_6579, _T_6554) @[exu_mul_ctl.scala 137:112] + node _T_6581 = add(_T_6580, _T_6555) @[exu_mul_ctl.scala 137:112] + node _T_6582 = eq(_T_6581, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6583 = bits(_T_6582, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6584 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_6585 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6586 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6587 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6588 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6589 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6590 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6591 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6592 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6593 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6594 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6595 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6596 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6597 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6598 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6599 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6600 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6601 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6602 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6603 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6604 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6605 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6606 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6607 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6608 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6609 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6610 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6611 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6612 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_6613 = add(_T_6585, _T_6586) @[exu_mul_ctl.scala 137:112] + node _T_6614 = add(_T_6613, _T_6587) @[exu_mul_ctl.scala 137:112] + node _T_6615 = add(_T_6614, _T_6588) @[exu_mul_ctl.scala 137:112] + node _T_6616 = add(_T_6615, _T_6589) @[exu_mul_ctl.scala 137:112] + node _T_6617 = add(_T_6616, _T_6590) @[exu_mul_ctl.scala 137:112] + node _T_6618 = add(_T_6617, _T_6591) @[exu_mul_ctl.scala 137:112] + node _T_6619 = add(_T_6618, _T_6592) @[exu_mul_ctl.scala 137:112] + node _T_6620 = add(_T_6619, _T_6593) @[exu_mul_ctl.scala 137:112] + node _T_6621 = add(_T_6620, _T_6594) @[exu_mul_ctl.scala 137:112] + node _T_6622 = add(_T_6621, _T_6595) @[exu_mul_ctl.scala 137:112] + node _T_6623 = add(_T_6622, _T_6596) @[exu_mul_ctl.scala 137:112] + node _T_6624 = add(_T_6623, _T_6597) @[exu_mul_ctl.scala 137:112] + node _T_6625 = add(_T_6624, _T_6598) @[exu_mul_ctl.scala 137:112] + node _T_6626 = add(_T_6625, _T_6599) @[exu_mul_ctl.scala 137:112] + node _T_6627 = add(_T_6626, _T_6600) @[exu_mul_ctl.scala 137:112] + node _T_6628 = add(_T_6627, _T_6601) @[exu_mul_ctl.scala 137:112] + node _T_6629 = add(_T_6628, _T_6602) @[exu_mul_ctl.scala 137:112] + node _T_6630 = add(_T_6629, _T_6603) @[exu_mul_ctl.scala 137:112] + node _T_6631 = add(_T_6630, _T_6604) @[exu_mul_ctl.scala 137:112] + node _T_6632 = add(_T_6631, _T_6605) @[exu_mul_ctl.scala 137:112] + node _T_6633 = add(_T_6632, _T_6606) @[exu_mul_ctl.scala 137:112] + node _T_6634 = add(_T_6633, _T_6607) @[exu_mul_ctl.scala 137:112] + node _T_6635 = add(_T_6634, _T_6608) @[exu_mul_ctl.scala 137:112] + node _T_6636 = add(_T_6635, _T_6609) @[exu_mul_ctl.scala 137:112] + node _T_6637 = add(_T_6636, _T_6610) @[exu_mul_ctl.scala 137:112] + node _T_6638 = add(_T_6637, _T_6611) @[exu_mul_ctl.scala 137:112] + node _T_6639 = add(_T_6638, _T_6612) @[exu_mul_ctl.scala 137:112] + node _T_6640 = eq(_T_6639, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6641 = bits(_T_6640, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6642 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_6643 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6644 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6645 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6646 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6647 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6648 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6649 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6650 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6651 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6652 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6653 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6654 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6655 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6656 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6657 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6658 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6659 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6660 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6661 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6662 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6663 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6664 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6665 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6666 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6667 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6668 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6669 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6670 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_6671 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_6672 = add(_T_6643, _T_6644) @[exu_mul_ctl.scala 137:112] + node _T_6673 = add(_T_6672, _T_6645) @[exu_mul_ctl.scala 137:112] + node _T_6674 = add(_T_6673, _T_6646) @[exu_mul_ctl.scala 137:112] + node _T_6675 = add(_T_6674, _T_6647) @[exu_mul_ctl.scala 137:112] + node _T_6676 = add(_T_6675, _T_6648) @[exu_mul_ctl.scala 137:112] + node _T_6677 = add(_T_6676, _T_6649) @[exu_mul_ctl.scala 137:112] + node _T_6678 = add(_T_6677, _T_6650) @[exu_mul_ctl.scala 137:112] + node _T_6679 = add(_T_6678, _T_6651) @[exu_mul_ctl.scala 137:112] + node _T_6680 = add(_T_6679, _T_6652) @[exu_mul_ctl.scala 137:112] + node _T_6681 = add(_T_6680, _T_6653) @[exu_mul_ctl.scala 137:112] + node _T_6682 = add(_T_6681, _T_6654) @[exu_mul_ctl.scala 137:112] + node _T_6683 = add(_T_6682, _T_6655) @[exu_mul_ctl.scala 137:112] + node _T_6684 = add(_T_6683, _T_6656) @[exu_mul_ctl.scala 137:112] + node _T_6685 = add(_T_6684, _T_6657) @[exu_mul_ctl.scala 137:112] + node _T_6686 = add(_T_6685, _T_6658) @[exu_mul_ctl.scala 137:112] + node _T_6687 = add(_T_6686, _T_6659) @[exu_mul_ctl.scala 137:112] + node _T_6688 = add(_T_6687, _T_6660) @[exu_mul_ctl.scala 137:112] + node _T_6689 = add(_T_6688, _T_6661) @[exu_mul_ctl.scala 137:112] + node _T_6690 = add(_T_6689, _T_6662) @[exu_mul_ctl.scala 137:112] + node _T_6691 = add(_T_6690, _T_6663) @[exu_mul_ctl.scala 137:112] + node _T_6692 = add(_T_6691, _T_6664) @[exu_mul_ctl.scala 137:112] + node _T_6693 = add(_T_6692, _T_6665) @[exu_mul_ctl.scala 137:112] + node _T_6694 = add(_T_6693, _T_6666) @[exu_mul_ctl.scala 137:112] + node _T_6695 = add(_T_6694, _T_6667) @[exu_mul_ctl.scala 137:112] + node _T_6696 = add(_T_6695, _T_6668) @[exu_mul_ctl.scala 137:112] + node _T_6697 = add(_T_6696, _T_6669) @[exu_mul_ctl.scala 137:112] + node _T_6698 = add(_T_6697, _T_6670) @[exu_mul_ctl.scala 137:112] + node _T_6699 = add(_T_6698, _T_6671) @[exu_mul_ctl.scala 137:112] + node _T_6700 = eq(_T_6699, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6701 = bits(_T_6700, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6702 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_6703 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6704 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6705 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6706 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6707 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6708 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6709 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6710 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6711 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6712 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6713 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6714 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6715 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6716 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6717 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6718 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6719 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6720 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6721 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6722 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6723 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6724 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6725 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6726 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6727 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6728 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6729 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6730 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_6731 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_6732 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_6733 = add(_T_6703, _T_6704) @[exu_mul_ctl.scala 137:112] + node _T_6734 = add(_T_6733, _T_6705) @[exu_mul_ctl.scala 137:112] + node _T_6735 = add(_T_6734, _T_6706) @[exu_mul_ctl.scala 137:112] + node _T_6736 = add(_T_6735, _T_6707) @[exu_mul_ctl.scala 137:112] + node _T_6737 = add(_T_6736, _T_6708) @[exu_mul_ctl.scala 137:112] + node _T_6738 = add(_T_6737, _T_6709) @[exu_mul_ctl.scala 137:112] + node _T_6739 = add(_T_6738, _T_6710) @[exu_mul_ctl.scala 137:112] + node _T_6740 = add(_T_6739, _T_6711) @[exu_mul_ctl.scala 137:112] + node _T_6741 = add(_T_6740, _T_6712) @[exu_mul_ctl.scala 137:112] + node _T_6742 = add(_T_6741, _T_6713) @[exu_mul_ctl.scala 137:112] + node _T_6743 = add(_T_6742, _T_6714) @[exu_mul_ctl.scala 137:112] + node _T_6744 = add(_T_6743, _T_6715) @[exu_mul_ctl.scala 137:112] + node _T_6745 = add(_T_6744, _T_6716) @[exu_mul_ctl.scala 137:112] + node _T_6746 = add(_T_6745, _T_6717) @[exu_mul_ctl.scala 137:112] + node _T_6747 = add(_T_6746, _T_6718) @[exu_mul_ctl.scala 137:112] + node _T_6748 = add(_T_6747, _T_6719) @[exu_mul_ctl.scala 137:112] + node _T_6749 = add(_T_6748, _T_6720) @[exu_mul_ctl.scala 137:112] + node _T_6750 = add(_T_6749, _T_6721) @[exu_mul_ctl.scala 137:112] + node _T_6751 = add(_T_6750, _T_6722) @[exu_mul_ctl.scala 137:112] + node _T_6752 = add(_T_6751, _T_6723) @[exu_mul_ctl.scala 137:112] + node _T_6753 = add(_T_6752, _T_6724) @[exu_mul_ctl.scala 137:112] + node _T_6754 = add(_T_6753, _T_6725) @[exu_mul_ctl.scala 137:112] + node _T_6755 = add(_T_6754, _T_6726) @[exu_mul_ctl.scala 137:112] + node _T_6756 = add(_T_6755, _T_6727) @[exu_mul_ctl.scala 137:112] + node _T_6757 = add(_T_6756, _T_6728) @[exu_mul_ctl.scala 137:112] + node _T_6758 = add(_T_6757, _T_6729) @[exu_mul_ctl.scala 137:112] + node _T_6759 = add(_T_6758, _T_6730) @[exu_mul_ctl.scala 137:112] + node _T_6760 = add(_T_6759, _T_6731) @[exu_mul_ctl.scala 137:112] + node _T_6761 = add(_T_6760, _T_6732) @[exu_mul_ctl.scala 137:112] + node _T_6762 = eq(_T_6761, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6763 = bits(_T_6762, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6764 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_6765 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6766 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6767 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6768 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6769 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6770 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6771 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6772 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6773 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6774 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6775 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6776 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6777 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6778 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6779 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6780 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6781 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6782 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6783 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6784 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6785 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6786 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6787 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6788 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6789 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6790 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6791 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6792 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_6793 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_6794 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_6795 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_6796 = add(_T_6765, _T_6766) @[exu_mul_ctl.scala 137:112] + node _T_6797 = add(_T_6796, _T_6767) @[exu_mul_ctl.scala 137:112] + node _T_6798 = add(_T_6797, _T_6768) @[exu_mul_ctl.scala 137:112] + node _T_6799 = add(_T_6798, _T_6769) @[exu_mul_ctl.scala 137:112] + node _T_6800 = add(_T_6799, _T_6770) @[exu_mul_ctl.scala 137:112] + node _T_6801 = add(_T_6800, _T_6771) @[exu_mul_ctl.scala 137:112] + node _T_6802 = add(_T_6801, _T_6772) @[exu_mul_ctl.scala 137:112] + node _T_6803 = add(_T_6802, _T_6773) @[exu_mul_ctl.scala 137:112] + node _T_6804 = add(_T_6803, _T_6774) @[exu_mul_ctl.scala 137:112] + node _T_6805 = add(_T_6804, _T_6775) @[exu_mul_ctl.scala 137:112] + node _T_6806 = add(_T_6805, _T_6776) @[exu_mul_ctl.scala 137:112] + node _T_6807 = add(_T_6806, _T_6777) @[exu_mul_ctl.scala 137:112] + node _T_6808 = add(_T_6807, _T_6778) @[exu_mul_ctl.scala 137:112] + node _T_6809 = add(_T_6808, _T_6779) @[exu_mul_ctl.scala 137:112] + node _T_6810 = add(_T_6809, _T_6780) @[exu_mul_ctl.scala 137:112] + node _T_6811 = add(_T_6810, _T_6781) @[exu_mul_ctl.scala 137:112] + node _T_6812 = add(_T_6811, _T_6782) @[exu_mul_ctl.scala 137:112] + node _T_6813 = add(_T_6812, _T_6783) @[exu_mul_ctl.scala 137:112] + node _T_6814 = add(_T_6813, _T_6784) @[exu_mul_ctl.scala 137:112] + node _T_6815 = add(_T_6814, _T_6785) @[exu_mul_ctl.scala 137:112] + node _T_6816 = add(_T_6815, _T_6786) @[exu_mul_ctl.scala 137:112] + node _T_6817 = add(_T_6816, _T_6787) @[exu_mul_ctl.scala 137:112] + node _T_6818 = add(_T_6817, _T_6788) @[exu_mul_ctl.scala 137:112] + node _T_6819 = add(_T_6818, _T_6789) @[exu_mul_ctl.scala 137:112] + node _T_6820 = add(_T_6819, _T_6790) @[exu_mul_ctl.scala 137:112] + node _T_6821 = add(_T_6820, _T_6791) @[exu_mul_ctl.scala 137:112] + node _T_6822 = add(_T_6821, _T_6792) @[exu_mul_ctl.scala 137:112] + node _T_6823 = add(_T_6822, _T_6793) @[exu_mul_ctl.scala 137:112] + node _T_6824 = add(_T_6823, _T_6794) @[exu_mul_ctl.scala 137:112] + node _T_6825 = add(_T_6824, _T_6795) @[exu_mul_ctl.scala 137:112] + node _T_6826 = eq(_T_6825, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6827 = bits(_T_6826, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6828 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_6829 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6830 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6831 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6832 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6833 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6834 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6835 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6836 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6837 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6838 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6839 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6840 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6841 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6842 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6843 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6844 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6845 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6846 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6847 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6848 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6849 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6850 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6851 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6852 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6853 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6854 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6855 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6856 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_6857 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_6858 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_6859 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_6860 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_6861 = add(_T_6829, _T_6830) @[exu_mul_ctl.scala 137:112] + node _T_6862 = add(_T_6861, _T_6831) @[exu_mul_ctl.scala 137:112] + node _T_6863 = add(_T_6862, _T_6832) @[exu_mul_ctl.scala 137:112] + node _T_6864 = add(_T_6863, _T_6833) @[exu_mul_ctl.scala 137:112] + node _T_6865 = add(_T_6864, _T_6834) @[exu_mul_ctl.scala 137:112] + node _T_6866 = add(_T_6865, _T_6835) @[exu_mul_ctl.scala 137:112] + node _T_6867 = add(_T_6866, _T_6836) @[exu_mul_ctl.scala 137:112] + node _T_6868 = add(_T_6867, _T_6837) @[exu_mul_ctl.scala 137:112] + node _T_6869 = add(_T_6868, _T_6838) @[exu_mul_ctl.scala 137:112] + node _T_6870 = add(_T_6869, _T_6839) @[exu_mul_ctl.scala 137:112] + node _T_6871 = add(_T_6870, _T_6840) @[exu_mul_ctl.scala 137:112] + node _T_6872 = add(_T_6871, _T_6841) @[exu_mul_ctl.scala 137:112] + node _T_6873 = add(_T_6872, _T_6842) @[exu_mul_ctl.scala 137:112] + node _T_6874 = add(_T_6873, _T_6843) @[exu_mul_ctl.scala 137:112] + node _T_6875 = add(_T_6874, _T_6844) @[exu_mul_ctl.scala 137:112] + node _T_6876 = add(_T_6875, _T_6845) @[exu_mul_ctl.scala 137:112] + node _T_6877 = add(_T_6876, _T_6846) @[exu_mul_ctl.scala 137:112] + node _T_6878 = add(_T_6877, _T_6847) @[exu_mul_ctl.scala 137:112] + node _T_6879 = add(_T_6878, _T_6848) @[exu_mul_ctl.scala 137:112] + node _T_6880 = add(_T_6879, _T_6849) @[exu_mul_ctl.scala 137:112] + node _T_6881 = add(_T_6880, _T_6850) @[exu_mul_ctl.scala 137:112] + node _T_6882 = add(_T_6881, _T_6851) @[exu_mul_ctl.scala 137:112] + node _T_6883 = add(_T_6882, _T_6852) @[exu_mul_ctl.scala 137:112] + node _T_6884 = add(_T_6883, _T_6853) @[exu_mul_ctl.scala 137:112] + node _T_6885 = add(_T_6884, _T_6854) @[exu_mul_ctl.scala 137:112] + node _T_6886 = add(_T_6885, _T_6855) @[exu_mul_ctl.scala 137:112] + node _T_6887 = add(_T_6886, _T_6856) @[exu_mul_ctl.scala 137:112] + node _T_6888 = add(_T_6887, _T_6857) @[exu_mul_ctl.scala 137:112] + node _T_6889 = add(_T_6888, _T_6858) @[exu_mul_ctl.scala 137:112] + node _T_6890 = add(_T_6889, _T_6859) @[exu_mul_ctl.scala 137:112] + node _T_6891 = add(_T_6890, _T_6860) @[exu_mul_ctl.scala 137:112] + node _T_6892 = eq(_T_6891, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6893 = bits(_T_6892, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6894 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_6895 = mux(_T_6893, _T_6894, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_6896 = mux(_T_6827, _T_6828, _T_6895) @[Mux.scala 98:16] + node _T_6897 = mux(_T_6763, _T_6764, _T_6896) @[Mux.scala 98:16] + node _T_6898 = mux(_T_6701, _T_6702, _T_6897) @[Mux.scala 98:16] + node _T_6899 = mux(_T_6641, _T_6642, _T_6898) @[Mux.scala 98:16] + node _T_6900 = mux(_T_6583, _T_6584, _T_6899) @[Mux.scala 98:16] + node _T_6901 = mux(_T_6527, _T_6528, _T_6900) @[Mux.scala 98:16] + node _T_6902 = mux(_T_6473, _T_6474, _T_6901) @[Mux.scala 98:16] + node _T_6903 = mux(_T_6421, _T_6422, _T_6902) @[Mux.scala 98:16] + node _T_6904 = mux(_T_6371, _T_6372, _T_6903) @[Mux.scala 98:16] + node _T_6905 = mux(_T_6323, _T_6324, _T_6904) @[Mux.scala 98:16] + node _T_6906 = mux(_T_6277, _T_6278, _T_6905) @[Mux.scala 98:16] + node _T_6907 = mux(_T_6233, _T_6234, _T_6906) @[Mux.scala 98:16] + node _T_6908 = mux(_T_6191, _T_6192, _T_6907) @[Mux.scala 98:16] + node _T_6909 = mux(_T_6151, _T_6152, _T_6908) @[Mux.scala 98:16] + node _T_6910 = mux(_T_6113, _T_6114, _T_6909) @[Mux.scala 98:16] + node _T_6911 = mux(_T_6077, _T_6078, _T_6910) @[Mux.scala 98:16] + node _T_6912 = mux(_T_6043, _T_6044, _T_6911) @[Mux.scala 98:16] + node _T_6913 = mux(_T_6011, _T_6012, _T_6912) @[Mux.scala 98:16] + node _T_6914 = mux(_T_5981, _T_5982, _T_6913) @[Mux.scala 98:16] + node _T_6915 = mux(_T_5953, _T_5954, _T_6914) @[Mux.scala 98:16] + node _T_6916 = mux(_T_5927, _T_5928, _T_6915) @[Mux.scala 98:16] + node _T_6917 = mux(_T_5903, _T_5904, _T_6916) @[Mux.scala 98:16] + node _T_6918 = mux(_T_5881, _T_5882, _T_6917) @[Mux.scala 98:16] + node _T_6919 = mux(_T_5861, _T_5862, _T_6918) @[Mux.scala 98:16] + node _T_6920 = mux(_T_5843, _T_5844, _T_6919) @[Mux.scala 98:16] + node _T_6921 = mux(_T_5827, _T_5828, _T_6920) @[Mux.scala 98:16] + node _T_6922 = mux(_T_5813, _T_5814, _T_6921) @[Mux.scala 98:16] + node _T_6923 = mux(_T_5801, _T_5802, _T_6922) @[Mux.scala 98:16] + node _T_6924 = mux(_T_5791, _T_5792, _T_6923) @[Mux.scala 98:16] + node _T_6925 = mux(_T_5783, _T_5784, _T_6924) @[Mux.scala 98:16] + node _T_6926 = mux(_T_5777, _T_5778, _T_6925) @[Mux.scala 98:16] + node _T_6927 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_6928 = eq(_T_6927, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6929 = bits(_T_6928, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6930 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_6931 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6932 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6933 = add(_T_6931, _T_6932) @[exu_mul_ctl.scala 137:112] + node _T_6934 = eq(_T_6933, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6935 = bits(_T_6934, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6936 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_6937 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6938 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6939 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6940 = add(_T_6937, _T_6938) @[exu_mul_ctl.scala 137:112] + node _T_6941 = add(_T_6940, _T_6939) @[exu_mul_ctl.scala 137:112] + node _T_6942 = eq(_T_6941, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6943 = bits(_T_6942, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6944 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_6945 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6946 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6947 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6948 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6949 = add(_T_6945, _T_6946) @[exu_mul_ctl.scala 137:112] + node _T_6950 = add(_T_6949, _T_6947) @[exu_mul_ctl.scala 137:112] + node _T_6951 = add(_T_6950, _T_6948) @[exu_mul_ctl.scala 137:112] + node _T_6952 = eq(_T_6951, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6953 = bits(_T_6952, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6954 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_6955 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6956 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6957 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6958 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6959 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6960 = add(_T_6955, _T_6956) @[exu_mul_ctl.scala 137:112] + node _T_6961 = add(_T_6960, _T_6957) @[exu_mul_ctl.scala 137:112] + node _T_6962 = add(_T_6961, _T_6958) @[exu_mul_ctl.scala 137:112] + node _T_6963 = add(_T_6962, _T_6959) @[exu_mul_ctl.scala 137:112] + node _T_6964 = eq(_T_6963, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6965 = bits(_T_6964, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6966 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_6967 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6968 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6969 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6970 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6971 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6972 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6973 = add(_T_6967, _T_6968) @[exu_mul_ctl.scala 137:112] + node _T_6974 = add(_T_6973, _T_6969) @[exu_mul_ctl.scala 137:112] + node _T_6975 = add(_T_6974, _T_6970) @[exu_mul_ctl.scala 137:112] + node _T_6976 = add(_T_6975, _T_6971) @[exu_mul_ctl.scala 137:112] + node _T_6977 = add(_T_6976, _T_6972) @[exu_mul_ctl.scala 137:112] + node _T_6978 = eq(_T_6977, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6979 = bits(_T_6978, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6980 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_6981 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6982 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6983 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6984 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6985 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6986 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6987 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6988 = add(_T_6981, _T_6982) @[exu_mul_ctl.scala 137:112] + node _T_6989 = add(_T_6988, _T_6983) @[exu_mul_ctl.scala 137:112] + node _T_6990 = add(_T_6989, _T_6984) @[exu_mul_ctl.scala 137:112] + node _T_6991 = add(_T_6990, _T_6985) @[exu_mul_ctl.scala 137:112] + node _T_6992 = add(_T_6991, _T_6986) @[exu_mul_ctl.scala 137:112] + node _T_6993 = add(_T_6992, _T_6987) @[exu_mul_ctl.scala 137:112] + node _T_6994 = eq(_T_6993, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6995 = bits(_T_6994, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6996 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_6997 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6998 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6999 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7000 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7001 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7002 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7003 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7004 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7005 = add(_T_6997, _T_6998) @[exu_mul_ctl.scala 137:112] + node _T_7006 = add(_T_7005, _T_6999) @[exu_mul_ctl.scala 137:112] + node _T_7007 = add(_T_7006, _T_7000) @[exu_mul_ctl.scala 137:112] + node _T_7008 = add(_T_7007, _T_7001) @[exu_mul_ctl.scala 137:112] + node _T_7009 = add(_T_7008, _T_7002) @[exu_mul_ctl.scala 137:112] + node _T_7010 = add(_T_7009, _T_7003) @[exu_mul_ctl.scala 137:112] + node _T_7011 = add(_T_7010, _T_7004) @[exu_mul_ctl.scala 137:112] + node _T_7012 = eq(_T_7011, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7013 = bits(_T_7012, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7014 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_7015 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7016 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7017 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7018 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7019 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7020 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7021 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7022 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7023 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7024 = add(_T_7015, _T_7016) @[exu_mul_ctl.scala 137:112] + node _T_7025 = add(_T_7024, _T_7017) @[exu_mul_ctl.scala 137:112] + node _T_7026 = add(_T_7025, _T_7018) @[exu_mul_ctl.scala 137:112] + node _T_7027 = add(_T_7026, _T_7019) @[exu_mul_ctl.scala 137:112] + node _T_7028 = add(_T_7027, _T_7020) @[exu_mul_ctl.scala 137:112] + node _T_7029 = add(_T_7028, _T_7021) @[exu_mul_ctl.scala 137:112] + node _T_7030 = add(_T_7029, _T_7022) @[exu_mul_ctl.scala 137:112] + node _T_7031 = add(_T_7030, _T_7023) @[exu_mul_ctl.scala 137:112] + node _T_7032 = eq(_T_7031, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7033 = bits(_T_7032, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7034 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_7035 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7036 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7037 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7038 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7039 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7040 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7041 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7042 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7043 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7044 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7045 = add(_T_7035, _T_7036) @[exu_mul_ctl.scala 137:112] + node _T_7046 = add(_T_7045, _T_7037) @[exu_mul_ctl.scala 137:112] + node _T_7047 = add(_T_7046, _T_7038) @[exu_mul_ctl.scala 137:112] + node _T_7048 = add(_T_7047, _T_7039) @[exu_mul_ctl.scala 137:112] + node _T_7049 = add(_T_7048, _T_7040) @[exu_mul_ctl.scala 137:112] + node _T_7050 = add(_T_7049, _T_7041) @[exu_mul_ctl.scala 137:112] + node _T_7051 = add(_T_7050, _T_7042) @[exu_mul_ctl.scala 137:112] + node _T_7052 = add(_T_7051, _T_7043) @[exu_mul_ctl.scala 137:112] + node _T_7053 = add(_T_7052, _T_7044) @[exu_mul_ctl.scala 137:112] + node _T_7054 = eq(_T_7053, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7055 = bits(_T_7054, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7056 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_7057 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7058 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7059 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7060 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7061 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7062 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7063 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7064 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7065 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7066 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7067 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7068 = add(_T_7057, _T_7058) @[exu_mul_ctl.scala 137:112] + node _T_7069 = add(_T_7068, _T_7059) @[exu_mul_ctl.scala 137:112] + node _T_7070 = add(_T_7069, _T_7060) @[exu_mul_ctl.scala 137:112] + node _T_7071 = add(_T_7070, _T_7061) @[exu_mul_ctl.scala 137:112] + node _T_7072 = add(_T_7071, _T_7062) @[exu_mul_ctl.scala 137:112] + node _T_7073 = add(_T_7072, _T_7063) @[exu_mul_ctl.scala 137:112] + node _T_7074 = add(_T_7073, _T_7064) @[exu_mul_ctl.scala 137:112] + node _T_7075 = add(_T_7074, _T_7065) @[exu_mul_ctl.scala 137:112] + node _T_7076 = add(_T_7075, _T_7066) @[exu_mul_ctl.scala 137:112] + node _T_7077 = add(_T_7076, _T_7067) @[exu_mul_ctl.scala 137:112] + node _T_7078 = eq(_T_7077, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7079 = bits(_T_7078, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7080 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_7081 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7082 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7083 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7084 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7085 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7086 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7087 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7088 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7089 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7090 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7091 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7092 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7093 = add(_T_7081, _T_7082) @[exu_mul_ctl.scala 137:112] + node _T_7094 = add(_T_7093, _T_7083) @[exu_mul_ctl.scala 137:112] + node _T_7095 = add(_T_7094, _T_7084) @[exu_mul_ctl.scala 137:112] + node _T_7096 = add(_T_7095, _T_7085) @[exu_mul_ctl.scala 137:112] + node _T_7097 = add(_T_7096, _T_7086) @[exu_mul_ctl.scala 137:112] + node _T_7098 = add(_T_7097, _T_7087) @[exu_mul_ctl.scala 137:112] + node _T_7099 = add(_T_7098, _T_7088) @[exu_mul_ctl.scala 137:112] + node _T_7100 = add(_T_7099, _T_7089) @[exu_mul_ctl.scala 137:112] + node _T_7101 = add(_T_7100, _T_7090) @[exu_mul_ctl.scala 137:112] + node _T_7102 = add(_T_7101, _T_7091) @[exu_mul_ctl.scala 137:112] + node _T_7103 = add(_T_7102, _T_7092) @[exu_mul_ctl.scala 137:112] + node _T_7104 = eq(_T_7103, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7105 = bits(_T_7104, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7106 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_7107 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7108 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7109 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7110 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7111 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7112 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7113 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7114 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7115 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7116 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7117 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7118 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7119 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7120 = add(_T_7107, _T_7108) @[exu_mul_ctl.scala 137:112] + node _T_7121 = add(_T_7120, _T_7109) @[exu_mul_ctl.scala 137:112] + node _T_7122 = add(_T_7121, _T_7110) @[exu_mul_ctl.scala 137:112] + node _T_7123 = add(_T_7122, _T_7111) @[exu_mul_ctl.scala 137:112] + node _T_7124 = add(_T_7123, _T_7112) @[exu_mul_ctl.scala 137:112] + node _T_7125 = add(_T_7124, _T_7113) @[exu_mul_ctl.scala 137:112] + node _T_7126 = add(_T_7125, _T_7114) @[exu_mul_ctl.scala 137:112] + node _T_7127 = add(_T_7126, _T_7115) @[exu_mul_ctl.scala 137:112] + node _T_7128 = add(_T_7127, _T_7116) @[exu_mul_ctl.scala 137:112] + node _T_7129 = add(_T_7128, _T_7117) @[exu_mul_ctl.scala 137:112] + node _T_7130 = add(_T_7129, _T_7118) @[exu_mul_ctl.scala 137:112] + node _T_7131 = add(_T_7130, _T_7119) @[exu_mul_ctl.scala 137:112] + node _T_7132 = eq(_T_7131, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7133 = bits(_T_7132, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7134 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_7135 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7136 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7137 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7138 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7139 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7140 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7141 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7142 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7143 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7144 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7145 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7146 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7147 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7148 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7149 = add(_T_7135, _T_7136) @[exu_mul_ctl.scala 137:112] + node _T_7150 = add(_T_7149, _T_7137) @[exu_mul_ctl.scala 137:112] + node _T_7151 = add(_T_7150, _T_7138) @[exu_mul_ctl.scala 137:112] + node _T_7152 = add(_T_7151, _T_7139) @[exu_mul_ctl.scala 137:112] + node _T_7153 = add(_T_7152, _T_7140) @[exu_mul_ctl.scala 137:112] + node _T_7154 = add(_T_7153, _T_7141) @[exu_mul_ctl.scala 137:112] + node _T_7155 = add(_T_7154, _T_7142) @[exu_mul_ctl.scala 137:112] + node _T_7156 = add(_T_7155, _T_7143) @[exu_mul_ctl.scala 137:112] + node _T_7157 = add(_T_7156, _T_7144) @[exu_mul_ctl.scala 137:112] + node _T_7158 = add(_T_7157, _T_7145) @[exu_mul_ctl.scala 137:112] + node _T_7159 = add(_T_7158, _T_7146) @[exu_mul_ctl.scala 137:112] + node _T_7160 = add(_T_7159, _T_7147) @[exu_mul_ctl.scala 137:112] + node _T_7161 = add(_T_7160, _T_7148) @[exu_mul_ctl.scala 137:112] + node _T_7162 = eq(_T_7161, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7163 = bits(_T_7162, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7164 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_7165 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7166 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7167 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7168 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7169 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7170 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7171 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7172 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7173 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7174 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7175 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7176 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7177 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7178 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7179 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7180 = add(_T_7165, _T_7166) @[exu_mul_ctl.scala 137:112] + node _T_7181 = add(_T_7180, _T_7167) @[exu_mul_ctl.scala 137:112] + node _T_7182 = add(_T_7181, _T_7168) @[exu_mul_ctl.scala 137:112] + node _T_7183 = add(_T_7182, _T_7169) @[exu_mul_ctl.scala 137:112] + node _T_7184 = add(_T_7183, _T_7170) @[exu_mul_ctl.scala 137:112] + node _T_7185 = add(_T_7184, _T_7171) @[exu_mul_ctl.scala 137:112] + node _T_7186 = add(_T_7185, _T_7172) @[exu_mul_ctl.scala 137:112] + node _T_7187 = add(_T_7186, _T_7173) @[exu_mul_ctl.scala 137:112] + node _T_7188 = add(_T_7187, _T_7174) @[exu_mul_ctl.scala 137:112] + node _T_7189 = add(_T_7188, _T_7175) @[exu_mul_ctl.scala 137:112] + node _T_7190 = add(_T_7189, _T_7176) @[exu_mul_ctl.scala 137:112] + node _T_7191 = add(_T_7190, _T_7177) @[exu_mul_ctl.scala 137:112] + node _T_7192 = add(_T_7191, _T_7178) @[exu_mul_ctl.scala 137:112] + node _T_7193 = add(_T_7192, _T_7179) @[exu_mul_ctl.scala 137:112] + node _T_7194 = eq(_T_7193, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7195 = bits(_T_7194, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7196 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_7197 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7198 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7199 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7200 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7201 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7202 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7203 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7204 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7205 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7206 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7207 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7208 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7209 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7210 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7211 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7212 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7213 = add(_T_7197, _T_7198) @[exu_mul_ctl.scala 137:112] + node _T_7214 = add(_T_7213, _T_7199) @[exu_mul_ctl.scala 137:112] + node _T_7215 = add(_T_7214, _T_7200) @[exu_mul_ctl.scala 137:112] + node _T_7216 = add(_T_7215, _T_7201) @[exu_mul_ctl.scala 137:112] + node _T_7217 = add(_T_7216, _T_7202) @[exu_mul_ctl.scala 137:112] + node _T_7218 = add(_T_7217, _T_7203) @[exu_mul_ctl.scala 137:112] + node _T_7219 = add(_T_7218, _T_7204) @[exu_mul_ctl.scala 137:112] + node _T_7220 = add(_T_7219, _T_7205) @[exu_mul_ctl.scala 137:112] + node _T_7221 = add(_T_7220, _T_7206) @[exu_mul_ctl.scala 137:112] + node _T_7222 = add(_T_7221, _T_7207) @[exu_mul_ctl.scala 137:112] + node _T_7223 = add(_T_7222, _T_7208) @[exu_mul_ctl.scala 137:112] + node _T_7224 = add(_T_7223, _T_7209) @[exu_mul_ctl.scala 137:112] + node _T_7225 = add(_T_7224, _T_7210) @[exu_mul_ctl.scala 137:112] + node _T_7226 = add(_T_7225, _T_7211) @[exu_mul_ctl.scala 137:112] + node _T_7227 = add(_T_7226, _T_7212) @[exu_mul_ctl.scala 137:112] + node _T_7228 = eq(_T_7227, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7229 = bits(_T_7228, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7230 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_7231 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7232 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7233 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7234 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7235 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7236 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7237 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7238 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7239 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7240 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7241 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7242 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7243 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7244 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7245 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7246 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7247 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7248 = add(_T_7231, _T_7232) @[exu_mul_ctl.scala 137:112] + node _T_7249 = add(_T_7248, _T_7233) @[exu_mul_ctl.scala 137:112] + node _T_7250 = add(_T_7249, _T_7234) @[exu_mul_ctl.scala 137:112] + node _T_7251 = add(_T_7250, _T_7235) @[exu_mul_ctl.scala 137:112] + node _T_7252 = add(_T_7251, _T_7236) @[exu_mul_ctl.scala 137:112] + node _T_7253 = add(_T_7252, _T_7237) @[exu_mul_ctl.scala 137:112] + node _T_7254 = add(_T_7253, _T_7238) @[exu_mul_ctl.scala 137:112] + node _T_7255 = add(_T_7254, _T_7239) @[exu_mul_ctl.scala 137:112] + node _T_7256 = add(_T_7255, _T_7240) @[exu_mul_ctl.scala 137:112] + node _T_7257 = add(_T_7256, _T_7241) @[exu_mul_ctl.scala 137:112] + node _T_7258 = add(_T_7257, _T_7242) @[exu_mul_ctl.scala 137:112] + node _T_7259 = add(_T_7258, _T_7243) @[exu_mul_ctl.scala 137:112] + node _T_7260 = add(_T_7259, _T_7244) @[exu_mul_ctl.scala 137:112] + node _T_7261 = add(_T_7260, _T_7245) @[exu_mul_ctl.scala 137:112] + node _T_7262 = add(_T_7261, _T_7246) @[exu_mul_ctl.scala 137:112] + node _T_7263 = add(_T_7262, _T_7247) @[exu_mul_ctl.scala 137:112] + node _T_7264 = eq(_T_7263, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7265 = bits(_T_7264, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7266 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_7267 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7268 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7269 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7270 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7271 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7272 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7273 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7274 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7275 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7276 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7277 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7278 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7279 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7280 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7281 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7282 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7283 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7284 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7285 = add(_T_7267, _T_7268) @[exu_mul_ctl.scala 137:112] + node _T_7286 = add(_T_7285, _T_7269) @[exu_mul_ctl.scala 137:112] + node _T_7287 = add(_T_7286, _T_7270) @[exu_mul_ctl.scala 137:112] + node _T_7288 = add(_T_7287, _T_7271) @[exu_mul_ctl.scala 137:112] + node _T_7289 = add(_T_7288, _T_7272) @[exu_mul_ctl.scala 137:112] + node _T_7290 = add(_T_7289, _T_7273) @[exu_mul_ctl.scala 137:112] + node _T_7291 = add(_T_7290, _T_7274) @[exu_mul_ctl.scala 137:112] + node _T_7292 = add(_T_7291, _T_7275) @[exu_mul_ctl.scala 137:112] + node _T_7293 = add(_T_7292, _T_7276) @[exu_mul_ctl.scala 137:112] + node _T_7294 = add(_T_7293, _T_7277) @[exu_mul_ctl.scala 137:112] + node _T_7295 = add(_T_7294, _T_7278) @[exu_mul_ctl.scala 137:112] + node _T_7296 = add(_T_7295, _T_7279) @[exu_mul_ctl.scala 137:112] + node _T_7297 = add(_T_7296, _T_7280) @[exu_mul_ctl.scala 137:112] + node _T_7298 = add(_T_7297, _T_7281) @[exu_mul_ctl.scala 137:112] + node _T_7299 = add(_T_7298, _T_7282) @[exu_mul_ctl.scala 137:112] + node _T_7300 = add(_T_7299, _T_7283) @[exu_mul_ctl.scala 137:112] + node _T_7301 = add(_T_7300, _T_7284) @[exu_mul_ctl.scala 137:112] + node _T_7302 = eq(_T_7301, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7303 = bits(_T_7302, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7304 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_7305 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7306 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7307 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7308 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7309 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7310 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7311 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7312 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7313 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7314 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7315 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7316 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7317 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7318 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7319 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7320 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7321 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7322 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7323 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7324 = add(_T_7305, _T_7306) @[exu_mul_ctl.scala 137:112] + node _T_7325 = add(_T_7324, _T_7307) @[exu_mul_ctl.scala 137:112] + node _T_7326 = add(_T_7325, _T_7308) @[exu_mul_ctl.scala 137:112] + node _T_7327 = add(_T_7326, _T_7309) @[exu_mul_ctl.scala 137:112] + node _T_7328 = add(_T_7327, _T_7310) @[exu_mul_ctl.scala 137:112] + node _T_7329 = add(_T_7328, _T_7311) @[exu_mul_ctl.scala 137:112] + node _T_7330 = add(_T_7329, _T_7312) @[exu_mul_ctl.scala 137:112] + node _T_7331 = add(_T_7330, _T_7313) @[exu_mul_ctl.scala 137:112] + node _T_7332 = add(_T_7331, _T_7314) @[exu_mul_ctl.scala 137:112] + node _T_7333 = add(_T_7332, _T_7315) @[exu_mul_ctl.scala 137:112] + node _T_7334 = add(_T_7333, _T_7316) @[exu_mul_ctl.scala 137:112] + node _T_7335 = add(_T_7334, _T_7317) @[exu_mul_ctl.scala 137:112] + node _T_7336 = add(_T_7335, _T_7318) @[exu_mul_ctl.scala 137:112] + node _T_7337 = add(_T_7336, _T_7319) @[exu_mul_ctl.scala 137:112] + node _T_7338 = add(_T_7337, _T_7320) @[exu_mul_ctl.scala 137:112] + node _T_7339 = add(_T_7338, _T_7321) @[exu_mul_ctl.scala 137:112] + node _T_7340 = add(_T_7339, _T_7322) @[exu_mul_ctl.scala 137:112] + node _T_7341 = add(_T_7340, _T_7323) @[exu_mul_ctl.scala 137:112] + node _T_7342 = eq(_T_7341, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7343 = bits(_T_7342, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7344 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_7345 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7346 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7347 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7348 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7349 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7350 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7351 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7352 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7353 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7354 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7355 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7356 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7357 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7358 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7359 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7360 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7361 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7362 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7363 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7364 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7365 = add(_T_7345, _T_7346) @[exu_mul_ctl.scala 137:112] + node _T_7366 = add(_T_7365, _T_7347) @[exu_mul_ctl.scala 137:112] + node _T_7367 = add(_T_7366, _T_7348) @[exu_mul_ctl.scala 137:112] + node _T_7368 = add(_T_7367, _T_7349) @[exu_mul_ctl.scala 137:112] + node _T_7369 = add(_T_7368, _T_7350) @[exu_mul_ctl.scala 137:112] + node _T_7370 = add(_T_7369, _T_7351) @[exu_mul_ctl.scala 137:112] + node _T_7371 = add(_T_7370, _T_7352) @[exu_mul_ctl.scala 137:112] + node _T_7372 = add(_T_7371, _T_7353) @[exu_mul_ctl.scala 137:112] + node _T_7373 = add(_T_7372, _T_7354) @[exu_mul_ctl.scala 137:112] + node _T_7374 = add(_T_7373, _T_7355) @[exu_mul_ctl.scala 137:112] + node _T_7375 = add(_T_7374, _T_7356) @[exu_mul_ctl.scala 137:112] + node _T_7376 = add(_T_7375, _T_7357) @[exu_mul_ctl.scala 137:112] + node _T_7377 = add(_T_7376, _T_7358) @[exu_mul_ctl.scala 137:112] + node _T_7378 = add(_T_7377, _T_7359) @[exu_mul_ctl.scala 137:112] + node _T_7379 = add(_T_7378, _T_7360) @[exu_mul_ctl.scala 137:112] + node _T_7380 = add(_T_7379, _T_7361) @[exu_mul_ctl.scala 137:112] + node _T_7381 = add(_T_7380, _T_7362) @[exu_mul_ctl.scala 137:112] + node _T_7382 = add(_T_7381, _T_7363) @[exu_mul_ctl.scala 137:112] + node _T_7383 = add(_T_7382, _T_7364) @[exu_mul_ctl.scala 137:112] + node _T_7384 = eq(_T_7383, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7385 = bits(_T_7384, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7386 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_7387 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7388 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7389 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7390 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7391 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7392 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7393 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7394 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7395 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7396 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7397 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7398 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7399 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7400 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7401 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7402 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7403 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7404 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7405 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7406 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7407 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7408 = add(_T_7387, _T_7388) @[exu_mul_ctl.scala 137:112] + node _T_7409 = add(_T_7408, _T_7389) @[exu_mul_ctl.scala 137:112] + node _T_7410 = add(_T_7409, _T_7390) @[exu_mul_ctl.scala 137:112] + node _T_7411 = add(_T_7410, _T_7391) @[exu_mul_ctl.scala 137:112] + node _T_7412 = add(_T_7411, _T_7392) @[exu_mul_ctl.scala 137:112] + node _T_7413 = add(_T_7412, _T_7393) @[exu_mul_ctl.scala 137:112] + node _T_7414 = add(_T_7413, _T_7394) @[exu_mul_ctl.scala 137:112] + node _T_7415 = add(_T_7414, _T_7395) @[exu_mul_ctl.scala 137:112] + node _T_7416 = add(_T_7415, _T_7396) @[exu_mul_ctl.scala 137:112] + node _T_7417 = add(_T_7416, _T_7397) @[exu_mul_ctl.scala 137:112] + node _T_7418 = add(_T_7417, _T_7398) @[exu_mul_ctl.scala 137:112] + node _T_7419 = add(_T_7418, _T_7399) @[exu_mul_ctl.scala 137:112] + node _T_7420 = add(_T_7419, _T_7400) @[exu_mul_ctl.scala 137:112] + node _T_7421 = add(_T_7420, _T_7401) @[exu_mul_ctl.scala 137:112] + node _T_7422 = add(_T_7421, _T_7402) @[exu_mul_ctl.scala 137:112] + node _T_7423 = add(_T_7422, _T_7403) @[exu_mul_ctl.scala 137:112] + node _T_7424 = add(_T_7423, _T_7404) @[exu_mul_ctl.scala 137:112] + node _T_7425 = add(_T_7424, _T_7405) @[exu_mul_ctl.scala 137:112] + node _T_7426 = add(_T_7425, _T_7406) @[exu_mul_ctl.scala 137:112] + node _T_7427 = add(_T_7426, _T_7407) @[exu_mul_ctl.scala 137:112] + node _T_7428 = eq(_T_7427, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7429 = bits(_T_7428, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7430 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_7431 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7432 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7433 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7434 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7435 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7436 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7437 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7438 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7439 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7440 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7441 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7442 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7443 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7444 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7445 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7446 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7447 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7448 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7449 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7450 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7451 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7452 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7453 = add(_T_7431, _T_7432) @[exu_mul_ctl.scala 137:112] + node _T_7454 = add(_T_7453, _T_7433) @[exu_mul_ctl.scala 137:112] + node _T_7455 = add(_T_7454, _T_7434) @[exu_mul_ctl.scala 137:112] + node _T_7456 = add(_T_7455, _T_7435) @[exu_mul_ctl.scala 137:112] + node _T_7457 = add(_T_7456, _T_7436) @[exu_mul_ctl.scala 137:112] + node _T_7458 = add(_T_7457, _T_7437) @[exu_mul_ctl.scala 137:112] + node _T_7459 = add(_T_7458, _T_7438) @[exu_mul_ctl.scala 137:112] + node _T_7460 = add(_T_7459, _T_7439) @[exu_mul_ctl.scala 137:112] + node _T_7461 = add(_T_7460, _T_7440) @[exu_mul_ctl.scala 137:112] + node _T_7462 = add(_T_7461, _T_7441) @[exu_mul_ctl.scala 137:112] + node _T_7463 = add(_T_7462, _T_7442) @[exu_mul_ctl.scala 137:112] + node _T_7464 = add(_T_7463, _T_7443) @[exu_mul_ctl.scala 137:112] + node _T_7465 = add(_T_7464, _T_7444) @[exu_mul_ctl.scala 137:112] + node _T_7466 = add(_T_7465, _T_7445) @[exu_mul_ctl.scala 137:112] + node _T_7467 = add(_T_7466, _T_7446) @[exu_mul_ctl.scala 137:112] + node _T_7468 = add(_T_7467, _T_7447) @[exu_mul_ctl.scala 137:112] + node _T_7469 = add(_T_7468, _T_7448) @[exu_mul_ctl.scala 137:112] + node _T_7470 = add(_T_7469, _T_7449) @[exu_mul_ctl.scala 137:112] + node _T_7471 = add(_T_7470, _T_7450) @[exu_mul_ctl.scala 137:112] + node _T_7472 = add(_T_7471, _T_7451) @[exu_mul_ctl.scala 137:112] + node _T_7473 = add(_T_7472, _T_7452) @[exu_mul_ctl.scala 137:112] + node _T_7474 = eq(_T_7473, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7475 = bits(_T_7474, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7476 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_7477 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7478 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7479 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7480 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7481 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7482 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7483 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7484 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7485 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7486 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7487 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7488 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7489 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7490 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7491 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7492 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7493 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7494 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7495 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7496 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7497 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7498 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7499 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7500 = add(_T_7477, _T_7478) @[exu_mul_ctl.scala 137:112] + node _T_7501 = add(_T_7500, _T_7479) @[exu_mul_ctl.scala 137:112] + node _T_7502 = add(_T_7501, _T_7480) @[exu_mul_ctl.scala 137:112] + node _T_7503 = add(_T_7502, _T_7481) @[exu_mul_ctl.scala 137:112] + node _T_7504 = add(_T_7503, _T_7482) @[exu_mul_ctl.scala 137:112] + node _T_7505 = add(_T_7504, _T_7483) @[exu_mul_ctl.scala 137:112] + node _T_7506 = add(_T_7505, _T_7484) @[exu_mul_ctl.scala 137:112] + node _T_7507 = add(_T_7506, _T_7485) @[exu_mul_ctl.scala 137:112] + node _T_7508 = add(_T_7507, _T_7486) @[exu_mul_ctl.scala 137:112] + node _T_7509 = add(_T_7508, _T_7487) @[exu_mul_ctl.scala 137:112] + node _T_7510 = add(_T_7509, _T_7488) @[exu_mul_ctl.scala 137:112] + node _T_7511 = add(_T_7510, _T_7489) @[exu_mul_ctl.scala 137:112] + node _T_7512 = add(_T_7511, _T_7490) @[exu_mul_ctl.scala 137:112] + node _T_7513 = add(_T_7512, _T_7491) @[exu_mul_ctl.scala 137:112] + node _T_7514 = add(_T_7513, _T_7492) @[exu_mul_ctl.scala 137:112] + node _T_7515 = add(_T_7514, _T_7493) @[exu_mul_ctl.scala 137:112] + node _T_7516 = add(_T_7515, _T_7494) @[exu_mul_ctl.scala 137:112] + node _T_7517 = add(_T_7516, _T_7495) @[exu_mul_ctl.scala 137:112] + node _T_7518 = add(_T_7517, _T_7496) @[exu_mul_ctl.scala 137:112] + node _T_7519 = add(_T_7518, _T_7497) @[exu_mul_ctl.scala 137:112] + node _T_7520 = add(_T_7519, _T_7498) @[exu_mul_ctl.scala 137:112] + node _T_7521 = add(_T_7520, _T_7499) @[exu_mul_ctl.scala 137:112] + node _T_7522 = eq(_T_7521, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7523 = bits(_T_7522, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7524 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_7525 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7526 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7527 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7528 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7529 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7530 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7531 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7532 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7533 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7534 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7535 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7536 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7537 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7538 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7539 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7540 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7541 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7542 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7543 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7544 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7545 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7546 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7547 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7548 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7549 = add(_T_7525, _T_7526) @[exu_mul_ctl.scala 137:112] + node _T_7550 = add(_T_7549, _T_7527) @[exu_mul_ctl.scala 137:112] + node _T_7551 = add(_T_7550, _T_7528) @[exu_mul_ctl.scala 137:112] + node _T_7552 = add(_T_7551, _T_7529) @[exu_mul_ctl.scala 137:112] + node _T_7553 = add(_T_7552, _T_7530) @[exu_mul_ctl.scala 137:112] + node _T_7554 = add(_T_7553, _T_7531) @[exu_mul_ctl.scala 137:112] + node _T_7555 = add(_T_7554, _T_7532) @[exu_mul_ctl.scala 137:112] + node _T_7556 = add(_T_7555, _T_7533) @[exu_mul_ctl.scala 137:112] + node _T_7557 = add(_T_7556, _T_7534) @[exu_mul_ctl.scala 137:112] + node _T_7558 = add(_T_7557, _T_7535) @[exu_mul_ctl.scala 137:112] + node _T_7559 = add(_T_7558, _T_7536) @[exu_mul_ctl.scala 137:112] + node _T_7560 = add(_T_7559, _T_7537) @[exu_mul_ctl.scala 137:112] + node _T_7561 = add(_T_7560, _T_7538) @[exu_mul_ctl.scala 137:112] + node _T_7562 = add(_T_7561, _T_7539) @[exu_mul_ctl.scala 137:112] + node _T_7563 = add(_T_7562, _T_7540) @[exu_mul_ctl.scala 137:112] + node _T_7564 = add(_T_7563, _T_7541) @[exu_mul_ctl.scala 137:112] + node _T_7565 = add(_T_7564, _T_7542) @[exu_mul_ctl.scala 137:112] + node _T_7566 = add(_T_7565, _T_7543) @[exu_mul_ctl.scala 137:112] + node _T_7567 = add(_T_7566, _T_7544) @[exu_mul_ctl.scala 137:112] + node _T_7568 = add(_T_7567, _T_7545) @[exu_mul_ctl.scala 137:112] + node _T_7569 = add(_T_7568, _T_7546) @[exu_mul_ctl.scala 137:112] + node _T_7570 = add(_T_7569, _T_7547) @[exu_mul_ctl.scala 137:112] + node _T_7571 = add(_T_7570, _T_7548) @[exu_mul_ctl.scala 137:112] + node _T_7572 = eq(_T_7571, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7573 = bits(_T_7572, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7574 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_7575 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7576 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7577 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7578 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7579 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7580 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7581 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7582 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7583 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7584 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7585 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7586 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7587 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7588 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7589 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7590 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7591 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7592 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7593 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7594 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7595 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7596 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7597 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7598 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7599 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7600 = add(_T_7575, _T_7576) @[exu_mul_ctl.scala 137:112] + node _T_7601 = add(_T_7600, _T_7577) @[exu_mul_ctl.scala 137:112] + node _T_7602 = add(_T_7601, _T_7578) @[exu_mul_ctl.scala 137:112] + node _T_7603 = add(_T_7602, _T_7579) @[exu_mul_ctl.scala 137:112] + node _T_7604 = add(_T_7603, _T_7580) @[exu_mul_ctl.scala 137:112] + node _T_7605 = add(_T_7604, _T_7581) @[exu_mul_ctl.scala 137:112] + node _T_7606 = add(_T_7605, _T_7582) @[exu_mul_ctl.scala 137:112] + node _T_7607 = add(_T_7606, _T_7583) @[exu_mul_ctl.scala 137:112] + node _T_7608 = add(_T_7607, _T_7584) @[exu_mul_ctl.scala 137:112] + node _T_7609 = add(_T_7608, _T_7585) @[exu_mul_ctl.scala 137:112] + node _T_7610 = add(_T_7609, _T_7586) @[exu_mul_ctl.scala 137:112] + node _T_7611 = add(_T_7610, _T_7587) @[exu_mul_ctl.scala 137:112] + node _T_7612 = add(_T_7611, _T_7588) @[exu_mul_ctl.scala 137:112] + node _T_7613 = add(_T_7612, _T_7589) @[exu_mul_ctl.scala 137:112] + node _T_7614 = add(_T_7613, _T_7590) @[exu_mul_ctl.scala 137:112] + node _T_7615 = add(_T_7614, _T_7591) @[exu_mul_ctl.scala 137:112] + node _T_7616 = add(_T_7615, _T_7592) @[exu_mul_ctl.scala 137:112] + node _T_7617 = add(_T_7616, _T_7593) @[exu_mul_ctl.scala 137:112] + node _T_7618 = add(_T_7617, _T_7594) @[exu_mul_ctl.scala 137:112] + node _T_7619 = add(_T_7618, _T_7595) @[exu_mul_ctl.scala 137:112] + node _T_7620 = add(_T_7619, _T_7596) @[exu_mul_ctl.scala 137:112] + node _T_7621 = add(_T_7620, _T_7597) @[exu_mul_ctl.scala 137:112] + node _T_7622 = add(_T_7621, _T_7598) @[exu_mul_ctl.scala 137:112] + node _T_7623 = add(_T_7622, _T_7599) @[exu_mul_ctl.scala 137:112] + node _T_7624 = eq(_T_7623, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7625 = bits(_T_7624, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7626 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_7627 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7628 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7629 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7630 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7631 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7632 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7633 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7634 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7635 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7636 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7637 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7638 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7639 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7640 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7641 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7642 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7643 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7644 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7645 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7646 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7647 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7648 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7649 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7650 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7651 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7652 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7653 = add(_T_7627, _T_7628) @[exu_mul_ctl.scala 137:112] + node _T_7654 = add(_T_7653, _T_7629) @[exu_mul_ctl.scala 137:112] + node _T_7655 = add(_T_7654, _T_7630) @[exu_mul_ctl.scala 137:112] + node _T_7656 = add(_T_7655, _T_7631) @[exu_mul_ctl.scala 137:112] + node _T_7657 = add(_T_7656, _T_7632) @[exu_mul_ctl.scala 137:112] + node _T_7658 = add(_T_7657, _T_7633) @[exu_mul_ctl.scala 137:112] + node _T_7659 = add(_T_7658, _T_7634) @[exu_mul_ctl.scala 137:112] + node _T_7660 = add(_T_7659, _T_7635) @[exu_mul_ctl.scala 137:112] + node _T_7661 = add(_T_7660, _T_7636) @[exu_mul_ctl.scala 137:112] + node _T_7662 = add(_T_7661, _T_7637) @[exu_mul_ctl.scala 137:112] + node _T_7663 = add(_T_7662, _T_7638) @[exu_mul_ctl.scala 137:112] + node _T_7664 = add(_T_7663, _T_7639) @[exu_mul_ctl.scala 137:112] + node _T_7665 = add(_T_7664, _T_7640) @[exu_mul_ctl.scala 137:112] + node _T_7666 = add(_T_7665, _T_7641) @[exu_mul_ctl.scala 137:112] + node _T_7667 = add(_T_7666, _T_7642) @[exu_mul_ctl.scala 137:112] + node _T_7668 = add(_T_7667, _T_7643) @[exu_mul_ctl.scala 137:112] + node _T_7669 = add(_T_7668, _T_7644) @[exu_mul_ctl.scala 137:112] + node _T_7670 = add(_T_7669, _T_7645) @[exu_mul_ctl.scala 137:112] + node _T_7671 = add(_T_7670, _T_7646) @[exu_mul_ctl.scala 137:112] + node _T_7672 = add(_T_7671, _T_7647) @[exu_mul_ctl.scala 137:112] + node _T_7673 = add(_T_7672, _T_7648) @[exu_mul_ctl.scala 137:112] + node _T_7674 = add(_T_7673, _T_7649) @[exu_mul_ctl.scala 137:112] + node _T_7675 = add(_T_7674, _T_7650) @[exu_mul_ctl.scala 137:112] + node _T_7676 = add(_T_7675, _T_7651) @[exu_mul_ctl.scala 137:112] + node _T_7677 = add(_T_7676, _T_7652) @[exu_mul_ctl.scala 137:112] + node _T_7678 = eq(_T_7677, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7679 = bits(_T_7678, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7680 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_7681 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7682 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7683 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7684 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7685 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7686 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7687 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7688 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7689 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7690 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7691 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7692 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7693 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7694 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7695 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7696 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7697 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7698 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7699 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7700 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7701 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7702 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7703 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7704 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7705 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7706 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7707 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_7708 = add(_T_7681, _T_7682) @[exu_mul_ctl.scala 137:112] + node _T_7709 = add(_T_7708, _T_7683) @[exu_mul_ctl.scala 137:112] + node _T_7710 = add(_T_7709, _T_7684) @[exu_mul_ctl.scala 137:112] + node _T_7711 = add(_T_7710, _T_7685) @[exu_mul_ctl.scala 137:112] + node _T_7712 = add(_T_7711, _T_7686) @[exu_mul_ctl.scala 137:112] + node _T_7713 = add(_T_7712, _T_7687) @[exu_mul_ctl.scala 137:112] + node _T_7714 = add(_T_7713, _T_7688) @[exu_mul_ctl.scala 137:112] + node _T_7715 = add(_T_7714, _T_7689) @[exu_mul_ctl.scala 137:112] + node _T_7716 = add(_T_7715, _T_7690) @[exu_mul_ctl.scala 137:112] + node _T_7717 = add(_T_7716, _T_7691) @[exu_mul_ctl.scala 137:112] + node _T_7718 = add(_T_7717, _T_7692) @[exu_mul_ctl.scala 137:112] + node _T_7719 = add(_T_7718, _T_7693) @[exu_mul_ctl.scala 137:112] + node _T_7720 = add(_T_7719, _T_7694) @[exu_mul_ctl.scala 137:112] + node _T_7721 = add(_T_7720, _T_7695) @[exu_mul_ctl.scala 137:112] + node _T_7722 = add(_T_7721, _T_7696) @[exu_mul_ctl.scala 137:112] + node _T_7723 = add(_T_7722, _T_7697) @[exu_mul_ctl.scala 137:112] + node _T_7724 = add(_T_7723, _T_7698) @[exu_mul_ctl.scala 137:112] + node _T_7725 = add(_T_7724, _T_7699) @[exu_mul_ctl.scala 137:112] + node _T_7726 = add(_T_7725, _T_7700) @[exu_mul_ctl.scala 137:112] + node _T_7727 = add(_T_7726, _T_7701) @[exu_mul_ctl.scala 137:112] + node _T_7728 = add(_T_7727, _T_7702) @[exu_mul_ctl.scala 137:112] + node _T_7729 = add(_T_7728, _T_7703) @[exu_mul_ctl.scala 137:112] + node _T_7730 = add(_T_7729, _T_7704) @[exu_mul_ctl.scala 137:112] + node _T_7731 = add(_T_7730, _T_7705) @[exu_mul_ctl.scala 137:112] + node _T_7732 = add(_T_7731, _T_7706) @[exu_mul_ctl.scala 137:112] + node _T_7733 = add(_T_7732, _T_7707) @[exu_mul_ctl.scala 137:112] + node _T_7734 = eq(_T_7733, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7735 = bits(_T_7734, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7736 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_7737 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7738 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7739 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7740 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7741 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7742 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7743 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7744 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7745 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7746 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7747 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7748 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7749 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7750 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7751 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7752 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7753 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7754 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7755 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7756 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7757 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7758 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7759 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7760 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7761 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7762 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7763 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_7764 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_7765 = add(_T_7737, _T_7738) @[exu_mul_ctl.scala 137:112] + node _T_7766 = add(_T_7765, _T_7739) @[exu_mul_ctl.scala 137:112] + node _T_7767 = add(_T_7766, _T_7740) @[exu_mul_ctl.scala 137:112] + node _T_7768 = add(_T_7767, _T_7741) @[exu_mul_ctl.scala 137:112] + node _T_7769 = add(_T_7768, _T_7742) @[exu_mul_ctl.scala 137:112] + node _T_7770 = add(_T_7769, _T_7743) @[exu_mul_ctl.scala 137:112] + node _T_7771 = add(_T_7770, _T_7744) @[exu_mul_ctl.scala 137:112] + node _T_7772 = add(_T_7771, _T_7745) @[exu_mul_ctl.scala 137:112] + node _T_7773 = add(_T_7772, _T_7746) @[exu_mul_ctl.scala 137:112] + node _T_7774 = add(_T_7773, _T_7747) @[exu_mul_ctl.scala 137:112] + node _T_7775 = add(_T_7774, _T_7748) @[exu_mul_ctl.scala 137:112] + node _T_7776 = add(_T_7775, _T_7749) @[exu_mul_ctl.scala 137:112] + node _T_7777 = add(_T_7776, _T_7750) @[exu_mul_ctl.scala 137:112] + node _T_7778 = add(_T_7777, _T_7751) @[exu_mul_ctl.scala 137:112] + node _T_7779 = add(_T_7778, _T_7752) @[exu_mul_ctl.scala 137:112] + node _T_7780 = add(_T_7779, _T_7753) @[exu_mul_ctl.scala 137:112] + node _T_7781 = add(_T_7780, _T_7754) @[exu_mul_ctl.scala 137:112] + node _T_7782 = add(_T_7781, _T_7755) @[exu_mul_ctl.scala 137:112] + node _T_7783 = add(_T_7782, _T_7756) @[exu_mul_ctl.scala 137:112] + node _T_7784 = add(_T_7783, _T_7757) @[exu_mul_ctl.scala 137:112] + node _T_7785 = add(_T_7784, _T_7758) @[exu_mul_ctl.scala 137:112] + node _T_7786 = add(_T_7785, _T_7759) @[exu_mul_ctl.scala 137:112] + node _T_7787 = add(_T_7786, _T_7760) @[exu_mul_ctl.scala 137:112] + node _T_7788 = add(_T_7787, _T_7761) @[exu_mul_ctl.scala 137:112] + node _T_7789 = add(_T_7788, _T_7762) @[exu_mul_ctl.scala 137:112] + node _T_7790 = add(_T_7789, _T_7763) @[exu_mul_ctl.scala 137:112] + node _T_7791 = add(_T_7790, _T_7764) @[exu_mul_ctl.scala 137:112] + node _T_7792 = eq(_T_7791, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7793 = bits(_T_7792, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7794 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_7795 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7796 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7797 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7798 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7799 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7800 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7801 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7802 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7803 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7804 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7805 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7806 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7807 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7808 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7809 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7810 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7811 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7812 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7813 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7814 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7815 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7816 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7817 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7818 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7819 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7820 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7821 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_7822 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_7823 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_7824 = add(_T_7795, _T_7796) @[exu_mul_ctl.scala 137:112] + node _T_7825 = add(_T_7824, _T_7797) @[exu_mul_ctl.scala 137:112] + node _T_7826 = add(_T_7825, _T_7798) @[exu_mul_ctl.scala 137:112] + node _T_7827 = add(_T_7826, _T_7799) @[exu_mul_ctl.scala 137:112] + node _T_7828 = add(_T_7827, _T_7800) @[exu_mul_ctl.scala 137:112] + node _T_7829 = add(_T_7828, _T_7801) @[exu_mul_ctl.scala 137:112] + node _T_7830 = add(_T_7829, _T_7802) @[exu_mul_ctl.scala 137:112] + node _T_7831 = add(_T_7830, _T_7803) @[exu_mul_ctl.scala 137:112] + node _T_7832 = add(_T_7831, _T_7804) @[exu_mul_ctl.scala 137:112] + node _T_7833 = add(_T_7832, _T_7805) @[exu_mul_ctl.scala 137:112] + node _T_7834 = add(_T_7833, _T_7806) @[exu_mul_ctl.scala 137:112] + node _T_7835 = add(_T_7834, _T_7807) @[exu_mul_ctl.scala 137:112] + node _T_7836 = add(_T_7835, _T_7808) @[exu_mul_ctl.scala 137:112] + node _T_7837 = add(_T_7836, _T_7809) @[exu_mul_ctl.scala 137:112] + node _T_7838 = add(_T_7837, _T_7810) @[exu_mul_ctl.scala 137:112] + node _T_7839 = add(_T_7838, _T_7811) @[exu_mul_ctl.scala 137:112] + node _T_7840 = add(_T_7839, _T_7812) @[exu_mul_ctl.scala 137:112] + node _T_7841 = add(_T_7840, _T_7813) @[exu_mul_ctl.scala 137:112] + node _T_7842 = add(_T_7841, _T_7814) @[exu_mul_ctl.scala 137:112] + node _T_7843 = add(_T_7842, _T_7815) @[exu_mul_ctl.scala 137:112] + node _T_7844 = add(_T_7843, _T_7816) @[exu_mul_ctl.scala 137:112] + node _T_7845 = add(_T_7844, _T_7817) @[exu_mul_ctl.scala 137:112] + node _T_7846 = add(_T_7845, _T_7818) @[exu_mul_ctl.scala 137:112] + node _T_7847 = add(_T_7846, _T_7819) @[exu_mul_ctl.scala 137:112] + node _T_7848 = add(_T_7847, _T_7820) @[exu_mul_ctl.scala 137:112] + node _T_7849 = add(_T_7848, _T_7821) @[exu_mul_ctl.scala 137:112] + node _T_7850 = add(_T_7849, _T_7822) @[exu_mul_ctl.scala 137:112] + node _T_7851 = add(_T_7850, _T_7823) @[exu_mul_ctl.scala 137:112] + node _T_7852 = eq(_T_7851, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7853 = bits(_T_7852, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7854 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_7855 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7856 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7857 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7858 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7859 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7860 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7861 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7862 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7863 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7864 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7865 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7866 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7867 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7868 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7869 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7870 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7871 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7872 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7873 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7874 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7875 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7876 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7877 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7878 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7879 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7880 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7881 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_7882 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_7883 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_7884 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_7885 = add(_T_7855, _T_7856) @[exu_mul_ctl.scala 137:112] + node _T_7886 = add(_T_7885, _T_7857) @[exu_mul_ctl.scala 137:112] + node _T_7887 = add(_T_7886, _T_7858) @[exu_mul_ctl.scala 137:112] + node _T_7888 = add(_T_7887, _T_7859) @[exu_mul_ctl.scala 137:112] + node _T_7889 = add(_T_7888, _T_7860) @[exu_mul_ctl.scala 137:112] + node _T_7890 = add(_T_7889, _T_7861) @[exu_mul_ctl.scala 137:112] + node _T_7891 = add(_T_7890, _T_7862) @[exu_mul_ctl.scala 137:112] + node _T_7892 = add(_T_7891, _T_7863) @[exu_mul_ctl.scala 137:112] + node _T_7893 = add(_T_7892, _T_7864) @[exu_mul_ctl.scala 137:112] + node _T_7894 = add(_T_7893, _T_7865) @[exu_mul_ctl.scala 137:112] + node _T_7895 = add(_T_7894, _T_7866) @[exu_mul_ctl.scala 137:112] + node _T_7896 = add(_T_7895, _T_7867) @[exu_mul_ctl.scala 137:112] + node _T_7897 = add(_T_7896, _T_7868) @[exu_mul_ctl.scala 137:112] + node _T_7898 = add(_T_7897, _T_7869) @[exu_mul_ctl.scala 137:112] + node _T_7899 = add(_T_7898, _T_7870) @[exu_mul_ctl.scala 137:112] + node _T_7900 = add(_T_7899, _T_7871) @[exu_mul_ctl.scala 137:112] + node _T_7901 = add(_T_7900, _T_7872) @[exu_mul_ctl.scala 137:112] + node _T_7902 = add(_T_7901, _T_7873) @[exu_mul_ctl.scala 137:112] + node _T_7903 = add(_T_7902, _T_7874) @[exu_mul_ctl.scala 137:112] + node _T_7904 = add(_T_7903, _T_7875) @[exu_mul_ctl.scala 137:112] + node _T_7905 = add(_T_7904, _T_7876) @[exu_mul_ctl.scala 137:112] + node _T_7906 = add(_T_7905, _T_7877) @[exu_mul_ctl.scala 137:112] + node _T_7907 = add(_T_7906, _T_7878) @[exu_mul_ctl.scala 137:112] + node _T_7908 = add(_T_7907, _T_7879) @[exu_mul_ctl.scala 137:112] + node _T_7909 = add(_T_7908, _T_7880) @[exu_mul_ctl.scala 137:112] + node _T_7910 = add(_T_7909, _T_7881) @[exu_mul_ctl.scala 137:112] + node _T_7911 = add(_T_7910, _T_7882) @[exu_mul_ctl.scala 137:112] + node _T_7912 = add(_T_7911, _T_7883) @[exu_mul_ctl.scala 137:112] + node _T_7913 = add(_T_7912, _T_7884) @[exu_mul_ctl.scala 137:112] + node _T_7914 = eq(_T_7913, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7915 = bits(_T_7914, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7916 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_7917 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7918 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7919 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7920 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7921 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7922 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7923 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7924 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7925 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7926 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7927 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7928 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7929 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7930 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7931 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7932 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7933 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7934 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7935 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7936 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7937 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7938 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7939 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7940 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7941 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7942 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7943 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_7944 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_7945 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_7946 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_7947 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_7948 = add(_T_7917, _T_7918) @[exu_mul_ctl.scala 137:112] + node _T_7949 = add(_T_7948, _T_7919) @[exu_mul_ctl.scala 137:112] + node _T_7950 = add(_T_7949, _T_7920) @[exu_mul_ctl.scala 137:112] + node _T_7951 = add(_T_7950, _T_7921) @[exu_mul_ctl.scala 137:112] + node _T_7952 = add(_T_7951, _T_7922) @[exu_mul_ctl.scala 137:112] + node _T_7953 = add(_T_7952, _T_7923) @[exu_mul_ctl.scala 137:112] + node _T_7954 = add(_T_7953, _T_7924) @[exu_mul_ctl.scala 137:112] + node _T_7955 = add(_T_7954, _T_7925) @[exu_mul_ctl.scala 137:112] + node _T_7956 = add(_T_7955, _T_7926) @[exu_mul_ctl.scala 137:112] + node _T_7957 = add(_T_7956, _T_7927) @[exu_mul_ctl.scala 137:112] + node _T_7958 = add(_T_7957, _T_7928) @[exu_mul_ctl.scala 137:112] + node _T_7959 = add(_T_7958, _T_7929) @[exu_mul_ctl.scala 137:112] + node _T_7960 = add(_T_7959, _T_7930) @[exu_mul_ctl.scala 137:112] + node _T_7961 = add(_T_7960, _T_7931) @[exu_mul_ctl.scala 137:112] + node _T_7962 = add(_T_7961, _T_7932) @[exu_mul_ctl.scala 137:112] + node _T_7963 = add(_T_7962, _T_7933) @[exu_mul_ctl.scala 137:112] + node _T_7964 = add(_T_7963, _T_7934) @[exu_mul_ctl.scala 137:112] + node _T_7965 = add(_T_7964, _T_7935) @[exu_mul_ctl.scala 137:112] + node _T_7966 = add(_T_7965, _T_7936) @[exu_mul_ctl.scala 137:112] + node _T_7967 = add(_T_7966, _T_7937) @[exu_mul_ctl.scala 137:112] + node _T_7968 = add(_T_7967, _T_7938) @[exu_mul_ctl.scala 137:112] + node _T_7969 = add(_T_7968, _T_7939) @[exu_mul_ctl.scala 137:112] + node _T_7970 = add(_T_7969, _T_7940) @[exu_mul_ctl.scala 137:112] + node _T_7971 = add(_T_7970, _T_7941) @[exu_mul_ctl.scala 137:112] + node _T_7972 = add(_T_7971, _T_7942) @[exu_mul_ctl.scala 137:112] + node _T_7973 = add(_T_7972, _T_7943) @[exu_mul_ctl.scala 137:112] + node _T_7974 = add(_T_7973, _T_7944) @[exu_mul_ctl.scala 137:112] + node _T_7975 = add(_T_7974, _T_7945) @[exu_mul_ctl.scala 137:112] + node _T_7976 = add(_T_7975, _T_7946) @[exu_mul_ctl.scala 137:112] + node _T_7977 = add(_T_7976, _T_7947) @[exu_mul_ctl.scala 137:112] + node _T_7978 = eq(_T_7977, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7979 = bits(_T_7978, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7980 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_7981 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7982 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7983 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7984 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7985 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7986 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7987 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7988 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7989 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7990 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7991 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7992 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7993 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7994 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7995 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7996 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7997 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7998 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7999 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8000 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8001 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8002 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8003 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8004 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8005 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8006 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_8007 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_8008 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_8009 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_8010 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_8011 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_8012 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_8013 = add(_T_7981, _T_7982) @[exu_mul_ctl.scala 137:112] + node _T_8014 = add(_T_8013, _T_7983) @[exu_mul_ctl.scala 137:112] + node _T_8015 = add(_T_8014, _T_7984) @[exu_mul_ctl.scala 137:112] + node _T_8016 = add(_T_8015, _T_7985) @[exu_mul_ctl.scala 137:112] + node _T_8017 = add(_T_8016, _T_7986) @[exu_mul_ctl.scala 137:112] + node _T_8018 = add(_T_8017, _T_7987) @[exu_mul_ctl.scala 137:112] + node _T_8019 = add(_T_8018, _T_7988) @[exu_mul_ctl.scala 137:112] + node _T_8020 = add(_T_8019, _T_7989) @[exu_mul_ctl.scala 137:112] + node _T_8021 = add(_T_8020, _T_7990) @[exu_mul_ctl.scala 137:112] + node _T_8022 = add(_T_8021, _T_7991) @[exu_mul_ctl.scala 137:112] + node _T_8023 = add(_T_8022, _T_7992) @[exu_mul_ctl.scala 137:112] + node _T_8024 = add(_T_8023, _T_7993) @[exu_mul_ctl.scala 137:112] + node _T_8025 = add(_T_8024, _T_7994) @[exu_mul_ctl.scala 137:112] + node _T_8026 = add(_T_8025, _T_7995) @[exu_mul_ctl.scala 137:112] + node _T_8027 = add(_T_8026, _T_7996) @[exu_mul_ctl.scala 137:112] + node _T_8028 = add(_T_8027, _T_7997) @[exu_mul_ctl.scala 137:112] + node _T_8029 = add(_T_8028, _T_7998) @[exu_mul_ctl.scala 137:112] + node _T_8030 = add(_T_8029, _T_7999) @[exu_mul_ctl.scala 137:112] + node _T_8031 = add(_T_8030, _T_8000) @[exu_mul_ctl.scala 137:112] + node _T_8032 = add(_T_8031, _T_8001) @[exu_mul_ctl.scala 137:112] + node _T_8033 = add(_T_8032, _T_8002) @[exu_mul_ctl.scala 137:112] + node _T_8034 = add(_T_8033, _T_8003) @[exu_mul_ctl.scala 137:112] + node _T_8035 = add(_T_8034, _T_8004) @[exu_mul_ctl.scala 137:112] + node _T_8036 = add(_T_8035, _T_8005) @[exu_mul_ctl.scala 137:112] + node _T_8037 = add(_T_8036, _T_8006) @[exu_mul_ctl.scala 137:112] + node _T_8038 = add(_T_8037, _T_8007) @[exu_mul_ctl.scala 137:112] + node _T_8039 = add(_T_8038, _T_8008) @[exu_mul_ctl.scala 137:112] + node _T_8040 = add(_T_8039, _T_8009) @[exu_mul_ctl.scala 137:112] + node _T_8041 = add(_T_8040, _T_8010) @[exu_mul_ctl.scala 137:112] + node _T_8042 = add(_T_8041, _T_8011) @[exu_mul_ctl.scala 137:112] + node _T_8043 = add(_T_8042, _T_8012) @[exu_mul_ctl.scala 137:112] + node _T_8044 = eq(_T_8043, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_8045 = bits(_T_8044, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8046 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_8047 = mux(_T_8045, _T_8046, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_8048 = mux(_T_7979, _T_7980, _T_8047) @[Mux.scala 98:16] + node _T_8049 = mux(_T_7915, _T_7916, _T_8048) @[Mux.scala 98:16] + node _T_8050 = mux(_T_7853, _T_7854, _T_8049) @[Mux.scala 98:16] + node _T_8051 = mux(_T_7793, _T_7794, _T_8050) @[Mux.scala 98:16] + node _T_8052 = mux(_T_7735, _T_7736, _T_8051) @[Mux.scala 98:16] + node _T_8053 = mux(_T_7679, _T_7680, _T_8052) @[Mux.scala 98:16] + node _T_8054 = mux(_T_7625, _T_7626, _T_8053) @[Mux.scala 98:16] + node _T_8055 = mux(_T_7573, _T_7574, _T_8054) @[Mux.scala 98:16] + node _T_8056 = mux(_T_7523, _T_7524, _T_8055) @[Mux.scala 98:16] + node _T_8057 = mux(_T_7475, _T_7476, _T_8056) @[Mux.scala 98:16] + node _T_8058 = mux(_T_7429, _T_7430, _T_8057) @[Mux.scala 98:16] + node _T_8059 = mux(_T_7385, _T_7386, _T_8058) @[Mux.scala 98:16] + node _T_8060 = mux(_T_7343, _T_7344, _T_8059) @[Mux.scala 98:16] + node _T_8061 = mux(_T_7303, _T_7304, _T_8060) @[Mux.scala 98:16] + node _T_8062 = mux(_T_7265, _T_7266, _T_8061) @[Mux.scala 98:16] + node _T_8063 = mux(_T_7229, _T_7230, _T_8062) @[Mux.scala 98:16] + node _T_8064 = mux(_T_7195, _T_7196, _T_8063) @[Mux.scala 98:16] + node _T_8065 = mux(_T_7163, _T_7164, _T_8064) @[Mux.scala 98:16] + node _T_8066 = mux(_T_7133, _T_7134, _T_8065) @[Mux.scala 98:16] + node _T_8067 = mux(_T_7105, _T_7106, _T_8066) @[Mux.scala 98:16] + node _T_8068 = mux(_T_7079, _T_7080, _T_8067) @[Mux.scala 98:16] + node _T_8069 = mux(_T_7055, _T_7056, _T_8068) @[Mux.scala 98:16] + node _T_8070 = mux(_T_7033, _T_7034, _T_8069) @[Mux.scala 98:16] + node _T_8071 = mux(_T_7013, _T_7014, _T_8070) @[Mux.scala 98:16] + node _T_8072 = mux(_T_6995, _T_6996, _T_8071) @[Mux.scala 98:16] + node _T_8073 = mux(_T_6979, _T_6980, _T_8072) @[Mux.scala 98:16] + node _T_8074 = mux(_T_6965, _T_6966, _T_8073) @[Mux.scala 98:16] + node _T_8075 = mux(_T_6953, _T_6954, _T_8074) @[Mux.scala 98:16] + node _T_8076 = mux(_T_6943, _T_6944, _T_8075) @[Mux.scala 98:16] + node _T_8077 = mux(_T_6935, _T_6936, _T_8076) @[Mux.scala 98:16] + node _T_8078 = mux(_T_6929, _T_6930, _T_8077) @[Mux.scala 98:16] + node _T_8079 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_8080 = eq(_T_8079, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8081 = bits(_T_8080, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8082 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_8083 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8084 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8085 = add(_T_8083, _T_8084) @[exu_mul_ctl.scala 137:112] + node _T_8086 = eq(_T_8085, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8087 = bits(_T_8086, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8088 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_8089 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8090 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8091 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8092 = add(_T_8089, _T_8090) @[exu_mul_ctl.scala 137:112] + node _T_8093 = add(_T_8092, _T_8091) @[exu_mul_ctl.scala 137:112] + node _T_8094 = eq(_T_8093, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8095 = bits(_T_8094, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8096 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_8097 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8098 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8099 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8100 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8101 = add(_T_8097, _T_8098) @[exu_mul_ctl.scala 137:112] + node _T_8102 = add(_T_8101, _T_8099) @[exu_mul_ctl.scala 137:112] + node _T_8103 = add(_T_8102, _T_8100) @[exu_mul_ctl.scala 137:112] + node _T_8104 = eq(_T_8103, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8105 = bits(_T_8104, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8106 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_8107 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8108 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8109 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8110 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8111 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8112 = add(_T_8107, _T_8108) @[exu_mul_ctl.scala 137:112] + node _T_8113 = add(_T_8112, _T_8109) @[exu_mul_ctl.scala 137:112] + node _T_8114 = add(_T_8113, _T_8110) @[exu_mul_ctl.scala 137:112] + node _T_8115 = add(_T_8114, _T_8111) @[exu_mul_ctl.scala 137:112] + node _T_8116 = eq(_T_8115, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8117 = bits(_T_8116, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8118 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_8119 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8120 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8121 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8122 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8123 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8124 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8125 = add(_T_8119, _T_8120) @[exu_mul_ctl.scala 137:112] + node _T_8126 = add(_T_8125, _T_8121) @[exu_mul_ctl.scala 137:112] + node _T_8127 = add(_T_8126, _T_8122) @[exu_mul_ctl.scala 137:112] + node _T_8128 = add(_T_8127, _T_8123) @[exu_mul_ctl.scala 137:112] + node _T_8129 = add(_T_8128, _T_8124) @[exu_mul_ctl.scala 137:112] + node _T_8130 = eq(_T_8129, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8131 = bits(_T_8130, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8132 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_8133 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8134 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8135 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8136 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8137 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8138 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8139 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8140 = add(_T_8133, _T_8134) @[exu_mul_ctl.scala 137:112] + node _T_8141 = add(_T_8140, _T_8135) @[exu_mul_ctl.scala 137:112] + node _T_8142 = add(_T_8141, _T_8136) @[exu_mul_ctl.scala 137:112] + node _T_8143 = add(_T_8142, _T_8137) @[exu_mul_ctl.scala 137:112] + node _T_8144 = add(_T_8143, _T_8138) @[exu_mul_ctl.scala 137:112] + node _T_8145 = add(_T_8144, _T_8139) @[exu_mul_ctl.scala 137:112] + node _T_8146 = eq(_T_8145, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8147 = bits(_T_8146, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8148 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_8149 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8150 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8151 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8152 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8153 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8154 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8155 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8156 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8157 = add(_T_8149, _T_8150) @[exu_mul_ctl.scala 137:112] + node _T_8158 = add(_T_8157, _T_8151) @[exu_mul_ctl.scala 137:112] + node _T_8159 = add(_T_8158, _T_8152) @[exu_mul_ctl.scala 137:112] + node _T_8160 = add(_T_8159, _T_8153) @[exu_mul_ctl.scala 137:112] + node _T_8161 = add(_T_8160, _T_8154) @[exu_mul_ctl.scala 137:112] + node _T_8162 = add(_T_8161, _T_8155) @[exu_mul_ctl.scala 137:112] + node _T_8163 = add(_T_8162, _T_8156) @[exu_mul_ctl.scala 137:112] + node _T_8164 = eq(_T_8163, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8165 = bits(_T_8164, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8166 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_8167 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8168 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8169 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8170 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8171 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8172 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8173 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8174 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8175 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8176 = add(_T_8167, _T_8168) @[exu_mul_ctl.scala 137:112] + node _T_8177 = add(_T_8176, _T_8169) @[exu_mul_ctl.scala 137:112] + node _T_8178 = add(_T_8177, _T_8170) @[exu_mul_ctl.scala 137:112] + node _T_8179 = add(_T_8178, _T_8171) @[exu_mul_ctl.scala 137:112] + node _T_8180 = add(_T_8179, _T_8172) @[exu_mul_ctl.scala 137:112] + node _T_8181 = add(_T_8180, _T_8173) @[exu_mul_ctl.scala 137:112] + node _T_8182 = add(_T_8181, _T_8174) @[exu_mul_ctl.scala 137:112] + node _T_8183 = add(_T_8182, _T_8175) @[exu_mul_ctl.scala 137:112] + node _T_8184 = eq(_T_8183, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8185 = bits(_T_8184, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8186 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_8187 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8188 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8189 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8190 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8191 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8192 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8193 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8194 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8195 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8196 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8197 = add(_T_8187, _T_8188) @[exu_mul_ctl.scala 137:112] + node _T_8198 = add(_T_8197, _T_8189) @[exu_mul_ctl.scala 137:112] + node _T_8199 = add(_T_8198, _T_8190) @[exu_mul_ctl.scala 137:112] + node _T_8200 = add(_T_8199, _T_8191) @[exu_mul_ctl.scala 137:112] + node _T_8201 = add(_T_8200, _T_8192) @[exu_mul_ctl.scala 137:112] + node _T_8202 = add(_T_8201, _T_8193) @[exu_mul_ctl.scala 137:112] + node _T_8203 = add(_T_8202, _T_8194) @[exu_mul_ctl.scala 137:112] + node _T_8204 = add(_T_8203, _T_8195) @[exu_mul_ctl.scala 137:112] + node _T_8205 = add(_T_8204, _T_8196) @[exu_mul_ctl.scala 137:112] + node _T_8206 = eq(_T_8205, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8207 = bits(_T_8206, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8208 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_8209 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8210 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8211 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8212 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8213 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8214 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8215 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8216 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8217 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8218 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8219 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8220 = add(_T_8209, _T_8210) @[exu_mul_ctl.scala 137:112] + node _T_8221 = add(_T_8220, _T_8211) @[exu_mul_ctl.scala 137:112] + node _T_8222 = add(_T_8221, _T_8212) @[exu_mul_ctl.scala 137:112] + node _T_8223 = add(_T_8222, _T_8213) @[exu_mul_ctl.scala 137:112] + node _T_8224 = add(_T_8223, _T_8214) @[exu_mul_ctl.scala 137:112] + node _T_8225 = add(_T_8224, _T_8215) @[exu_mul_ctl.scala 137:112] + node _T_8226 = add(_T_8225, _T_8216) @[exu_mul_ctl.scala 137:112] + node _T_8227 = add(_T_8226, _T_8217) @[exu_mul_ctl.scala 137:112] + node _T_8228 = add(_T_8227, _T_8218) @[exu_mul_ctl.scala 137:112] + node _T_8229 = add(_T_8228, _T_8219) @[exu_mul_ctl.scala 137:112] + node _T_8230 = eq(_T_8229, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8231 = bits(_T_8230, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8232 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_8233 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8234 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8235 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8236 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8237 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8238 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8239 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8240 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8241 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8242 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8243 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8244 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8245 = add(_T_8233, _T_8234) @[exu_mul_ctl.scala 137:112] + node _T_8246 = add(_T_8245, _T_8235) @[exu_mul_ctl.scala 137:112] + node _T_8247 = add(_T_8246, _T_8236) @[exu_mul_ctl.scala 137:112] + node _T_8248 = add(_T_8247, _T_8237) @[exu_mul_ctl.scala 137:112] + node _T_8249 = add(_T_8248, _T_8238) @[exu_mul_ctl.scala 137:112] + node _T_8250 = add(_T_8249, _T_8239) @[exu_mul_ctl.scala 137:112] + node _T_8251 = add(_T_8250, _T_8240) @[exu_mul_ctl.scala 137:112] + node _T_8252 = add(_T_8251, _T_8241) @[exu_mul_ctl.scala 137:112] + node _T_8253 = add(_T_8252, _T_8242) @[exu_mul_ctl.scala 137:112] + node _T_8254 = add(_T_8253, _T_8243) @[exu_mul_ctl.scala 137:112] + node _T_8255 = add(_T_8254, _T_8244) @[exu_mul_ctl.scala 137:112] + node _T_8256 = eq(_T_8255, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8257 = bits(_T_8256, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8258 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_8259 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8260 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8261 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8262 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8263 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8264 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8265 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8266 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8267 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8268 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8269 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8270 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8271 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8272 = add(_T_8259, _T_8260) @[exu_mul_ctl.scala 137:112] + node _T_8273 = add(_T_8272, _T_8261) @[exu_mul_ctl.scala 137:112] + node _T_8274 = add(_T_8273, _T_8262) @[exu_mul_ctl.scala 137:112] + node _T_8275 = add(_T_8274, _T_8263) @[exu_mul_ctl.scala 137:112] + node _T_8276 = add(_T_8275, _T_8264) @[exu_mul_ctl.scala 137:112] + node _T_8277 = add(_T_8276, _T_8265) @[exu_mul_ctl.scala 137:112] + node _T_8278 = add(_T_8277, _T_8266) @[exu_mul_ctl.scala 137:112] + node _T_8279 = add(_T_8278, _T_8267) @[exu_mul_ctl.scala 137:112] + node _T_8280 = add(_T_8279, _T_8268) @[exu_mul_ctl.scala 137:112] + node _T_8281 = add(_T_8280, _T_8269) @[exu_mul_ctl.scala 137:112] + node _T_8282 = add(_T_8281, _T_8270) @[exu_mul_ctl.scala 137:112] + node _T_8283 = add(_T_8282, _T_8271) @[exu_mul_ctl.scala 137:112] + node _T_8284 = eq(_T_8283, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8285 = bits(_T_8284, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8286 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_8287 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8288 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8289 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8290 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8291 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8292 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8293 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8294 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8295 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8296 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8297 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8298 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8299 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8300 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8301 = add(_T_8287, _T_8288) @[exu_mul_ctl.scala 137:112] + node _T_8302 = add(_T_8301, _T_8289) @[exu_mul_ctl.scala 137:112] + node _T_8303 = add(_T_8302, _T_8290) @[exu_mul_ctl.scala 137:112] + node _T_8304 = add(_T_8303, _T_8291) @[exu_mul_ctl.scala 137:112] + node _T_8305 = add(_T_8304, _T_8292) @[exu_mul_ctl.scala 137:112] + node _T_8306 = add(_T_8305, _T_8293) @[exu_mul_ctl.scala 137:112] + node _T_8307 = add(_T_8306, _T_8294) @[exu_mul_ctl.scala 137:112] + node _T_8308 = add(_T_8307, _T_8295) @[exu_mul_ctl.scala 137:112] + node _T_8309 = add(_T_8308, _T_8296) @[exu_mul_ctl.scala 137:112] + node _T_8310 = add(_T_8309, _T_8297) @[exu_mul_ctl.scala 137:112] + node _T_8311 = add(_T_8310, _T_8298) @[exu_mul_ctl.scala 137:112] + node _T_8312 = add(_T_8311, _T_8299) @[exu_mul_ctl.scala 137:112] + node _T_8313 = add(_T_8312, _T_8300) @[exu_mul_ctl.scala 137:112] + node _T_8314 = eq(_T_8313, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8315 = bits(_T_8314, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8316 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_8317 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8318 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8319 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8320 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8321 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8322 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8323 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8324 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8325 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8326 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8327 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8328 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8329 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8330 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8331 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8332 = add(_T_8317, _T_8318) @[exu_mul_ctl.scala 137:112] + node _T_8333 = add(_T_8332, _T_8319) @[exu_mul_ctl.scala 137:112] + node _T_8334 = add(_T_8333, _T_8320) @[exu_mul_ctl.scala 137:112] + node _T_8335 = add(_T_8334, _T_8321) @[exu_mul_ctl.scala 137:112] + node _T_8336 = add(_T_8335, _T_8322) @[exu_mul_ctl.scala 137:112] + node _T_8337 = add(_T_8336, _T_8323) @[exu_mul_ctl.scala 137:112] + node _T_8338 = add(_T_8337, _T_8324) @[exu_mul_ctl.scala 137:112] + node _T_8339 = add(_T_8338, _T_8325) @[exu_mul_ctl.scala 137:112] + node _T_8340 = add(_T_8339, _T_8326) @[exu_mul_ctl.scala 137:112] + node _T_8341 = add(_T_8340, _T_8327) @[exu_mul_ctl.scala 137:112] + node _T_8342 = add(_T_8341, _T_8328) @[exu_mul_ctl.scala 137:112] + node _T_8343 = add(_T_8342, _T_8329) @[exu_mul_ctl.scala 137:112] + node _T_8344 = add(_T_8343, _T_8330) @[exu_mul_ctl.scala 137:112] + node _T_8345 = add(_T_8344, _T_8331) @[exu_mul_ctl.scala 137:112] + node _T_8346 = eq(_T_8345, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8347 = bits(_T_8346, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8348 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_8349 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8350 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8351 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8352 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8353 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8354 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8355 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8356 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8357 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8358 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8359 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8360 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8361 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8362 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8363 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8364 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8365 = add(_T_8349, _T_8350) @[exu_mul_ctl.scala 137:112] + node _T_8366 = add(_T_8365, _T_8351) @[exu_mul_ctl.scala 137:112] + node _T_8367 = add(_T_8366, _T_8352) @[exu_mul_ctl.scala 137:112] + node _T_8368 = add(_T_8367, _T_8353) @[exu_mul_ctl.scala 137:112] + node _T_8369 = add(_T_8368, _T_8354) @[exu_mul_ctl.scala 137:112] + node _T_8370 = add(_T_8369, _T_8355) @[exu_mul_ctl.scala 137:112] + node _T_8371 = add(_T_8370, _T_8356) @[exu_mul_ctl.scala 137:112] + node _T_8372 = add(_T_8371, _T_8357) @[exu_mul_ctl.scala 137:112] + node _T_8373 = add(_T_8372, _T_8358) @[exu_mul_ctl.scala 137:112] + node _T_8374 = add(_T_8373, _T_8359) @[exu_mul_ctl.scala 137:112] + node _T_8375 = add(_T_8374, _T_8360) @[exu_mul_ctl.scala 137:112] + node _T_8376 = add(_T_8375, _T_8361) @[exu_mul_ctl.scala 137:112] + node _T_8377 = add(_T_8376, _T_8362) @[exu_mul_ctl.scala 137:112] + node _T_8378 = add(_T_8377, _T_8363) @[exu_mul_ctl.scala 137:112] + node _T_8379 = add(_T_8378, _T_8364) @[exu_mul_ctl.scala 137:112] + node _T_8380 = eq(_T_8379, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8381 = bits(_T_8380, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8382 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_8383 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8384 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8385 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8386 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8387 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8388 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8389 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8390 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8391 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8392 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8393 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8394 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8395 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8396 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8397 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8398 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8399 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8400 = add(_T_8383, _T_8384) @[exu_mul_ctl.scala 137:112] + node _T_8401 = add(_T_8400, _T_8385) @[exu_mul_ctl.scala 137:112] + node _T_8402 = add(_T_8401, _T_8386) @[exu_mul_ctl.scala 137:112] + node _T_8403 = add(_T_8402, _T_8387) @[exu_mul_ctl.scala 137:112] + node _T_8404 = add(_T_8403, _T_8388) @[exu_mul_ctl.scala 137:112] + node _T_8405 = add(_T_8404, _T_8389) @[exu_mul_ctl.scala 137:112] + node _T_8406 = add(_T_8405, _T_8390) @[exu_mul_ctl.scala 137:112] + node _T_8407 = add(_T_8406, _T_8391) @[exu_mul_ctl.scala 137:112] + node _T_8408 = add(_T_8407, _T_8392) @[exu_mul_ctl.scala 137:112] + node _T_8409 = add(_T_8408, _T_8393) @[exu_mul_ctl.scala 137:112] + node _T_8410 = add(_T_8409, _T_8394) @[exu_mul_ctl.scala 137:112] + node _T_8411 = add(_T_8410, _T_8395) @[exu_mul_ctl.scala 137:112] + node _T_8412 = add(_T_8411, _T_8396) @[exu_mul_ctl.scala 137:112] + node _T_8413 = add(_T_8412, _T_8397) @[exu_mul_ctl.scala 137:112] + node _T_8414 = add(_T_8413, _T_8398) @[exu_mul_ctl.scala 137:112] + node _T_8415 = add(_T_8414, _T_8399) @[exu_mul_ctl.scala 137:112] + node _T_8416 = eq(_T_8415, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8417 = bits(_T_8416, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8418 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_8419 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8420 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8421 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8422 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8423 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8424 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8425 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8426 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8427 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8428 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8429 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8430 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8431 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8432 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8433 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8434 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8435 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8436 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8437 = add(_T_8419, _T_8420) @[exu_mul_ctl.scala 137:112] + node _T_8438 = add(_T_8437, _T_8421) @[exu_mul_ctl.scala 137:112] + node _T_8439 = add(_T_8438, _T_8422) @[exu_mul_ctl.scala 137:112] + node _T_8440 = add(_T_8439, _T_8423) @[exu_mul_ctl.scala 137:112] + node _T_8441 = add(_T_8440, _T_8424) @[exu_mul_ctl.scala 137:112] + node _T_8442 = add(_T_8441, _T_8425) @[exu_mul_ctl.scala 137:112] + node _T_8443 = add(_T_8442, _T_8426) @[exu_mul_ctl.scala 137:112] + node _T_8444 = add(_T_8443, _T_8427) @[exu_mul_ctl.scala 137:112] + node _T_8445 = add(_T_8444, _T_8428) @[exu_mul_ctl.scala 137:112] + node _T_8446 = add(_T_8445, _T_8429) @[exu_mul_ctl.scala 137:112] + node _T_8447 = add(_T_8446, _T_8430) @[exu_mul_ctl.scala 137:112] + node _T_8448 = add(_T_8447, _T_8431) @[exu_mul_ctl.scala 137:112] + node _T_8449 = add(_T_8448, _T_8432) @[exu_mul_ctl.scala 137:112] + node _T_8450 = add(_T_8449, _T_8433) @[exu_mul_ctl.scala 137:112] + node _T_8451 = add(_T_8450, _T_8434) @[exu_mul_ctl.scala 137:112] + node _T_8452 = add(_T_8451, _T_8435) @[exu_mul_ctl.scala 137:112] + node _T_8453 = add(_T_8452, _T_8436) @[exu_mul_ctl.scala 137:112] + node _T_8454 = eq(_T_8453, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8455 = bits(_T_8454, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8456 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_8457 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8458 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8459 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8460 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8461 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8462 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8463 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8464 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8465 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8466 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8467 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8468 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8469 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8470 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8471 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8472 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8473 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8474 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8475 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8476 = add(_T_8457, _T_8458) @[exu_mul_ctl.scala 137:112] + node _T_8477 = add(_T_8476, _T_8459) @[exu_mul_ctl.scala 137:112] + node _T_8478 = add(_T_8477, _T_8460) @[exu_mul_ctl.scala 137:112] + node _T_8479 = add(_T_8478, _T_8461) @[exu_mul_ctl.scala 137:112] + node _T_8480 = add(_T_8479, _T_8462) @[exu_mul_ctl.scala 137:112] + node _T_8481 = add(_T_8480, _T_8463) @[exu_mul_ctl.scala 137:112] + node _T_8482 = add(_T_8481, _T_8464) @[exu_mul_ctl.scala 137:112] + node _T_8483 = add(_T_8482, _T_8465) @[exu_mul_ctl.scala 137:112] + node _T_8484 = add(_T_8483, _T_8466) @[exu_mul_ctl.scala 137:112] + node _T_8485 = add(_T_8484, _T_8467) @[exu_mul_ctl.scala 137:112] + node _T_8486 = add(_T_8485, _T_8468) @[exu_mul_ctl.scala 137:112] + node _T_8487 = add(_T_8486, _T_8469) @[exu_mul_ctl.scala 137:112] + node _T_8488 = add(_T_8487, _T_8470) @[exu_mul_ctl.scala 137:112] + node _T_8489 = add(_T_8488, _T_8471) @[exu_mul_ctl.scala 137:112] + node _T_8490 = add(_T_8489, _T_8472) @[exu_mul_ctl.scala 137:112] + node _T_8491 = add(_T_8490, _T_8473) @[exu_mul_ctl.scala 137:112] + node _T_8492 = add(_T_8491, _T_8474) @[exu_mul_ctl.scala 137:112] + node _T_8493 = add(_T_8492, _T_8475) @[exu_mul_ctl.scala 137:112] + node _T_8494 = eq(_T_8493, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8495 = bits(_T_8494, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8496 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_8497 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8498 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8499 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8500 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8501 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8502 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8503 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8504 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8505 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8506 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8507 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8508 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8509 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8510 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8511 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8512 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8513 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8514 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8515 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8516 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8517 = add(_T_8497, _T_8498) @[exu_mul_ctl.scala 137:112] + node _T_8518 = add(_T_8517, _T_8499) @[exu_mul_ctl.scala 137:112] + node _T_8519 = add(_T_8518, _T_8500) @[exu_mul_ctl.scala 137:112] + node _T_8520 = add(_T_8519, _T_8501) @[exu_mul_ctl.scala 137:112] + node _T_8521 = add(_T_8520, _T_8502) @[exu_mul_ctl.scala 137:112] + node _T_8522 = add(_T_8521, _T_8503) @[exu_mul_ctl.scala 137:112] + node _T_8523 = add(_T_8522, _T_8504) @[exu_mul_ctl.scala 137:112] + node _T_8524 = add(_T_8523, _T_8505) @[exu_mul_ctl.scala 137:112] + node _T_8525 = add(_T_8524, _T_8506) @[exu_mul_ctl.scala 137:112] + node _T_8526 = add(_T_8525, _T_8507) @[exu_mul_ctl.scala 137:112] + node _T_8527 = add(_T_8526, _T_8508) @[exu_mul_ctl.scala 137:112] + node _T_8528 = add(_T_8527, _T_8509) @[exu_mul_ctl.scala 137:112] + node _T_8529 = add(_T_8528, _T_8510) @[exu_mul_ctl.scala 137:112] + node _T_8530 = add(_T_8529, _T_8511) @[exu_mul_ctl.scala 137:112] + node _T_8531 = add(_T_8530, _T_8512) @[exu_mul_ctl.scala 137:112] + node _T_8532 = add(_T_8531, _T_8513) @[exu_mul_ctl.scala 137:112] + node _T_8533 = add(_T_8532, _T_8514) @[exu_mul_ctl.scala 137:112] + node _T_8534 = add(_T_8533, _T_8515) @[exu_mul_ctl.scala 137:112] + node _T_8535 = add(_T_8534, _T_8516) @[exu_mul_ctl.scala 137:112] + node _T_8536 = eq(_T_8535, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8537 = bits(_T_8536, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8538 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_8539 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8540 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8541 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8542 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8543 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8544 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8545 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8546 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8547 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8548 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8549 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8550 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8551 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8552 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8553 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8554 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8555 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8556 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8557 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8558 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8559 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8560 = add(_T_8539, _T_8540) @[exu_mul_ctl.scala 137:112] + node _T_8561 = add(_T_8560, _T_8541) @[exu_mul_ctl.scala 137:112] + node _T_8562 = add(_T_8561, _T_8542) @[exu_mul_ctl.scala 137:112] + node _T_8563 = add(_T_8562, _T_8543) @[exu_mul_ctl.scala 137:112] + node _T_8564 = add(_T_8563, _T_8544) @[exu_mul_ctl.scala 137:112] + node _T_8565 = add(_T_8564, _T_8545) @[exu_mul_ctl.scala 137:112] + node _T_8566 = add(_T_8565, _T_8546) @[exu_mul_ctl.scala 137:112] + node _T_8567 = add(_T_8566, _T_8547) @[exu_mul_ctl.scala 137:112] + node _T_8568 = add(_T_8567, _T_8548) @[exu_mul_ctl.scala 137:112] + node _T_8569 = add(_T_8568, _T_8549) @[exu_mul_ctl.scala 137:112] + node _T_8570 = add(_T_8569, _T_8550) @[exu_mul_ctl.scala 137:112] + node _T_8571 = add(_T_8570, _T_8551) @[exu_mul_ctl.scala 137:112] + node _T_8572 = add(_T_8571, _T_8552) @[exu_mul_ctl.scala 137:112] + node _T_8573 = add(_T_8572, _T_8553) @[exu_mul_ctl.scala 137:112] + node _T_8574 = add(_T_8573, _T_8554) @[exu_mul_ctl.scala 137:112] + node _T_8575 = add(_T_8574, _T_8555) @[exu_mul_ctl.scala 137:112] + node _T_8576 = add(_T_8575, _T_8556) @[exu_mul_ctl.scala 137:112] + node _T_8577 = add(_T_8576, _T_8557) @[exu_mul_ctl.scala 137:112] + node _T_8578 = add(_T_8577, _T_8558) @[exu_mul_ctl.scala 137:112] + node _T_8579 = add(_T_8578, _T_8559) @[exu_mul_ctl.scala 137:112] + node _T_8580 = eq(_T_8579, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8581 = bits(_T_8580, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8582 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_8583 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8584 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8585 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8586 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8587 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8588 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8589 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8590 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8591 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8592 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8593 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8594 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8595 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8596 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8597 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8598 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8599 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8600 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8601 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8602 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8603 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8604 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8605 = add(_T_8583, _T_8584) @[exu_mul_ctl.scala 137:112] + node _T_8606 = add(_T_8605, _T_8585) @[exu_mul_ctl.scala 137:112] + node _T_8607 = add(_T_8606, _T_8586) @[exu_mul_ctl.scala 137:112] + node _T_8608 = add(_T_8607, _T_8587) @[exu_mul_ctl.scala 137:112] + node _T_8609 = add(_T_8608, _T_8588) @[exu_mul_ctl.scala 137:112] + node _T_8610 = add(_T_8609, _T_8589) @[exu_mul_ctl.scala 137:112] + node _T_8611 = add(_T_8610, _T_8590) @[exu_mul_ctl.scala 137:112] + node _T_8612 = add(_T_8611, _T_8591) @[exu_mul_ctl.scala 137:112] + node _T_8613 = add(_T_8612, _T_8592) @[exu_mul_ctl.scala 137:112] + node _T_8614 = add(_T_8613, _T_8593) @[exu_mul_ctl.scala 137:112] + node _T_8615 = add(_T_8614, _T_8594) @[exu_mul_ctl.scala 137:112] + node _T_8616 = add(_T_8615, _T_8595) @[exu_mul_ctl.scala 137:112] + node _T_8617 = add(_T_8616, _T_8596) @[exu_mul_ctl.scala 137:112] + node _T_8618 = add(_T_8617, _T_8597) @[exu_mul_ctl.scala 137:112] + node _T_8619 = add(_T_8618, _T_8598) @[exu_mul_ctl.scala 137:112] + node _T_8620 = add(_T_8619, _T_8599) @[exu_mul_ctl.scala 137:112] + node _T_8621 = add(_T_8620, _T_8600) @[exu_mul_ctl.scala 137:112] + node _T_8622 = add(_T_8621, _T_8601) @[exu_mul_ctl.scala 137:112] + node _T_8623 = add(_T_8622, _T_8602) @[exu_mul_ctl.scala 137:112] + node _T_8624 = add(_T_8623, _T_8603) @[exu_mul_ctl.scala 137:112] + node _T_8625 = add(_T_8624, _T_8604) @[exu_mul_ctl.scala 137:112] + node _T_8626 = eq(_T_8625, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8627 = bits(_T_8626, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8628 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_8629 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8630 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8631 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8632 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8633 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8634 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8635 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8636 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8637 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8638 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8639 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8640 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8641 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8642 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8643 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8644 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8645 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8646 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8647 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8648 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8649 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8650 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8651 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8652 = add(_T_8629, _T_8630) @[exu_mul_ctl.scala 137:112] + node _T_8653 = add(_T_8652, _T_8631) @[exu_mul_ctl.scala 137:112] + node _T_8654 = add(_T_8653, _T_8632) @[exu_mul_ctl.scala 137:112] + node _T_8655 = add(_T_8654, _T_8633) @[exu_mul_ctl.scala 137:112] + node _T_8656 = add(_T_8655, _T_8634) @[exu_mul_ctl.scala 137:112] + node _T_8657 = add(_T_8656, _T_8635) @[exu_mul_ctl.scala 137:112] + node _T_8658 = add(_T_8657, _T_8636) @[exu_mul_ctl.scala 137:112] + node _T_8659 = add(_T_8658, _T_8637) @[exu_mul_ctl.scala 137:112] + node _T_8660 = add(_T_8659, _T_8638) @[exu_mul_ctl.scala 137:112] + node _T_8661 = add(_T_8660, _T_8639) @[exu_mul_ctl.scala 137:112] + node _T_8662 = add(_T_8661, _T_8640) @[exu_mul_ctl.scala 137:112] + node _T_8663 = add(_T_8662, _T_8641) @[exu_mul_ctl.scala 137:112] + node _T_8664 = add(_T_8663, _T_8642) @[exu_mul_ctl.scala 137:112] + node _T_8665 = add(_T_8664, _T_8643) @[exu_mul_ctl.scala 137:112] + node _T_8666 = add(_T_8665, _T_8644) @[exu_mul_ctl.scala 137:112] + node _T_8667 = add(_T_8666, _T_8645) @[exu_mul_ctl.scala 137:112] + node _T_8668 = add(_T_8667, _T_8646) @[exu_mul_ctl.scala 137:112] + node _T_8669 = add(_T_8668, _T_8647) @[exu_mul_ctl.scala 137:112] + node _T_8670 = add(_T_8669, _T_8648) @[exu_mul_ctl.scala 137:112] + node _T_8671 = add(_T_8670, _T_8649) @[exu_mul_ctl.scala 137:112] + node _T_8672 = add(_T_8671, _T_8650) @[exu_mul_ctl.scala 137:112] + node _T_8673 = add(_T_8672, _T_8651) @[exu_mul_ctl.scala 137:112] + node _T_8674 = eq(_T_8673, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8675 = bits(_T_8674, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8676 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_8677 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8678 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8679 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8680 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8681 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8682 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8683 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8684 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8685 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8686 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8687 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8688 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8689 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8690 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8691 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8692 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8693 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8694 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8695 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8696 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8697 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8698 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8699 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8700 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8701 = add(_T_8677, _T_8678) @[exu_mul_ctl.scala 137:112] + node _T_8702 = add(_T_8701, _T_8679) @[exu_mul_ctl.scala 137:112] + node _T_8703 = add(_T_8702, _T_8680) @[exu_mul_ctl.scala 137:112] + node _T_8704 = add(_T_8703, _T_8681) @[exu_mul_ctl.scala 137:112] + node _T_8705 = add(_T_8704, _T_8682) @[exu_mul_ctl.scala 137:112] + node _T_8706 = add(_T_8705, _T_8683) @[exu_mul_ctl.scala 137:112] + node _T_8707 = add(_T_8706, _T_8684) @[exu_mul_ctl.scala 137:112] + node _T_8708 = add(_T_8707, _T_8685) @[exu_mul_ctl.scala 137:112] + node _T_8709 = add(_T_8708, _T_8686) @[exu_mul_ctl.scala 137:112] + node _T_8710 = add(_T_8709, _T_8687) @[exu_mul_ctl.scala 137:112] + node _T_8711 = add(_T_8710, _T_8688) @[exu_mul_ctl.scala 137:112] + node _T_8712 = add(_T_8711, _T_8689) @[exu_mul_ctl.scala 137:112] + node _T_8713 = add(_T_8712, _T_8690) @[exu_mul_ctl.scala 137:112] + node _T_8714 = add(_T_8713, _T_8691) @[exu_mul_ctl.scala 137:112] + node _T_8715 = add(_T_8714, _T_8692) @[exu_mul_ctl.scala 137:112] + node _T_8716 = add(_T_8715, _T_8693) @[exu_mul_ctl.scala 137:112] + node _T_8717 = add(_T_8716, _T_8694) @[exu_mul_ctl.scala 137:112] + node _T_8718 = add(_T_8717, _T_8695) @[exu_mul_ctl.scala 137:112] + node _T_8719 = add(_T_8718, _T_8696) @[exu_mul_ctl.scala 137:112] + node _T_8720 = add(_T_8719, _T_8697) @[exu_mul_ctl.scala 137:112] + node _T_8721 = add(_T_8720, _T_8698) @[exu_mul_ctl.scala 137:112] + node _T_8722 = add(_T_8721, _T_8699) @[exu_mul_ctl.scala 137:112] + node _T_8723 = add(_T_8722, _T_8700) @[exu_mul_ctl.scala 137:112] + node _T_8724 = eq(_T_8723, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8725 = bits(_T_8724, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8726 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_8727 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8728 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8729 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8730 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8731 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8732 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8733 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8734 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8735 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8736 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8737 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8738 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8739 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8740 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8741 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8742 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8743 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8744 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8745 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8746 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8747 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8748 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8749 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8750 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8751 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8752 = add(_T_8727, _T_8728) @[exu_mul_ctl.scala 137:112] + node _T_8753 = add(_T_8752, _T_8729) @[exu_mul_ctl.scala 137:112] + node _T_8754 = add(_T_8753, _T_8730) @[exu_mul_ctl.scala 137:112] + node _T_8755 = add(_T_8754, _T_8731) @[exu_mul_ctl.scala 137:112] + node _T_8756 = add(_T_8755, _T_8732) @[exu_mul_ctl.scala 137:112] + node _T_8757 = add(_T_8756, _T_8733) @[exu_mul_ctl.scala 137:112] + node _T_8758 = add(_T_8757, _T_8734) @[exu_mul_ctl.scala 137:112] + node _T_8759 = add(_T_8758, _T_8735) @[exu_mul_ctl.scala 137:112] + node _T_8760 = add(_T_8759, _T_8736) @[exu_mul_ctl.scala 137:112] + node _T_8761 = add(_T_8760, _T_8737) @[exu_mul_ctl.scala 137:112] + node _T_8762 = add(_T_8761, _T_8738) @[exu_mul_ctl.scala 137:112] + node _T_8763 = add(_T_8762, _T_8739) @[exu_mul_ctl.scala 137:112] + node _T_8764 = add(_T_8763, _T_8740) @[exu_mul_ctl.scala 137:112] + node _T_8765 = add(_T_8764, _T_8741) @[exu_mul_ctl.scala 137:112] + node _T_8766 = add(_T_8765, _T_8742) @[exu_mul_ctl.scala 137:112] + node _T_8767 = add(_T_8766, _T_8743) @[exu_mul_ctl.scala 137:112] + node _T_8768 = add(_T_8767, _T_8744) @[exu_mul_ctl.scala 137:112] + node _T_8769 = add(_T_8768, _T_8745) @[exu_mul_ctl.scala 137:112] + node _T_8770 = add(_T_8769, _T_8746) @[exu_mul_ctl.scala 137:112] + node _T_8771 = add(_T_8770, _T_8747) @[exu_mul_ctl.scala 137:112] + node _T_8772 = add(_T_8771, _T_8748) @[exu_mul_ctl.scala 137:112] + node _T_8773 = add(_T_8772, _T_8749) @[exu_mul_ctl.scala 137:112] + node _T_8774 = add(_T_8773, _T_8750) @[exu_mul_ctl.scala 137:112] + node _T_8775 = add(_T_8774, _T_8751) @[exu_mul_ctl.scala 137:112] + node _T_8776 = eq(_T_8775, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8777 = bits(_T_8776, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8778 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_8779 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8780 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8781 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8782 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8783 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8784 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8785 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8786 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8787 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8788 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8789 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8790 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8791 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8792 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8793 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8794 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8795 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8796 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8797 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8798 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8799 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8800 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8801 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8802 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8803 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8804 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_8805 = add(_T_8779, _T_8780) @[exu_mul_ctl.scala 137:112] + node _T_8806 = add(_T_8805, _T_8781) @[exu_mul_ctl.scala 137:112] + node _T_8807 = add(_T_8806, _T_8782) @[exu_mul_ctl.scala 137:112] + node _T_8808 = add(_T_8807, _T_8783) @[exu_mul_ctl.scala 137:112] + node _T_8809 = add(_T_8808, _T_8784) @[exu_mul_ctl.scala 137:112] + node _T_8810 = add(_T_8809, _T_8785) @[exu_mul_ctl.scala 137:112] + node _T_8811 = add(_T_8810, _T_8786) @[exu_mul_ctl.scala 137:112] + node _T_8812 = add(_T_8811, _T_8787) @[exu_mul_ctl.scala 137:112] + node _T_8813 = add(_T_8812, _T_8788) @[exu_mul_ctl.scala 137:112] + node _T_8814 = add(_T_8813, _T_8789) @[exu_mul_ctl.scala 137:112] + node _T_8815 = add(_T_8814, _T_8790) @[exu_mul_ctl.scala 137:112] + node _T_8816 = add(_T_8815, _T_8791) @[exu_mul_ctl.scala 137:112] + node _T_8817 = add(_T_8816, _T_8792) @[exu_mul_ctl.scala 137:112] + node _T_8818 = add(_T_8817, _T_8793) @[exu_mul_ctl.scala 137:112] + node _T_8819 = add(_T_8818, _T_8794) @[exu_mul_ctl.scala 137:112] + node _T_8820 = add(_T_8819, _T_8795) @[exu_mul_ctl.scala 137:112] + node _T_8821 = add(_T_8820, _T_8796) @[exu_mul_ctl.scala 137:112] + node _T_8822 = add(_T_8821, _T_8797) @[exu_mul_ctl.scala 137:112] + node _T_8823 = add(_T_8822, _T_8798) @[exu_mul_ctl.scala 137:112] + node _T_8824 = add(_T_8823, _T_8799) @[exu_mul_ctl.scala 137:112] + node _T_8825 = add(_T_8824, _T_8800) @[exu_mul_ctl.scala 137:112] + node _T_8826 = add(_T_8825, _T_8801) @[exu_mul_ctl.scala 137:112] + node _T_8827 = add(_T_8826, _T_8802) @[exu_mul_ctl.scala 137:112] + node _T_8828 = add(_T_8827, _T_8803) @[exu_mul_ctl.scala 137:112] + node _T_8829 = add(_T_8828, _T_8804) @[exu_mul_ctl.scala 137:112] + node _T_8830 = eq(_T_8829, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8831 = bits(_T_8830, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8832 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_8833 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8834 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8835 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8836 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8837 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8838 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8839 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8840 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8841 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8842 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8843 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8844 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8845 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8846 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8847 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8848 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8849 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8850 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8851 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8852 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8853 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8854 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8855 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8856 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8857 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8858 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_8859 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_8860 = add(_T_8833, _T_8834) @[exu_mul_ctl.scala 137:112] + node _T_8861 = add(_T_8860, _T_8835) @[exu_mul_ctl.scala 137:112] + node _T_8862 = add(_T_8861, _T_8836) @[exu_mul_ctl.scala 137:112] + node _T_8863 = add(_T_8862, _T_8837) @[exu_mul_ctl.scala 137:112] + node _T_8864 = add(_T_8863, _T_8838) @[exu_mul_ctl.scala 137:112] + node _T_8865 = add(_T_8864, _T_8839) @[exu_mul_ctl.scala 137:112] + node _T_8866 = add(_T_8865, _T_8840) @[exu_mul_ctl.scala 137:112] + node _T_8867 = add(_T_8866, _T_8841) @[exu_mul_ctl.scala 137:112] + node _T_8868 = add(_T_8867, _T_8842) @[exu_mul_ctl.scala 137:112] + node _T_8869 = add(_T_8868, _T_8843) @[exu_mul_ctl.scala 137:112] + node _T_8870 = add(_T_8869, _T_8844) @[exu_mul_ctl.scala 137:112] + node _T_8871 = add(_T_8870, _T_8845) @[exu_mul_ctl.scala 137:112] + node _T_8872 = add(_T_8871, _T_8846) @[exu_mul_ctl.scala 137:112] + node _T_8873 = add(_T_8872, _T_8847) @[exu_mul_ctl.scala 137:112] + node _T_8874 = add(_T_8873, _T_8848) @[exu_mul_ctl.scala 137:112] + node _T_8875 = add(_T_8874, _T_8849) @[exu_mul_ctl.scala 137:112] + node _T_8876 = add(_T_8875, _T_8850) @[exu_mul_ctl.scala 137:112] + node _T_8877 = add(_T_8876, _T_8851) @[exu_mul_ctl.scala 137:112] + node _T_8878 = add(_T_8877, _T_8852) @[exu_mul_ctl.scala 137:112] + node _T_8879 = add(_T_8878, _T_8853) @[exu_mul_ctl.scala 137:112] + node _T_8880 = add(_T_8879, _T_8854) @[exu_mul_ctl.scala 137:112] + node _T_8881 = add(_T_8880, _T_8855) @[exu_mul_ctl.scala 137:112] + node _T_8882 = add(_T_8881, _T_8856) @[exu_mul_ctl.scala 137:112] + node _T_8883 = add(_T_8882, _T_8857) @[exu_mul_ctl.scala 137:112] + node _T_8884 = add(_T_8883, _T_8858) @[exu_mul_ctl.scala 137:112] + node _T_8885 = add(_T_8884, _T_8859) @[exu_mul_ctl.scala 137:112] + node _T_8886 = eq(_T_8885, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8887 = bits(_T_8886, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8888 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_8889 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8890 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8891 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8892 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8893 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8894 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8895 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8896 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8897 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8898 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8899 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8900 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8901 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8902 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8903 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8904 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8905 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8906 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8907 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8908 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8909 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8910 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8911 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8912 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8913 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8914 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_8915 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_8916 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_8917 = add(_T_8889, _T_8890) @[exu_mul_ctl.scala 137:112] + node _T_8918 = add(_T_8917, _T_8891) @[exu_mul_ctl.scala 137:112] + node _T_8919 = add(_T_8918, _T_8892) @[exu_mul_ctl.scala 137:112] + node _T_8920 = add(_T_8919, _T_8893) @[exu_mul_ctl.scala 137:112] + node _T_8921 = add(_T_8920, _T_8894) @[exu_mul_ctl.scala 137:112] + node _T_8922 = add(_T_8921, _T_8895) @[exu_mul_ctl.scala 137:112] + node _T_8923 = add(_T_8922, _T_8896) @[exu_mul_ctl.scala 137:112] + node _T_8924 = add(_T_8923, _T_8897) @[exu_mul_ctl.scala 137:112] + node _T_8925 = add(_T_8924, _T_8898) @[exu_mul_ctl.scala 137:112] + node _T_8926 = add(_T_8925, _T_8899) @[exu_mul_ctl.scala 137:112] + node _T_8927 = add(_T_8926, _T_8900) @[exu_mul_ctl.scala 137:112] + node _T_8928 = add(_T_8927, _T_8901) @[exu_mul_ctl.scala 137:112] + node _T_8929 = add(_T_8928, _T_8902) @[exu_mul_ctl.scala 137:112] + node _T_8930 = add(_T_8929, _T_8903) @[exu_mul_ctl.scala 137:112] + node _T_8931 = add(_T_8930, _T_8904) @[exu_mul_ctl.scala 137:112] + node _T_8932 = add(_T_8931, _T_8905) @[exu_mul_ctl.scala 137:112] + node _T_8933 = add(_T_8932, _T_8906) @[exu_mul_ctl.scala 137:112] + node _T_8934 = add(_T_8933, _T_8907) @[exu_mul_ctl.scala 137:112] + node _T_8935 = add(_T_8934, _T_8908) @[exu_mul_ctl.scala 137:112] + node _T_8936 = add(_T_8935, _T_8909) @[exu_mul_ctl.scala 137:112] + node _T_8937 = add(_T_8936, _T_8910) @[exu_mul_ctl.scala 137:112] + node _T_8938 = add(_T_8937, _T_8911) @[exu_mul_ctl.scala 137:112] + node _T_8939 = add(_T_8938, _T_8912) @[exu_mul_ctl.scala 137:112] + node _T_8940 = add(_T_8939, _T_8913) @[exu_mul_ctl.scala 137:112] + node _T_8941 = add(_T_8940, _T_8914) @[exu_mul_ctl.scala 137:112] + node _T_8942 = add(_T_8941, _T_8915) @[exu_mul_ctl.scala 137:112] + node _T_8943 = add(_T_8942, _T_8916) @[exu_mul_ctl.scala 137:112] + node _T_8944 = eq(_T_8943, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8945 = bits(_T_8944, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8946 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_8947 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8948 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8949 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8950 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8951 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8952 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8953 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8954 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8955 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8956 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8957 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8958 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8959 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8960 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8961 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8962 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8963 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8964 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8965 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8966 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8967 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8968 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8969 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8970 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8971 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8972 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_8973 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_8974 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_8975 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_8976 = add(_T_8947, _T_8948) @[exu_mul_ctl.scala 137:112] + node _T_8977 = add(_T_8976, _T_8949) @[exu_mul_ctl.scala 137:112] + node _T_8978 = add(_T_8977, _T_8950) @[exu_mul_ctl.scala 137:112] + node _T_8979 = add(_T_8978, _T_8951) @[exu_mul_ctl.scala 137:112] + node _T_8980 = add(_T_8979, _T_8952) @[exu_mul_ctl.scala 137:112] + node _T_8981 = add(_T_8980, _T_8953) @[exu_mul_ctl.scala 137:112] + node _T_8982 = add(_T_8981, _T_8954) @[exu_mul_ctl.scala 137:112] + node _T_8983 = add(_T_8982, _T_8955) @[exu_mul_ctl.scala 137:112] + node _T_8984 = add(_T_8983, _T_8956) @[exu_mul_ctl.scala 137:112] + node _T_8985 = add(_T_8984, _T_8957) @[exu_mul_ctl.scala 137:112] + node _T_8986 = add(_T_8985, _T_8958) @[exu_mul_ctl.scala 137:112] + node _T_8987 = add(_T_8986, _T_8959) @[exu_mul_ctl.scala 137:112] + node _T_8988 = add(_T_8987, _T_8960) @[exu_mul_ctl.scala 137:112] + node _T_8989 = add(_T_8988, _T_8961) @[exu_mul_ctl.scala 137:112] + node _T_8990 = add(_T_8989, _T_8962) @[exu_mul_ctl.scala 137:112] + node _T_8991 = add(_T_8990, _T_8963) @[exu_mul_ctl.scala 137:112] + node _T_8992 = add(_T_8991, _T_8964) @[exu_mul_ctl.scala 137:112] + node _T_8993 = add(_T_8992, _T_8965) @[exu_mul_ctl.scala 137:112] + node _T_8994 = add(_T_8993, _T_8966) @[exu_mul_ctl.scala 137:112] + node _T_8995 = add(_T_8994, _T_8967) @[exu_mul_ctl.scala 137:112] + node _T_8996 = add(_T_8995, _T_8968) @[exu_mul_ctl.scala 137:112] + node _T_8997 = add(_T_8996, _T_8969) @[exu_mul_ctl.scala 137:112] + node _T_8998 = add(_T_8997, _T_8970) @[exu_mul_ctl.scala 137:112] + node _T_8999 = add(_T_8998, _T_8971) @[exu_mul_ctl.scala 137:112] + node _T_9000 = add(_T_8999, _T_8972) @[exu_mul_ctl.scala 137:112] + node _T_9001 = add(_T_9000, _T_8973) @[exu_mul_ctl.scala 137:112] + node _T_9002 = add(_T_9001, _T_8974) @[exu_mul_ctl.scala 137:112] + node _T_9003 = add(_T_9002, _T_8975) @[exu_mul_ctl.scala 137:112] + node _T_9004 = eq(_T_9003, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_9005 = bits(_T_9004, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9006 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_9007 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9008 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9009 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9010 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9011 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9012 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9013 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9014 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9015 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9016 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9017 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9018 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9019 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9020 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9021 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9022 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9023 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9024 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9025 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9026 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9027 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9028 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9029 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9030 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9031 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_9032 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_9033 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_9034 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_9035 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_9036 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_9037 = add(_T_9007, _T_9008) @[exu_mul_ctl.scala 137:112] + node _T_9038 = add(_T_9037, _T_9009) @[exu_mul_ctl.scala 137:112] + node _T_9039 = add(_T_9038, _T_9010) @[exu_mul_ctl.scala 137:112] + node _T_9040 = add(_T_9039, _T_9011) @[exu_mul_ctl.scala 137:112] + node _T_9041 = add(_T_9040, _T_9012) @[exu_mul_ctl.scala 137:112] + node _T_9042 = add(_T_9041, _T_9013) @[exu_mul_ctl.scala 137:112] + node _T_9043 = add(_T_9042, _T_9014) @[exu_mul_ctl.scala 137:112] + node _T_9044 = add(_T_9043, _T_9015) @[exu_mul_ctl.scala 137:112] + node _T_9045 = add(_T_9044, _T_9016) @[exu_mul_ctl.scala 137:112] + node _T_9046 = add(_T_9045, _T_9017) @[exu_mul_ctl.scala 137:112] + node _T_9047 = add(_T_9046, _T_9018) @[exu_mul_ctl.scala 137:112] + node _T_9048 = add(_T_9047, _T_9019) @[exu_mul_ctl.scala 137:112] + node _T_9049 = add(_T_9048, _T_9020) @[exu_mul_ctl.scala 137:112] + node _T_9050 = add(_T_9049, _T_9021) @[exu_mul_ctl.scala 137:112] + node _T_9051 = add(_T_9050, _T_9022) @[exu_mul_ctl.scala 137:112] + node _T_9052 = add(_T_9051, _T_9023) @[exu_mul_ctl.scala 137:112] + node _T_9053 = add(_T_9052, _T_9024) @[exu_mul_ctl.scala 137:112] + node _T_9054 = add(_T_9053, _T_9025) @[exu_mul_ctl.scala 137:112] + node _T_9055 = add(_T_9054, _T_9026) @[exu_mul_ctl.scala 137:112] + node _T_9056 = add(_T_9055, _T_9027) @[exu_mul_ctl.scala 137:112] + node _T_9057 = add(_T_9056, _T_9028) @[exu_mul_ctl.scala 137:112] + node _T_9058 = add(_T_9057, _T_9029) @[exu_mul_ctl.scala 137:112] + node _T_9059 = add(_T_9058, _T_9030) @[exu_mul_ctl.scala 137:112] + node _T_9060 = add(_T_9059, _T_9031) @[exu_mul_ctl.scala 137:112] + node _T_9061 = add(_T_9060, _T_9032) @[exu_mul_ctl.scala 137:112] + node _T_9062 = add(_T_9061, _T_9033) @[exu_mul_ctl.scala 137:112] + node _T_9063 = add(_T_9062, _T_9034) @[exu_mul_ctl.scala 137:112] + node _T_9064 = add(_T_9063, _T_9035) @[exu_mul_ctl.scala 137:112] + node _T_9065 = add(_T_9064, _T_9036) @[exu_mul_ctl.scala 137:112] + node _T_9066 = eq(_T_9065, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_9067 = bits(_T_9066, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9068 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_9069 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9070 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9071 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9072 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9073 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9074 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9075 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9076 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9077 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9078 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9079 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9080 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9081 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9082 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9083 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9084 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9085 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9086 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9087 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9088 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9089 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9090 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9091 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9092 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9093 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_9094 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_9095 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_9096 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_9097 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_9098 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_9099 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_9100 = add(_T_9069, _T_9070) @[exu_mul_ctl.scala 137:112] + node _T_9101 = add(_T_9100, _T_9071) @[exu_mul_ctl.scala 137:112] + node _T_9102 = add(_T_9101, _T_9072) @[exu_mul_ctl.scala 137:112] + node _T_9103 = add(_T_9102, _T_9073) @[exu_mul_ctl.scala 137:112] + node _T_9104 = add(_T_9103, _T_9074) @[exu_mul_ctl.scala 137:112] + node _T_9105 = add(_T_9104, _T_9075) @[exu_mul_ctl.scala 137:112] + node _T_9106 = add(_T_9105, _T_9076) @[exu_mul_ctl.scala 137:112] + node _T_9107 = add(_T_9106, _T_9077) @[exu_mul_ctl.scala 137:112] + node _T_9108 = add(_T_9107, _T_9078) @[exu_mul_ctl.scala 137:112] + node _T_9109 = add(_T_9108, _T_9079) @[exu_mul_ctl.scala 137:112] + node _T_9110 = add(_T_9109, _T_9080) @[exu_mul_ctl.scala 137:112] + node _T_9111 = add(_T_9110, _T_9081) @[exu_mul_ctl.scala 137:112] + node _T_9112 = add(_T_9111, _T_9082) @[exu_mul_ctl.scala 137:112] + node _T_9113 = add(_T_9112, _T_9083) @[exu_mul_ctl.scala 137:112] + node _T_9114 = add(_T_9113, _T_9084) @[exu_mul_ctl.scala 137:112] + node _T_9115 = add(_T_9114, _T_9085) @[exu_mul_ctl.scala 137:112] + node _T_9116 = add(_T_9115, _T_9086) @[exu_mul_ctl.scala 137:112] + node _T_9117 = add(_T_9116, _T_9087) @[exu_mul_ctl.scala 137:112] + node _T_9118 = add(_T_9117, _T_9088) @[exu_mul_ctl.scala 137:112] + node _T_9119 = add(_T_9118, _T_9089) @[exu_mul_ctl.scala 137:112] + node _T_9120 = add(_T_9119, _T_9090) @[exu_mul_ctl.scala 137:112] + node _T_9121 = add(_T_9120, _T_9091) @[exu_mul_ctl.scala 137:112] + node _T_9122 = add(_T_9121, _T_9092) @[exu_mul_ctl.scala 137:112] + node _T_9123 = add(_T_9122, _T_9093) @[exu_mul_ctl.scala 137:112] + node _T_9124 = add(_T_9123, _T_9094) @[exu_mul_ctl.scala 137:112] + node _T_9125 = add(_T_9124, _T_9095) @[exu_mul_ctl.scala 137:112] + node _T_9126 = add(_T_9125, _T_9096) @[exu_mul_ctl.scala 137:112] + node _T_9127 = add(_T_9126, _T_9097) @[exu_mul_ctl.scala 137:112] + node _T_9128 = add(_T_9127, _T_9098) @[exu_mul_ctl.scala 137:112] + node _T_9129 = add(_T_9128, _T_9099) @[exu_mul_ctl.scala 137:112] + node _T_9130 = eq(_T_9129, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_9131 = bits(_T_9130, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9132 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_9133 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9134 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9135 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9136 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9137 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9138 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9139 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9140 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9141 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9142 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9143 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9144 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9145 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9146 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9147 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9148 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9149 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9150 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9151 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9152 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9153 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9154 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9155 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9156 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9157 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_9158 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_9159 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_9160 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_9161 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_9162 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_9163 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_9164 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_9165 = add(_T_9133, _T_9134) @[exu_mul_ctl.scala 137:112] + node _T_9166 = add(_T_9165, _T_9135) @[exu_mul_ctl.scala 137:112] + node _T_9167 = add(_T_9166, _T_9136) @[exu_mul_ctl.scala 137:112] + node _T_9168 = add(_T_9167, _T_9137) @[exu_mul_ctl.scala 137:112] + node _T_9169 = add(_T_9168, _T_9138) @[exu_mul_ctl.scala 137:112] + node _T_9170 = add(_T_9169, _T_9139) @[exu_mul_ctl.scala 137:112] + node _T_9171 = add(_T_9170, _T_9140) @[exu_mul_ctl.scala 137:112] + node _T_9172 = add(_T_9171, _T_9141) @[exu_mul_ctl.scala 137:112] + node _T_9173 = add(_T_9172, _T_9142) @[exu_mul_ctl.scala 137:112] + node _T_9174 = add(_T_9173, _T_9143) @[exu_mul_ctl.scala 137:112] + node _T_9175 = add(_T_9174, _T_9144) @[exu_mul_ctl.scala 137:112] + node _T_9176 = add(_T_9175, _T_9145) @[exu_mul_ctl.scala 137:112] + node _T_9177 = add(_T_9176, _T_9146) @[exu_mul_ctl.scala 137:112] + node _T_9178 = add(_T_9177, _T_9147) @[exu_mul_ctl.scala 137:112] + node _T_9179 = add(_T_9178, _T_9148) @[exu_mul_ctl.scala 137:112] + node _T_9180 = add(_T_9179, _T_9149) @[exu_mul_ctl.scala 137:112] + node _T_9181 = add(_T_9180, _T_9150) @[exu_mul_ctl.scala 137:112] + node _T_9182 = add(_T_9181, _T_9151) @[exu_mul_ctl.scala 137:112] + node _T_9183 = add(_T_9182, _T_9152) @[exu_mul_ctl.scala 137:112] + node _T_9184 = add(_T_9183, _T_9153) @[exu_mul_ctl.scala 137:112] + node _T_9185 = add(_T_9184, _T_9154) @[exu_mul_ctl.scala 137:112] + node _T_9186 = add(_T_9185, _T_9155) @[exu_mul_ctl.scala 137:112] + node _T_9187 = add(_T_9186, _T_9156) @[exu_mul_ctl.scala 137:112] + node _T_9188 = add(_T_9187, _T_9157) @[exu_mul_ctl.scala 137:112] + node _T_9189 = add(_T_9188, _T_9158) @[exu_mul_ctl.scala 137:112] + node _T_9190 = add(_T_9189, _T_9159) @[exu_mul_ctl.scala 137:112] + node _T_9191 = add(_T_9190, _T_9160) @[exu_mul_ctl.scala 137:112] + node _T_9192 = add(_T_9191, _T_9161) @[exu_mul_ctl.scala 137:112] + node _T_9193 = add(_T_9192, _T_9162) @[exu_mul_ctl.scala 137:112] + node _T_9194 = add(_T_9193, _T_9163) @[exu_mul_ctl.scala 137:112] + node _T_9195 = add(_T_9194, _T_9164) @[exu_mul_ctl.scala 137:112] + node _T_9196 = eq(_T_9195, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_9197 = bits(_T_9196, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9198 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_9199 = mux(_T_9197, _T_9198, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_9200 = mux(_T_9131, _T_9132, _T_9199) @[Mux.scala 98:16] + node _T_9201 = mux(_T_9067, _T_9068, _T_9200) @[Mux.scala 98:16] + node _T_9202 = mux(_T_9005, _T_9006, _T_9201) @[Mux.scala 98:16] + node _T_9203 = mux(_T_8945, _T_8946, _T_9202) @[Mux.scala 98:16] + node _T_9204 = mux(_T_8887, _T_8888, _T_9203) @[Mux.scala 98:16] + node _T_9205 = mux(_T_8831, _T_8832, _T_9204) @[Mux.scala 98:16] + node _T_9206 = mux(_T_8777, _T_8778, _T_9205) @[Mux.scala 98:16] + node _T_9207 = mux(_T_8725, _T_8726, _T_9206) @[Mux.scala 98:16] + node _T_9208 = mux(_T_8675, _T_8676, _T_9207) @[Mux.scala 98:16] + node _T_9209 = mux(_T_8627, _T_8628, _T_9208) @[Mux.scala 98:16] + node _T_9210 = mux(_T_8581, _T_8582, _T_9209) @[Mux.scala 98:16] + node _T_9211 = mux(_T_8537, _T_8538, _T_9210) @[Mux.scala 98:16] + node _T_9212 = mux(_T_8495, _T_8496, _T_9211) @[Mux.scala 98:16] + node _T_9213 = mux(_T_8455, _T_8456, _T_9212) @[Mux.scala 98:16] + node _T_9214 = mux(_T_8417, _T_8418, _T_9213) @[Mux.scala 98:16] + node _T_9215 = mux(_T_8381, _T_8382, _T_9214) @[Mux.scala 98:16] + node _T_9216 = mux(_T_8347, _T_8348, _T_9215) @[Mux.scala 98:16] + node _T_9217 = mux(_T_8315, _T_8316, _T_9216) @[Mux.scala 98:16] + node _T_9218 = mux(_T_8285, _T_8286, _T_9217) @[Mux.scala 98:16] + node _T_9219 = mux(_T_8257, _T_8258, _T_9218) @[Mux.scala 98:16] + node _T_9220 = mux(_T_8231, _T_8232, _T_9219) @[Mux.scala 98:16] + node _T_9221 = mux(_T_8207, _T_8208, _T_9220) @[Mux.scala 98:16] + node _T_9222 = mux(_T_8185, _T_8186, _T_9221) @[Mux.scala 98:16] + node _T_9223 = mux(_T_8165, _T_8166, _T_9222) @[Mux.scala 98:16] + node _T_9224 = mux(_T_8147, _T_8148, _T_9223) @[Mux.scala 98:16] + node _T_9225 = mux(_T_8131, _T_8132, _T_9224) @[Mux.scala 98:16] + node _T_9226 = mux(_T_8117, _T_8118, _T_9225) @[Mux.scala 98:16] + node _T_9227 = mux(_T_8105, _T_8106, _T_9226) @[Mux.scala 98:16] + node _T_9228 = mux(_T_8095, _T_8096, _T_9227) @[Mux.scala 98:16] + node _T_9229 = mux(_T_8087, _T_8088, _T_9228) @[Mux.scala 98:16] + node _T_9230 = mux(_T_8081, _T_8082, _T_9229) @[Mux.scala 98:16] + node _T_9231 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_9232 = eq(_T_9231, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9233 = bits(_T_9232, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9234 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_9235 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9236 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9237 = add(_T_9235, _T_9236) @[exu_mul_ctl.scala 137:112] + node _T_9238 = eq(_T_9237, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9239 = bits(_T_9238, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9240 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_9241 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9242 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9243 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9244 = add(_T_9241, _T_9242) @[exu_mul_ctl.scala 137:112] + node _T_9245 = add(_T_9244, _T_9243) @[exu_mul_ctl.scala 137:112] + node _T_9246 = eq(_T_9245, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9247 = bits(_T_9246, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9248 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_9249 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9250 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9251 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9252 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9253 = add(_T_9249, _T_9250) @[exu_mul_ctl.scala 137:112] + node _T_9254 = add(_T_9253, _T_9251) @[exu_mul_ctl.scala 137:112] + node _T_9255 = add(_T_9254, _T_9252) @[exu_mul_ctl.scala 137:112] + node _T_9256 = eq(_T_9255, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9257 = bits(_T_9256, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9258 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_9259 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9260 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9261 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9262 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9263 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9264 = add(_T_9259, _T_9260) @[exu_mul_ctl.scala 137:112] + node _T_9265 = add(_T_9264, _T_9261) @[exu_mul_ctl.scala 137:112] + node _T_9266 = add(_T_9265, _T_9262) @[exu_mul_ctl.scala 137:112] + node _T_9267 = add(_T_9266, _T_9263) @[exu_mul_ctl.scala 137:112] + node _T_9268 = eq(_T_9267, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9269 = bits(_T_9268, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9270 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_9271 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9272 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9273 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9274 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9275 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9276 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9277 = add(_T_9271, _T_9272) @[exu_mul_ctl.scala 137:112] + node _T_9278 = add(_T_9277, _T_9273) @[exu_mul_ctl.scala 137:112] + node _T_9279 = add(_T_9278, _T_9274) @[exu_mul_ctl.scala 137:112] + node _T_9280 = add(_T_9279, _T_9275) @[exu_mul_ctl.scala 137:112] + node _T_9281 = add(_T_9280, _T_9276) @[exu_mul_ctl.scala 137:112] + node _T_9282 = eq(_T_9281, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9283 = bits(_T_9282, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9284 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_9285 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9286 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9287 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9288 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9289 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9290 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9291 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9292 = add(_T_9285, _T_9286) @[exu_mul_ctl.scala 137:112] + node _T_9293 = add(_T_9292, _T_9287) @[exu_mul_ctl.scala 137:112] + node _T_9294 = add(_T_9293, _T_9288) @[exu_mul_ctl.scala 137:112] + node _T_9295 = add(_T_9294, _T_9289) @[exu_mul_ctl.scala 137:112] + node _T_9296 = add(_T_9295, _T_9290) @[exu_mul_ctl.scala 137:112] + node _T_9297 = add(_T_9296, _T_9291) @[exu_mul_ctl.scala 137:112] + node _T_9298 = eq(_T_9297, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9299 = bits(_T_9298, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9300 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_9301 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9302 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9303 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9304 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9305 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9306 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9307 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9308 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9309 = add(_T_9301, _T_9302) @[exu_mul_ctl.scala 137:112] + node _T_9310 = add(_T_9309, _T_9303) @[exu_mul_ctl.scala 137:112] + node _T_9311 = add(_T_9310, _T_9304) @[exu_mul_ctl.scala 137:112] + node _T_9312 = add(_T_9311, _T_9305) @[exu_mul_ctl.scala 137:112] + node _T_9313 = add(_T_9312, _T_9306) @[exu_mul_ctl.scala 137:112] + node _T_9314 = add(_T_9313, _T_9307) @[exu_mul_ctl.scala 137:112] + node _T_9315 = add(_T_9314, _T_9308) @[exu_mul_ctl.scala 137:112] + node _T_9316 = eq(_T_9315, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9317 = bits(_T_9316, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9318 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_9319 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9320 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9321 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9322 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9323 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9324 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9325 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9326 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9327 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9328 = add(_T_9319, _T_9320) @[exu_mul_ctl.scala 137:112] + node _T_9329 = add(_T_9328, _T_9321) @[exu_mul_ctl.scala 137:112] + node _T_9330 = add(_T_9329, _T_9322) @[exu_mul_ctl.scala 137:112] + node _T_9331 = add(_T_9330, _T_9323) @[exu_mul_ctl.scala 137:112] + node _T_9332 = add(_T_9331, _T_9324) @[exu_mul_ctl.scala 137:112] + node _T_9333 = add(_T_9332, _T_9325) @[exu_mul_ctl.scala 137:112] + node _T_9334 = add(_T_9333, _T_9326) @[exu_mul_ctl.scala 137:112] + node _T_9335 = add(_T_9334, _T_9327) @[exu_mul_ctl.scala 137:112] + node _T_9336 = eq(_T_9335, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9337 = bits(_T_9336, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9338 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_9339 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9340 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9341 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9342 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9343 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9344 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9345 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9346 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9347 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9348 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9349 = add(_T_9339, _T_9340) @[exu_mul_ctl.scala 137:112] + node _T_9350 = add(_T_9349, _T_9341) @[exu_mul_ctl.scala 137:112] + node _T_9351 = add(_T_9350, _T_9342) @[exu_mul_ctl.scala 137:112] + node _T_9352 = add(_T_9351, _T_9343) @[exu_mul_ctl.scala 137:112] + node _T_9353 = add(_T_9352, _T_9344) @[exu_mul_ctl.scala 137:112] + node _T_9354 = add(_T_9353, _T_9345) @[exu_mul_ctl.scala 137:112] + node _T_9355 = add(_T_9354, _T_9346) @[exu_mul_ctl.scala 137:112] + node _T_9356 = add(_T_9355, _T_9347) @[exu_mul_ctl.scala 137:112] + node _T_9357 = add(_T_9356, _T_9348) @[exu_mul_ctl.scala 137:112] + node _T_9358 = eq(_T_9357, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9359 = bits(_T_9358, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9360 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_9361 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9362 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9363 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9364 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9365 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9366 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9367 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9368 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9369 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9370 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9371 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9372 = add(_T_9361, _T_9362) @[exu_mul_ctl.scala 137:112] + node _T_9373 = add(_T_9372, _T_9363) @[exu_mul_ctl.scala 137:112] + node _T_9374 = add(_T_9373, _T_9364) @[exu_mul_ctl.scala 137:112] + node _T_9375 = add(_T_9374, _T_9365) @[exu_mul_ctl.scala 137:112] + node _T_9376 = add(_T_9375, _T_9366) @[exu_mul_ctl.scala 137:112] + node _T_9377 = add(_T_9376, _T_9367) @[exu_mul_ctl.scala 137:112] + node _T_9378 = add(_T_9377, _T_9368) @[exu_mul_ctl.scala 137:112] + node _T_9379 = add(_T_9378, _T_9369) @[exu_mul_ctl.scala 137:112] + node _T_9380 = add(_T_9379, _T_9370) @[exu_mul_ctl.scala 137:112] + node _T_9381 = add(_T_9380, _T_9371) @[exu_mul_ctl.scala 137:112] + node _T_9382 = eq(_T_9381, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9383 = bits(_T_9382, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9384 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_9385 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9386 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9387 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9388 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9389 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9390 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9391 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9392 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9393 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9394 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9395 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9396 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9397 = add(_T_9385, _T_9386) @[exu_mul_ctl.scala 137:112] + node _T_9398 = add(_T_9397, _T_9387) @[exu_mul_ctl.scala 137:112] + node _T_9399 = add(_T_9398, _T_9388) @[exu_mul_ctl.scala 137:112] + node _T_9400 = add(_T_9399, _T_9389) @[exu_mul_ctl.scala 137:112] + node _T_9401 = add(_T_9400, _T_9390) @[exu_mul_ctl.scala 137:112] + node _T_9402 = add(_T_9401, _T_9391) @[exu_mul_ctl.scala 137:112] + node _T_9403 = add(_T_9402, _T_9392) @[exu_mul_ctl.scala 137:112] + node _T_9404 = add(_T_9403, _T_9393) @[exu_mul_ctl.scala 137:112] + node _T_9405 = add(_T_9404, _T_9394) @[exu_mul_ctl.scala 137:112] + node _T_9406 = add(_T_9405, _T_9395) @[exu_mul_ctl.scala 137:112] + node _T_9407 = add(_T_9406, _T_9396) @[exu_mul_ctl.scala 137:112] + node _T_9408 = eq(_T_9407, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9409 = bits(_T_9408, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9410 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_9411 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9412 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9413 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9414 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9415 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9416 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9417 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9418 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9419 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9420 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9421 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9422 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9423 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9424 = add(_T_9411, _T_9412) @[exu_mul_ctl.scala 137:112] + node _T_9425 = add(_T_9424, _T_9413) @[exu_mul_ctl.scala 137:112] + node _T_9426 = add(_T_9425, _T_9414) @[exu_mul_ctl.scala 137:112] + node _T_9427 = add(_T_9426, _T_9415) @[exu_mul_ctl.scala 137:112] + node _T_9428 = add(_T_9427, _T_9416) @[exu_mul_ctl.scala 137:112] + node _T_9429 = add(_T_9428, _T_9417) @[exu_mul_ctl.scala 137:112] + node _T_9430 = add(_T_9429, _T_9418) @[exu_mul_ctl.scala 137:112] + node _T_9431 = add(_T_9430, _T_9419) @[exu_mul_ctl.scala 137:112] + node _T_9432 = add(_T_9431, _T_9420) @[exu_mul_ctl.scala 137:112] + node _T_9433 = add(_T_9432, _T_9421) @[exu_mul_ctl.scala 137:112] + node _T_9434 = add(_T_9433, _T_9422) @[exu_mul_ctl.scala 137:112] + node _T_9435 = add(_T_9434, _T_9423) @[exu_mul_ctl.scala 137:112] + node _T_9436 = eq(_T_9435, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9437 = bits(_T_9436, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9438 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_9439 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9440 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9441 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9442 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9443 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9444 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9445 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9446 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9447 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9448 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9449 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9450 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9451 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9452 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9453 = add(_T_9439, _T_9440) @[exu_mul_ctl.scala 137:112] + node _T_9454 = add(_T_9453, _T_9441) @[exu_mul_ctl.scala 137:112] + node _T_9455 = add(_T_9454, _T_9442) @[exu_mul_ctl.scala 137:112] + node _T_9456 = add(_T_9455, _T_9443) @[exu_mul_ctl.scala 137:112] + node _T_9457 = add(_T_9456, _T_9444) @[exu_mul_ctl.scala 137:112] + node _T_9458 = add(_T_9457, _T_9445) @[exu_mul_ctl.scala 137:112] + node _T_9459 = add(_T_9458, _T_9446) @[exu_mul_ctl.scala 137:112] + node _T_9460 = add(_T_9459, _T_9447) @[exu_mul_ctl.scala 137:112] + node _T_9461 = add(_T_9460, _T_9448) @[exu_mul_ctl.scala 137:112] + node _T_9462 = add(_T_9461, _T_9449) @[exu_mul_ctl.scala 137:112] + node _T_9463 = add(_T_9462, _T_9450) @[exu_mul_ctl.scala 137:112] + node _T_9464 = add(_T_9463, _T_9451) @[exu_mul_ctl.scala 137:112] + node _T_9465 = add(_T_9464, _T_9452) @[exu_mul_ctl.scala 137:112] + node _T_9466 = eq(_T_9465, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9467 = bits(_T_9466, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9468 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_9469 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9470 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9471 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9472 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9473 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9474 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9475 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9476 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9477 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9478 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9479 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9480 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9481 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9482 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9483 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9484 = add(_T_9469, _T_9470) @[exu_mul_ctl.scala 137:112] + node _T_9485 = add(_T_9484, _T_9471) @[exu_mul_ctl.scala 137:112] + node _T_9486 = add(_T_9485, _T_9472) @[exu_mul_ctl.scala 137:112] + node _T_9487 = add(_T_9486, _T_9473) @[exu_mul_ctl.scala 137:112] + node _T_9488 = add(_T_9487, _T_9474) @[exu_mul_ctl.scala 137:112] + node _T_9489 = add(_T_9488, _T_9475) @[exu_mul_ctl.scala 137:112] + node _T_9490 = add(_T_9489, _T_9476) @[exu_mul_ctl.scala 137:112] + node _T_9491 = add(_T_9490, _T_9477) @[exu_mul_ctl.scala 137:112] + node _T_9492 = add(_T_9491, _T_9478) @[exu_mul_ctl.scala 137:112] + node _T_9493 = add(_T_9492, _T_9479) @[exu_mul_ctl.scala 137:112] + node _T_9494 = add(_T_9493, _T_9480) @[exu_mul_ctl.scala 137:112] + node _T_9495 = add(_T_9494, _T_9481) @[exu_mul_ctl.scala 137:112] + node _T_9496 = add(_T_9495, _T_9482) @[exu_mul_ctl.scala 137:112] + node _T_9497 = add(_T_9496, _T_9483) @[exu_mul_ctl.scala 137:112] + node _T_9498 = eq(_T_9497, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9499 = bits(_T_9498, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9500 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_9501 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9502 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9503 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9504 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9505 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9506 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9507 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9508 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9509 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9510 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9511 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9512 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9513 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9514 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9515 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9516 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9517 = add(_T_9501, _T_9502) @[exu_mul_ctl.scala 137:112] + node _T_9518 = add(_T_9517, _T_9503) @[exu_mul_ctl.scala 137:112] + node _T_9519 = add(_T_9518, _T_9504) @[exu_mul_ctl.scala 137:112] + node _T_9520 = add(_T_9519, _T_9505) @[exu_mul_ctl.scala 137:112] + node _T_9521 = add(_T_9520, _T_9506) @[exu_mul_ctl.scala 137:112] + node _T_9522 = add(_T_9521, _T_9507) @[exu_mul_ctl.scala 137:112] + node _T_9523 = add(_T_9522, _T_9508) @[exu_mul_ctl.scala 137:112] + node _T_9524 = add(_T_9523, _T_9509) @[exu_mul_ctl.scala 137:112] + node _T_9525 = add(_T_9524, _T_9510) @[exu_mul_ctl.scala 137:112] + node _T_9526 = add(_T_9525, _T_9511) @[exu_mul_ctl.scala 137:112] + node _T_9527 = add(_T_9526, _T_9512) @[exu_mul_ctl.scala 137:112] + node _T_9528 = add(_T_9527, _T_9513) @[exu_mul_ctl.scala 137:112] + node _T_9529 = add(_T_9528, _T_9514) @[exu_mul_ctl.scala 137:112] + node _T_9530 = add(_T_9529, _T_9515) @[exu_mul_ctl.scala 137:112] + node _T_9531 = add(_T_9530, _T_9516) @[exu_mul_ctl.scala 137:112] + node _T_9532 = eq(_T_9531, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9533 = bits(_T_9532, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9534 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_9535 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9536 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9537 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9538 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9539 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9540 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9541 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9542 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9543 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9544 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9545 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9546 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9547 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9548 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9549 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9550 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9551 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9552 = add(_T_9535, _T_9536) @[exu_mul_ctl.scala 137:112] + node _T_9553 = add(_T_9552, _T_9537) @[exu_mul_ctl.scala 137:112] + node _T_9554 = add(_T_9553, _T_9538) @[exu_mul_ctl.scala 137:112] + node _T_9555 = add(_T_9554, _T_9539) @[exu_mul_ctl.scala 137:112] + node _T_9556 = add(_T_9555, _T_9540) @[exu_mul_ctl.scala 137:112] + node _T_9557 = add(_T_9556, _T_9541) @[exu_mul_ctl.scala 137:112] + node _T_9558 = add(_T_9557, _T_9542) @[exu_mul_ctl.scala 137:112] + node _T_9559 = add(_T_9558, _T_9543) @[exu_mul_ctl.scala 137:112] + node _T_9560 = add(_T_9559, _T_9544) @[exu_mul_ctl.scala 137:112] + node _T_9561 = add(_T_9560, _T_9545) @[exu_mul_ctl.scala 137:112] + node _T_9562 = add(_T_9561, _T_9546) @[exu_mul_ctl.scala 137:112] + node _T_9563 = add(_T_9562, _T_9547) @[exu_mul_ctl.scala 137:112] + node _T_9564 = add(_T_9563, _T_9548) @[exu_mul_ctl.scala 137:112] + node _T_9565 = add(_T_9564, _T_9549) @[exu_mul_ctl.scala 137:112] + node _T_9566 = add(_T_9565, _T_9550) @[exu_mul_ctl.scala 137:112] + node _T_9567 = add(_T_9566, _T_9551) @[exu_mul_ctl.scala 137:112] + node _T_9568 = eq(_T_9567, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9569 = bits(_T_9568, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9570 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_9571 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9572 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9573 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9574 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9575 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9576 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9577 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9578 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9579 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9580 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9581 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9582 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9583 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9584 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9585 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9586 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9587 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9588 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9589 = add(_T_9571, _T_9572) @[exu_mul_ctl.scala 137:112] + node _T_9590 = add(_T_9589, _T_9573) @[exu_mul_ctl.scala 137:112] + node _T_9591 = add(_T_9590, _T_9574) @[exu_mul_ctl.scala 137:112] + node _T_9592 = add(_T_9591, _T_9575) @[exu_mul_ctl.scala 137:112] + node _T_9593 = add(_T_9592, _T_9576) @[exu_mul_ctl.scala 137:112] + node _T_9594 = add(_T_9593, _T_9577) @[exu_mul_ctl.scala 137:112] + node _T_9595 = add(_T_9594, _T_9578) @[exu_mul_ctl.scala 137:112] + node _T_9596 = add(_T_9595, _T_9579) @[exu_mul_ctl.scala 137:112] + node _T_9597 = add(_T_9596, _T_9580) @[exu_mul_ctl.scala 137:112] + node _T_9598 = add(_T_9597, _T_9581) @[exu_mul_ctl.scala 137:112] + node _T_9599 = add(_T_9598, _T_9582) @[exu_mul_ctl.scala 137:112] + node _T_9600 = add(_T_9599, _T_9583) @[exu_mul_ctl.scala 137:112] + node _T_9601 = add(_T_9600, _T_9584) @[exu_mul_ctl.scala 137:112] + node _T_9602 = add(_T_9601, _T_9585) @[exu_mul_ctl.scala 137:112] + node _T_9603 = add(_T_9602, _T_9586) @[exu_mul_ctl.scala 137:112] + node _T_9604 = add(_T_9603, _T_9587) @[exu_mul_ctl.scala 137:112] + node _T_9605 = add(_T_9604, _T_9588) @[exu_mul_ctl.scala 137:112] + node _T_9606 = eq(_T_9605, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9607 = bits(_T_9606, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9608 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_9609 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9610 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9611 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9612 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9613 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9614 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9615 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9616 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9617 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9618 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9619 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9620 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9621 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9622 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9623 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9624 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9625 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9626 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9627 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9628 = add(_T_9609, _T_9610) @[exu_mul_ctl.scala 137:112] + node _T_9629 = add(_T_9628, _T_9611) @[exu_mul_ctl.scala 137:112] + node _T_9630 = add(_T_9629, _T_9612) @[exu_mul_ctl.scala 137:112] + node _T_9631 = add(_T_9630, _T_9613) @[exu_mul_ctl.scala 137:112] + node _T_9632 = add(_T_9631, _T_9614) @[exu_mul_ctl.scala 137:112] + node _T_9633 = add(_T_9632, _T_9615) @[exu_mul_ctl.scala 137:112] + node _T_9634 = add(_T_9633, _T_9616) @[exu_mul_ctl.scala 137:112] + node _T_9635 = add(_T_9634, _T_9617) @[exu_mul_ctl.scala 137:112] + node _T_9636 = add(_T_9635, _T_9618) @[exu_mul_ctl.scala 137:112] + node _T_9637 = add(_T_9636, _T_9619) @[exu_mul_ctl.scala 137:112] + node _T_9638 = add(_T_9637, _T_9620) @[exu_mul_ctl.scala 137:112] + node _T_9639 = add(_T_9638, _T_9621) @[exu_mul_ctl.scala 137:112] + node _T_9640 = add(_T_9639, _T_9622) @[exu_mul_ctl.scala 137:112] + node _T_9641 = add(_T_9640, _T_9623) @[exu_mul_ctl.scala 137:112] + node _T_9642 = add(_T_9641, _T_9624) @[exu_mul_ctl.scala 137:112] + node _T_9643 = add(_T_9642, _T_9625) @[exu_mul_ctl.scala 137:112] + node _T_9644 = add(_T_9643, _T_9626) @[exu_mul_ctl.scala 137:112] + node _T_9645 = add(_T_9644, _T_9627) @[exu_mul_ctl.scala 137:112] + node _T_9646 = eq(_T_9645, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9647 = bits(_T_9646, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9648 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_9649 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9650 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9651 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9652 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9653 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9654 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9655 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9656 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9657 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9658 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9659 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9660 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9661 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9662 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9663 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9664 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9665 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9666 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9667 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9668 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9669 = add(_T_9649, _T_9650) @[exu_mul_ctl.scala 137:112] + node _T_9670 = add(_T_9669, _T_9651) @[exu_mul_ctl.scala 137:112] + node _T_9671 = add(_T_9670, _T_9652) @[exu_mul_ctl.scala 137:112] + node _T_9672 = add(_T_9671, _T_9653) @[exu_mul_ctl.scala 137:112] + node _T_9673 = add(_T_9672, _T_9654) @[exu_mul_ctl.scala 137:112] + node _T_9674 = add(_T_9673, _T_9655) @[exu_mul_ctl.scala 137:112] + node _T_9675 = add(_T_9674, _T_9656) @[exu_mul_ctl.scala 137:112] + node _T_9676 = add(_T_9675, _T_9657) @[exu_mul_ctl.scala 137:112] + node _T_9677 = add(_T_9676, _T_9658) @[exu_mul_ctl.scala 137:112] + node _T_9678 = add(_T_9677, _T_9659) @[exu_mul_ctl.scala 137:112] + node _T_9679 = add(_T_9678, _T_9660) @[exu_mul_ctl.scala 137:112] + node _T_9680 = add(_T_9679, _T_9661) @[exu_mul_ctl.scala 137:112] + node _T_9681 = add(_T_9680, _T_9662) @[exu_mul_ctl.scala 137:112] + node _T_9682 = add(_T_9681, _T_9663) @[exu_mul_ctl.scala 137:112] + node _T_9683 = add(_T_9682, _T_9664) @[exu_mul_ctl.scala 137:112] + node _T_9684 = add(_T_9683, _T_9665) @[exu_mul_ctl.scala 137:112] + node _T_9685 = add(_T_9684, _T_9666) @[exu_mul_ctl.scala 137:112] + node _T_9686 = add(_T_9685, _T_9667) @[exu_mul_ctl.scala 137:112] + node _T_9687 = add(_T_9686, _T_9668) @[exu_mul_ctl.scala 137:112] + node _T_9688 = eq(_T_9687, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9689 = bits(_T_9688, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9690 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_9691 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9692 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9693 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9694 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9695 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9696 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9697 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9698 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9699 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9700 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9701 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9702 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9703 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9704 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9705 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9706 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9707 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9708 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9709 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9710 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9711 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9712 = add(_T_9691, _T_9692) @[exu_mul_ctl.scala 137:112] + node _T_9713 = add(_T_9712, _T_9693) @[exu_mul_ctl.scala 137:112] + node _T_9714 = add(_T_9713, _T_9694) @[exu_mul_ctl.scala 137:112] + node _T_9715 = add(_T_9714, _T_9695) @[exu_mul_ctl.scala 137:112] + node _T_9716 = add(_T_9715, _T_9696) @[exu_mul_ctl.scala 137:112] + node _T_9717 = add(_T_9716, _T_9697) @[exu_mul_ctl.scala 137:112] + node _T_9718 = add(_T_9717, _T_9698) @[exu_mul_ctl.scala 137:112] + node _T_9719 = add(_T_9718, _T_9699) @[exu_mul_ctl.scala 137:112] + node _T_9720 = add(_T_9719, _T_9700) @[exu_mul_ctl.scala 137:112] + node _T_9721 = add(_T_9720, _T_9701) @[exu_mul_ctl.scala 137:112] + node _T_9722 = add(_T_9721, _T_9702) @[exu_mul_ctl.scala 137:112] + node _T_9723 = add(_T_9722, _T_9703) @[exu_mul_ctl.scala 137:112] + node _T_9724 = add(_T_9723, _T_9704) @[exu_mul_ctl.scala 137:112] + node _T_9725 = add(_T_9724, _T_9705) @[exu_mul_ctl.scala 137:112] + node _T_9726 = add(_T_9725, _T_9706) @[exu_mul_ctl.scala 137:112] + node _T_9727 = add(_T_9726, _T_9707) @[exu_mul_ctl.scala 137:112] + node _T_9728 = add(_T_9727, _T_9708) @[exu_mul_ctl.scala 137:112] + node _T_9729 = add(_T_9728, _T_9709) @[exu_mul_ctl.scala 137:112] + node _T_9730 = add(_T_9729, _T_9710) @[exu_mul_ctl.scala 137:112] + node _T_9731 = add(_T_9730, _T_9711) @[exu_mul_ctl.scala 137:112] + node _T_9732 = eq(_T_9731, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9733 = bits(_T_9732, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9734 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_9735 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9736 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9737 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9738 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9739 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9740 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9741 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9742 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9743 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9744 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9745 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9746 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9747 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9748 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9749 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9750 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9751 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9752 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9753 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9754 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9755 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9756 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9757 = add(_T_9735, _T_9736) @[exu_mul_ctl.scala 137:112] + node _T_9758 = add(_T_9757, _T_9737) @[exu_mul_ctl.scala 137:112] + node _T_9759 = add(_T_9758, _T_9738) @[exu_mul_ctl.scala 137:112] + node _T_9760 = add(_T_9759, _T_9739) @[exu_mul_ctl.scala 137:112] + node _T_9761 = add(_T_9760, _T_9740) @[exu_mul_ctl.scala 137:112] + node _T_9762 = add(_T_9761, _T_9741) @[exu_mul_ctl.scala 137:112] + node _T_9763 = add(_T_9762, _T_9742) @[exu_mul_ctl.scala 137:112] + node _T_9764 = add(_T_9763, _T_9743) @[exu_mul_ctl.scala 137:112] + node _T_9765 = add(_T_9764, _T_9744) @[exu_mul_ctl.scala 137:112] + node _T_9766 = add(_T_9765, _T_9745) @[exu_mul_ctl.scala 137:112] + node _T_9767 = add(_T_9766, _T_9746) @[exu_mul_ctl.scala 137:112] + node _T_9768 = add(_T_9767, _T_9747) @[exu_mul_ctl.scala 137:112] + node _T_9769 = add(_T_9768, _T_9748) @[exu_mul_ctl.scala 137:112] + node _T_9770 = add(_T_9769, _T_9749) @[exu_mul_ctl.scala 137:112] + node _T_9771 = add(_T_9770, _T_9750) @[exu_mul_ctl.scala 137:112] + node _T_9772 = add(_T_9771, _T_9751) @[exu_mul_ctl.scala 137:112] + node _T_9773 = add(_T_9772, _T_9752) @[exu_mul_ctl.scala 137:112] + node _T_9774 = add(_T_9773, _T_9753) @[exu_mul_ctl.scala 137:112] + node _T_9775 = add(_T_9774, _T_9754) @[exu_mul_ctl.scala 137:112] + node _T_9776 = add(_T_9775, _T_9755) @[exu_mul_ctl.scala 137:112] + node _T_9777 = add(_T_9776, _T_9756) @[exu_mul_ctl.scala 137:112] + node _T_9778 = eq(_T_9777, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9779 = bits(_T_9778, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9780 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_9781 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9782 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9783 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9784 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9785 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9786 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9787 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9788 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9789 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9790 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9791 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9792 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9793 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9794 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9795 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9796 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9797 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9798 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9799 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9800 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9801 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9802 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9803 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9804 = add(_T_9781, _T_9782) @[exu_mul_ctl.scala 137:112] + node _T_9805 = add(_T_9804, _T_9783) @[exu_mul_ctl.scala 137:112] + node _T_9806 = add(_T_9805, _T_9784) @[exu_mul_ctl.scala 137:112] + node _T_9807 = add(_T_9806, _T_9785) @[exu_mul_ctl.scala 137:112] + node _T_9808 = add(_T_9807, _T_9786) @[exu_mul_ctl.scala 137:112] + node _T_9809 = add(_T_9808, _T_9787) @[exu_mul_ctl.scala 137:112] + node _T_9810 = add(_T_9809, _T_9788) @[exu_mul_ctl.scala 137:112] + node _T_9811 = add(_T_9810, _T_9789) @[exu_mul_ctl.scala 137:112] + node _T_9812 = add(_T_9811, _T_9790) @[exu_mul_ctl.scala 137:112] + node _T_9813 = add(_T_9812, _T_9791) @[exu_mul_ctl.scala 137:112] + node _T_9814 = add(_T_9813, _T_9792) @[exu_mul_ctl.scala 137:112] + node _T_9815 = add(_T_9814, _T_9793) @[exu_mul_ctl.scala 137:112] + node _T_9816 = add(_T_9815, _T_9794) @[exu_mul_ctl.scala 137:112] + node _T_9817 = add(_T_9816, _T_9795) @[exu_mul_ctl.scala 137:112] + node _T_9818 = add(_T_9817, _T_9796) @[exu_mul_ctl.scala 137:112] + node _T_9819 = add(_T_9818, _T_9797) @[exu_mul_ctl.scala 137:112] + node _T_9820 = add(_T_9819, _T_9798) @[exu_mul_ctl.scala 137:112] + node _T_9821 = add(_T_9820, _T_9799) @[exu_mul_ctl.scala 137:112] + node _T_9822 = add(_T_9821, _T_9800) @[exu_mul_ctl.scala 137:112] + node _T_9823 = add(_T_9822, _T_9801) @[exu_mul_ctl.scala 137:112] + node _T_9824 = add(_T_9823, _T_9802) @[exu_mul_ctl.scala 137:112] + node _T_9825 = add(_T_9824, _T_9803) @[exu_mul_ctl.scala 137:112] + node _T_9826 = eq(_T_9825, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9827 = bits(_T_9826, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9828 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_9829 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9830 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9831 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9832 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9833 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9834 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9835 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9836 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9837 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9838 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9839 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9840 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9841 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9842 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9843 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9844 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9845 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9846 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9847 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9848 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9849 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9850 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9851 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9852 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9853 = add(_T_9829, _T_9830) @[exu_mul_ctl.scala 137:112] + node _T_9854 = add(_T_9853, _T_9831) @[exu_mul_ctl.scala 137:112] + node _T_9855 = add(_T_9854, _T_9832) @[exu_mul_ctl.scala 137:112] + node _T_9856 = add(_T_9855, _T_9833) @[exu_mul_ctl.scala 137:112] + node _T_9857 = add(_T_9856, _T_9834) @[exu_mul_ctl.scala 137:112] + node _T_9858 = add(_T_9857, _T_9835) @[exu_mul_ctl.scala 137:112] + node _T_9859 = add(_T_9858, _T_9836) @[exu_mul_ctl.scala 137:112] + node _T_9860 = add(_T_9859, _T_9837) @[exu_mul_ctl.scala 137:112] + node _T_9861 = add(_T_9860, _T_9838) @[exu_mul_ctl.scala 137:112] + node _T_9862 = add(_T_9861, _T_9839) @[exu_mul_ctl.scala 137:112] + node _T_9863 = add(_T_9862, _T_9840) @[exu_mul_ctl.scala 137:112] + node _T_9864 = add(_T_9863, _T_9841) @[exu_mul_ctl.scala 137:112] + node _T_9865 = add(_T_9864, _T_9842) @[exu_mul_ctl.scala 137:112] + node _T_9866 = add(_T_9865, _T_9843) @[exu_mul_ctl.scala 137:112] + node _T_9867 = add(_T_9866, _T_9844) @[exu_mul_ctl.scala 137:112] + node _T_9868 = add(_T_9867, _T_9845) @[exu_mul_ctl.scala 137:112] + node _T_9869 = add(_T_9868, _T_9846) @[exu_mul_ctl.scala 137:112] + node _T_9870 = add(_T_9869, _T_9847) @[exu_mul_ctl.scala 137:112] + node _T_9871 = add(_T_9870, _T_9848) @[exu_mul_ctl.scala 137:112] + node _T_9872 = add(_T_9871, _T_9849) @[exu_mul_ctl.scala 137:112] + node _T_9873 = add(_T_9872, _T_9850) @[exu_mul_ctl.scala 137:112] + node _T_9874 = add(_T_9873, _T_9851) @[exu_mul_ctl.scala 137:112] + node _T_9875 = add(_T_9874, _T_9852) @[exu_mul_ctl.scala 137:112] + node _T_9876 = eq(_T_9875, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9877 = bits(_T_9876, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9878 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_9879 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9880 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9881 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9882 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9883 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9884 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9885 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9886 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9887 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9888 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9889 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9890 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9891 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9892 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9893 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9894 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9895 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9896 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9897 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9898 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9899 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9900 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9901 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9902 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9903 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_9904 = add(_T_9879, _T_9880) @[exu_mul_ctl.scala 137:112] + node _T_9905 = add(_T_9904, _T_9881) @[exu_mul_ctl.scala 137:112] + node _T_9906 = add(_T_9905, _T_9882) @[exu_mul_ctl.scala 137:112] + node _T_9907 = add(_T_9906, _T_9883) @[exu_mul_ctl.scala 137:112] + node _T_9908 = add(_T_9907, _T_9884) @[exu_mul_ctl.scala 137:112] + node _T_9909 = add(_T_9908, _T_9885) @[exu_mul_ctl.scala 137:112] + node _T_9910 = add(_T_9909, _T_9886) @[exu_mul_ctl.scala 137:112] + node _T_9911 = add(_T_9910, _T_9887) @[exu_mul_ctl.scala 137:112] + node _T_9912 = add(_T_9911, _T_9888) @[exu_mul_ctl.scala 137:112] + node _T_9913 = add(_T_9912, _T_9889) @[exu_mul_ctl.scala 137:112] + node _T_9914 = add(_T_9913, _T_9890) @[exu_mul_ctl.scala 137:112] + node _T_9915 = add(_T_9914, _T_9891) @[exu_mul_ctl.scala 137:112] + node _T_9916 = add(_T_9915, _T_9892) @[exu_mul_ctl.scala 137:112] + node _T_9917 = add(_T_9916, _T_9893) @[exu_mul_ctl.scala 137:112] + node _T_9918 = add(_T_9917, _T_9894) @[exu_mul_ctl.scala 137:112] + node _T_9919 = add(_T_9918, _T_9895) @[exu_mul_ctl.scala 137:112] + node _T_9920 = add(_T_9919, _T_9896) @[exu_mul_ctl.scala 137:112] + node _T_9921 = add(_T_9920, _T_9897) @[exu_mul_ctl.scala 137:112] + node _T_9922 = add(_T_9921, _T_9898) @[exu_mul_ctl.scala 137:112] + node _T_9923 = add(_T_9922, _T_9899) @[exu_mul_ctl.scala 137:112] + node _T_9924 = add(_T_9923, _T_9900) @[exu_mul_ctl.scala 137:112] + node _T_9925 = add(_T_9924, _T_9901) @[exu_mul_ctl.scala 137:112] + node _T_9926 = add(_T_9925, _T_9902) @[exu_mul_ctl.scala 137:112] + node _T_9927 = add(_T_9926, _T_9903) @[exu_mul_ctl.scala 137:112] + node _T_9928 = eq(_T_9927, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9929 = bits(_T_9928, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9930 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_9931 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9932 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9933 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9934 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9935 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9936 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9937 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9938 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9939 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9940 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9941 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9942 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9943 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9944 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9945 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9946 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9947 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9948 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9949 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9950 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9951 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9952 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9953 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9954 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9955 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_9956 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_9957 = add(_T_9931, _T_9932) @[exu_mul_ctl.scala 137:112] + node _T_9958 = add(_T_9957, _T_9933) @[exu_mul_ctl.scala 137:112] + node _T_9959 = add(_T_9958, _T_9934) @[exu_mul_ctl.scala 137:112] + node _T_9960 = add(_T_9959, _T_9935) @[exu_mul_ctl.scala 137:112] + node _T_9961 = add(_T_9960, _T_9936) @[exu_mul_ctl.scala 137:112] + node _T_9962 = add(_T_9961, _T_9937) @[exu_mul_ctl.scala 137:112] + node _T_9963 = add(_T_9962, _T_9938) @[exu_mul_ctl.scala 137:112] + node _T_9964 = add(_T_9963, _T_9939) @[exu_mul_ctl.scala 137:112] + node _T_9965 = add(_T_9964, _T_9940) @[exu_mul_ctl.scala 137:112] + node _T_9966 = add(_T_9965, _T_9941) @[exu_mul_ctl.scala 137:112] + node _T_9967 = add(_T_9966, _T_9942) @[exu_mul_ctl.scala 137:112] + node _T_9968 = add(_T_9967, _T_9943) @[exu_mul_ctl.scala 137:112] + node _T_9969 = add(_T_9968, _T_9944) @[exu_mul_ctl.scala 137:112] + node _T_9970 = add(_T_9969, _T_9945) @[exu_mul_ctl.scala 137:112] + node _T_9971 = add(_T_9970, _T_9946) @[exu_mul_ctl.scala 137:112] + node _T_9972 = add(_T_9971, _T_9947) @[exu_mul_ctl.scala 137:112] + node _T_9973 = add(_T_9972, _T_9948) @[exu_mul_ctl.scala 137:112] + node _T_9974 = add(_T_9973, _T_9949) @[exu_mul_ctl.scala 137:112] + node _T_9975 = add(_T_9974, _T_9950) @[exu_mul_ctl.scala 137:112] + node _T_9976 = add(_T_9975, _T_9951) @[exu_mul_ctl.scala 137:112] + node _T_9977 = add(_T_9976, _T_9952) @[exu_mul_ctl.scala 137:112] + node _T_9978 = add(_T_9977, _T_9953) @[exu_mul_ctl.scala 137:112] + node _T_9979 = add(_T_9978, _T_9954) @[exu_mul_ctl.scala 137:112] + node _T_9980 = add(_T_9979, _T_9955) @[exu_mul_ctl.scala 137:112] + node _T_9981 = add(_T_9980, _T_9956) @[exu_mul_ctl.scala 137:112] + node _T_9982 = eq(_T_9981, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9983 = bits(_T_9982, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9984 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_9985 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9986 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9987 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9988 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9989 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9990 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9991 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9992 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9993 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9994 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9995 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9996 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9997 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9998 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9999 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10000 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10001 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10002 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10003 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10004 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10005 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10006 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10007 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10008 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10009 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10010 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10011 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10012 = add(_T_9985, _T_9986) @[exu_mul_ctl.scala 137:112] + node _T_10013 = add(_T_10012, _T_9987) @[exu_mul_ctl.scala 137:112] + node _T_10014 = add(_T_10013, _T_9988) @[exu_mul_ctl.scala 137:112] + node _T_10015 = add(_T_10014, _T_9989) @[exu_mul_ctl.scala 137:112] + node _T_10016 = add(_T_10015, _T_9990) @[exu_mul_ctl.scala 137:112] + node _T_10017 = add(_T_10016, _T_9991) @[exu_mul_ctl.scala 137:112] + node _T_10018 = add(_T_10017, _T_9992) @[exu_mul_ctl.scala 137:112] + node _T_10019 = add(_T_10018, _T_9993) @[exu_mul_ctl.scala 137:112] + node _T_10020 = add(_T_10019, _T_9994) @[exu_mul_ctl.scala 137:112] + node _T_10021 = add(_T_10020, _T_9995) @[exu_mul_ctl.scala 137:112] + node _T_10022 = add(_T_10021, _T_9996) @[exu_mul_ctl.scala 137:112] + node _T_10023 = add(_T_10022, _T_9997) @[exu_mul_ctl.scala 137:112] + node _T_10024 = add(_T_10023, _T_9998) @[exu_mul_ctl.scala 137:112] + node _T_10025 = add(_T_10024, _T_9999) @[exu_mul_ctl.scala 137:112] + node _T_10026 = add(_T_10025, _T_10000) @[exu_mul_ctl.scala 137:112] + node _T_10027 = add(_T_10026, _T_10001) @[exu_mul_ctl.scala 137:112] + node _T_10028 = add(_T_10027, _T_10002) @[exu_mul_ctl.scala 137:112] + node _T_10029 = add(_T_10028, _T_10003) @[exu_mul_ctl.scala 137:112] + node _T_10030 = add(_T_10029, _T_10004) @[exu_mul_ctl.scala 137:112] + node _T_10031 = add(_T_10030, _T_10005) @[exu_mul_ctl.scala 137:112] + node _T_10032 = add(_T_10031, _T_10006) @[exu_mul_ctl.scala 137:112] + node _T_10033 = add(_T_10032, _T_10007) @[exu_mul_ctl.scala 137:112] + node _T_10034 = add(_T_10033, _T_10008) @[exu_mul_ctl.scala 137:112] + node _T_10035 = add(_T_10034, _T_10009) @[exu_mul_ctl.scala 137:112] + node _T_10036 = add(_T_10035, _T_10010) @[exu_mul_ctl.scala 137:112] + node _T_10037 = add(_T_10036, _T_10011) @[exu_mul_ctl.scala 137:112] + node _T_10038 = eq(_T_10037, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10039 = bits(_T_10038, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10040 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_10041 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10042 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10043 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10044 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10045 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10046 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10047 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10048 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10049 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10050 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10051 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10052 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10053 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10054 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10055 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10056 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10057 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10058 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10059 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10060 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10061 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10062 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10063 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10064 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10065 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10066 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10067 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10068 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_10069 = add(_T_10041, _T_10042) @[exu_mul_ctl.scala 137:112] + node _T_10070 = add(_T_10069, _T_10043) @[exu_mul_ctl.scala 137:112] + node _T_10071 = add(_T_10070, _T_10044) @[exu_mul_ctl.scala 137:112] + node _T_10072 = add(_T_10071, _T_10045) @[exu_mul_ctl.scala 137:112] + node _T_10073 = add(_T_10072, _T_10046) @[exu_mul_ctl.scala 137:112] + node _T_10074 = add(_T_10073, _T_10047) @[exu_mul_ctl.scala 137:112] + node _T_10075 = add(_T_10074, _T_10048) @[exu_mul_ctl.scala 137:112] + node _T_10076 = add(_T_10075, _T_10049) @[exu_mul_ctl.scala 137:112] + node _T_10077 = add(_T_10076, _T_10050) @[exu_mul_ctl.scala 137:112] + node _T_10078 = add(_T_10077, _T_10051) @[exu_mul_ctl.scala 137:112] + node _T_10079 = add(_T_10078, _T_10052) @[exu_mul_ctl.scala 137:112] + node _T_10080 = add(_T_10079, _T_10053) @[exu_mul_ctl.scala 137:112] + node _T_10081 = add(_T_10080, _T_10054) @[exu_mul_ctl.scala 137:112] + node _T_10082 = add(_T_10081, _T_10055) @[exu_mul_ctl.scala 137:112] + node _T_10083 = add(_T_10082, _T_10056) @[exu_mul_ctl.scala 137:112] + node _T_10084 = add(_T_10083, _T_10057) @[exu_mul_ctl.scala 137:112] + node _T_10085 = add(_T_10084, _T_10058) @[exu_mul_ctl.scala 137:112] + node _T_10086 = add(_T_10085, _T_10059) @[exu_mul_ctl.scala 137:112] + node _T_10087 = add(_T_10086, _T_10060) @[exu_mul_ctl.scala 137:112] + node _T_10088 = add(_T_10087, _T_10061) @[exu_mul_ctl.scala 137:112] + node _T_10089 = add(_T_10088, _T_10062) @[exu_mul_ctl.scala 137:112] + node _T_10090 = add(_T_10089, _T_10063) @[exu_mul_ctl.scala 137:112] + node _T_10091 = add(_T_10090, _T_10064) @[exu_mul_ctl.scala 137:112] + node _T_10092 = add(_T_10091, _T_10065) @[exu_mul_ctl.scala 137:112] + node _T_10093 = add(_T_10092, _T_10066) @[exu_mul_ctl.scala 137:112] + node _T_10094 = add(_T_10093, _T_10067) @[exu_mul_ctl.scala 137:112] + node _T_10095 = add(_T_10094, _T_10068) @[exu_mul_ctl.scala 137:112] + node _T_10096 = eq(_T_10095, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10097 = bits(_T_10096, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10098 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_10099 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10100 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10101 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10102 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10103 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10104 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10105 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10106 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10107 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10108 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10109 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10110 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10111 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10112 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10113 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10114 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10115 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10116 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10117 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10118 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10119 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10120 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10121 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10122 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10123 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10124 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10125 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10126 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_10127 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_10128 = add(_T_10099, _T_10100) @[exu_mul_ctl.scala 137:112] + node _T_10129 = add(_T_10128, _T_10101) @[exu_mul_ctl.scala 137:112] + node _T_10130 = add(_T_10129, _T_10102) @[exu_mul_ctl.scala 137:112] + node _T_10131 = add(_T_10130, _T_10103) @[exu_mul_ctl.scala 137:112] + node _T_10132 = add(_T_10131, _T_10104) @[exu_mul_ctl.scala 137:112] + node _T_10133 = add(_T_10132, _T_10105) @[exu_mul_ctl.scala 137:112] + node _T_10134 = add(_T_10133, _T_10106) @[exu_mul_ctl.scala 137:112] + node _T_10135 = add(_T_10134, _T_10107) @[exu_mul_ctl.scala 137:112] + node _T_10136 = add(_T_10135, _T_10108) @[exu_mul_ctl.scala 137:112] + node _T_10137 = add(_T_10136, _T_10109) @[exu_mul_ctl.scala 137:112] + node _T_10138 = add(_T_10137, _T_10110) @[exu_mul_ctl.scala 137:112] + node _T_10139 = add(_T_10138, _T_10111) @[exu_mul_ctl.scala 137:112] + node _T_10140 = add(_T_10139, _T_10112) @[exu_mul_ctl.scala 137:112] + node _T_10141 = add(_T_10140, _T_10113) @[exu_mul_ctl.scala 137:112] + node _T_10142 = add(_T_10141, _T_10114) @[exu_mul_ctl.scala 137:112] + node _T_10143 = add(_T_10142, _T_10115) @[exu_mul_ctl.scala 137:112] + node _T_10144 = add(_T_10143, _T_10116) @[exu_mul_ctl.scala 137:112] + node _T_10145 = add(_T_10144, _T_10117) @[exu_mul_ctl.scala 137:112] + node _T_10146 = add(_T_10145, _T_10118) @[exu_mul_ctl.scala 137:112] + node _T_10147 = add(_T_10146, _T_10119) @[exu_mul_ctl.scala 137:112] + node _T_10148 = add(_T_10147, _T_10120) @[exu_mul_ctl.scala 137:112] + node _T_10149 = add(_T_10148, _T_10121) @[exu_mul_ctl.scala 137:112] + node _T_10150 = add(_T_10149, _T_10122) @[exu_mul_ctl.scala 137:112] + node _T_10151 = add(_T_10150, _T_10123) @[exu_mul_ctl.scala 137:112] + node _T_10152 = add(_T_10151, _T_10124) @[exu_mul_ctl.scala 137:112] + node _T_10153 = add(_T_10152, _T_10125) @[exu_mul_ctl.scala 137:112] + node _T_10154 = add(_T_10153, _T_10126) @[exu_mul_ctl.scala 137:112] + node _T_10155 = add(_T_10154, _T_10127) @[exu_mul_ctl.scala 137:112] + node _T_10156 = eq(_T_10155, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10157 = bits(_T_10156, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10158 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_10159 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10160 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10161 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10162 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10163 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10164 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10165 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10166 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10167 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10168 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10169 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10170 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10171 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10172 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10173 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10174 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10175 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10176 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10177 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10178 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10179 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10180 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10181 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10182 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10183 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10184 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10185 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10186 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_10187 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_10188 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_10189 = add(_T_10159, _T_10160) @[exu_mul_ctl.scala 137:112] + node _T_10190 = add(_T_10189, _T_10161) @[exu_mul_ctl.scala 137:112] + node _T_10191 = add(_T_10190, _T_10162) @[exu_mul_ctl.scala 137:112] + node _T_10192 = add(_T_10191, _T_10163) @[exu_mul_ctl.scala 137:112] + node _T_10193 = add(_T_10192, _T_10164) @[exu_mul_ctl.scala 137:112] + node _T_10194 = add(_T_10193, _T_10165) @[exu_mul_ctl.scala 137:112] + node _T_10195 = add(_T_10194, _T_10166) @[exu_mul_ctl.scala 137:112] + node _T_10196 = add(_T_10195, _T_10167) @[exu_mul_ctl.scala 137:112] + node _T_10197 = add(_T_10196, _T_10168) @[exu_mul_ctl.scala 137:112] + node _T_10198 = add(_T_10197, _T_10169) @[exu_mul_ctl.scala 137:112] + node _T_10199 = add(_T_10198, _T_10170) @[exu_mul_ctl.scala 137:112] + node _T_10200 = add(_T_10199, _T_10171) @[exu_mul_ctl.scala 137:112] + node _T_10201 = add(_T_10200, _T_10172) @[exu_mul_ctl.scala 137:112] + node _T_10202 = add(_T_10201, _T_10173) @[exu_mul_ctl.scala 137:112] + node _T_10203 = add(_T_10202, _T_10174) @[exu_mul_ctl.scala 137:112] + node _T_10204 = add(_T_10203, _T_10175) @[exu_mul_ctl.scala 137:112] + node _T_10205 = add(_T_10204, _T_10176) @[exu_mul_ctl.scala 137:112] + node _T_10206 = add(_T_10205, _T_10177) @[exu_mul_ctl.scala 137:112] + node _T_10207 = add(_T_10206, _T_10178) @[exu_mul_ctl.scala 137:112] + node _T_10208 = add(_T_10207, _T_10179) @[exu_mul_ctl.scala 137:112] + node _T_10209 = add(_T_10208, _T_10180) @[exu_mul_ctl.scala 137:112] + node _T_10210 = add(_T_10209, _T_10181) @[exu_mul_ctl.scala 137:112] + node _T_10211 = add(_T_10210, _T_10182) @[exu_mul_ctl.scala 137:112] + node _T_10212 = add(_T_10211, _T_10183) @[exu_mul_ctl.scala 137:112] + node _T_10213 = add(_T_10212, _T_10184) @[exu_mul_ctl.scala 137:112] + node _T_10214 = add(_T_10213, _T_10185) @[exu_mul_ctl.scala 137:112] + node _T_10215 = add(_T_10214, _T_10186) @[exu_mul_ctl.scala 137:112] + node _T_10216 = add(_T_10215, _T_10187) @[exu_mul_ctl.scala 137:112] + node _T_10217 = add(_T_10216, _T_10188) @[exu_mul_ctl.scala 137:112] + node _T_10218 = eq(_T_10217, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10219 = bits(_T_10218, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10220 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_10221 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10222 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10223 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10224 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10225 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10226 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10227 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10228 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10229 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10230 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10231 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10232 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10233 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10234 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10235 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10236 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10237 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10238 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10239 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10240 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10241 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10242 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10243 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10244 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10245 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10246 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10247 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10248 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_10249 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_10250 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_10251 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_10252 = add(_T_10221, _T_10222) @[exu_mul_ctl.scala 137:112] + node _T_10253 = add(_T_10252, _T_10223) @[exu_mul_ctl.scala 137:112] + node _T_10254 = add(_T_10253, _T_10224) @[exu_mul_ctl.scala 137:112] + node _T_10255 = add(_T_10254, _T_10225) @[exu_mul_ctl.scala 137:112] + node _T_10256 = add(_T_10255, _T_10226) @[exu_mul_ctl.scala 137:112] + node _T_10257 = add(_T_10256, _T_10227) @[exu_mul_ctl.scala 137:112] + node _T_10258 = add(_T_10257, _T_10228) @[exu_mul_ctl.scala 137:112] + node _T_10259 = add(_T_10258, _T_10229) @[exu_mul_ctl.scala 137:112] + node _T_10260 = add(_T_10259, _T_10230) @[exu_mul_ctl.scala 137:112] + node _T_10261 = add(_T_10260, _T_10231) @[exu_mul_ctl.scala 137:112] + node _T_10262 = add(_T_10261, _T_10232) @[exu_mul_ctl.scala 137:112] + node _T_10263 = add(_T_10262, _T_10233) @[exu_mul_ctl.scala 137:112] + node _T_10264 = add(_T_10263, _T_10234) @[exu_mul_ctl.scala 137:112] + node _T_10265 = add(_T_10264, _T_10235) @[exu_mul_ctl.scala 137:112] + node _T_10266 = add(_T_10265, _T_10236) @[exu_mul_ctl.scala 137:112] + node _T_10267 = add(_T_10266, _T_10237) @[exu_mul_ctl.scala 137:112] + node _T_10268 = add(_T_10267, _T_10238) @[exu_mul_ctl.scala 137:112] + node _T_10269 = add(_T_10268, _T_10239) @[exu_mul_ctl.scala 137:112] + node _T_10270 = add(_T_10269, _T_10240) @[exu_mul_ctl.scala 137:112] + node _T_10271 = add(_T_10270, _T_10241) @[exu_mul_ctl.scala 137:112] + node _T_10272 = add(_T_10271, _T_10242) @[exu_mul_ctl.scala 137:112] + node _T_10273 = add(_T_10272, _T_10243) @[exu_mul_ctl.scala 137:112] + node _T_10274 = add(_T_10273, _T_10244) @[exu_mul_ctl.scala 137:112] + node _T_10275 = add(_T_10274, _T_10245) @[exu_mul_ctl.scala 137:112] + node _T_10276 = add(_T_10275, _T_10246) @[exu_mul_ctl.scala 137:112] + node _T_10277 = add(_T_10276, _T_10247) @[exu_mul_ctl.scala 137:112] + node _T_10278 = add(_T_10277, _T_10248) @[exu_mul_ctl.scala 137:112] + node _T_10279 = add(_T_10278, _T_10249) @[exu_mul_ctl.scala 137:112] + node _T_10280 = add(_T_10279, _T_10250) @[exu_mul_ctl.scala 137:112] + node _T_10281 = add(_T_10280, _T_10251) @[exu_mul_ctl.scala 137:112] + node _T_10282 = eq(_T_10281, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10283 = bits(_T_10282, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10284 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_10285 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10286 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10287 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10288 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10289 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10290 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10291 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10292 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10293 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10294 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10295 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10296 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10297 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10298 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10299 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10300 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10301 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10302 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10303 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10304 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10305 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10306 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10307 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10308 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10309 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10310 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10311 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10312 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_10313 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_10314 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_10315 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_10316 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_10317 = add(_T_10285, _T_10286) @[exu_mul_ctl.scala 137:112] + node _T_10318 = add(_T_10317, _T_10287) @[exu_mul_ctl.scala 137:112] + node _T_10319 = add(_T_10318, _T_10288) @[exu_mul_ctl.scala 137:112] + node _T_10320 = add(_T_10319, _T_10289) @[exu_mul_ctl.scala 137:112] + node _T_10321 = add(_T_10320, _T_10290) @[exu_mul_ctl.scala 137:112] + node _T_10322 = add(_T_10321, _T_10291) @[exu_mul_ctl.scala 137:112] + node _T_10323 = add(_T_10322, _T_10292) @[exu_mul_ctl.scala 137:112] + node _T_10324 = add(_T_10323, _T_10293) @[exu_mul_ctl.scala 137:112] + node _T_10325 = add(_T_10324, _T_10294) @[exu_mul_ctl.scala 137:112] + node _T_10326 = add(_T_10325, _T_10295) @[exu_mul_ctl.scala 137:112] + node _T_10327 = add(_T_10326, _T_10296) @[exu_mul_ctl.scala 137:112] + node _T_10328 = add(_T_10327, _T_10297) @[exu_mul_ctl.scala 137:112] + node _T_10329 = add(_T_10328, _T_10298) @[exu_mul_ctl.scala 137:112] + node _T_10330 = add(_T_10329, _T_10299) @[exu_mul_ctl.scala 137:112] + node _T_10331 = add(_T_10330, _T_10300) @[exu_mul_ctl.scala 137:112] + node _T_10332 = add(_T_10331, _T_10301) @[exu_mul_ctl.scala 137:112] + node _T_10333 = add(_T_10332, _T_10302) @[exu_mul_ctl.scala 137:112] + node _T_10334 = add(_T_10333, _T_10303) @[exu_mul_ctl.scala 137:112] + node _T_10335 = add(_T_10334, _T_10304) @[exu_mul_ctl.scala 137:112] + node _T_10336 = add(_T_10335, _T_10305) @[exu_mul_ctl.scala 137:112] + node _T_10337 = add(_T_10336, _T_10306) @[exu_mul_ctl.scala 137:112] + node _T_10338 = add(_T_10337, _T_10307) @[exu_mul_ctl.scala 137:112] + node _T_10339 = add(_T_10338, _T_10308) @[exu_mul_ctl.scala 137:112] + node _T_10340 = add(_T_10339, _T_10309) @[exu_mul_ctl.scala 137:112] + node _T_10341 = add(_T_10340, _T_10310) @[exu_mul_ctl.scala 137:112] + node _T_10342 = add(_T_10341, _T_10311) @[exu_mul_ctl.scala 137:112] + node _T_10343 = add(_T_10342, _T_10312) @[exu_mul_ctl.scala 137:112] + node _T_10344 = add(_T_10343, _T_10313) @[exu_mul_ctl.scala 137:112] + node _T_10345 = add(_T_10344, _T_10314) @[exu_mul_ctl.scala 137:112] + node _T_10346 = add(_T_10345, _T_10315) @[exu_mul_ctl.scala 137:112] + node _T_10347 = add(_T_10346, _T_10316) @[exu_mul_ctl.scala 137:112] + node _T_10348 = eq(_T_10347, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10349 = bits(_T_10348, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10350 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_10351 = mux(_T_10349, _T_10350, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_10352 = mux(_T_10283, _T_10284, _T_10351) @[Mux.scala 98:16] + node _T_10353 = mux(_T_10219, _T_10220, _T_10352) @[Mux.scala 98:16] + node _T_10354 = mux(_T_10157, _T_10158, _T_10353) @[Mux.scala 98:16] + node _T_10355 = mux(_T_10097, _T_10098, _T_10354) @[Mux.scala 98:16] + node _T_10356 = mux(_T_10039, _T_10040, _T_10355) @[Mux.scala 98:16] + node _T_10357 = mux(_T_9983, _T_9984, _T_10356) @[Mux.scala 98:16] + node _T_10358 = mux(_T_9929, _T_9930, _T_10357) @[Mux.scala 98:16] + node _T_10359 = mux(_T_9877, _T_9878, _T_10358) @[Mux.scala 98:16] + node _T_10360 = mux(_T_9827, _T_9828, _T_10359) @[Mux.scala 98:16] + node _T_10361 = mux(_T_9779, _T_9780, _T_10360) @[Mux.scala 98:16] + node _T_10362 = mux(_T_9733, _T_9734, _T_10361) @[Mux.scala 98:16] + node _T_10363 = mux(_T_9689, _T_9690, _T_10362) @[Mux.scala 98:16] + node _T_10364 = mux(_T_9647, _T_9648, _T_10363) @[Mux.scala 98:16] + node _T_10365 = mux(_T_9607, _T_9608, _T_10364) @[Mux.scala 98:16] + node _T_10366 = mux(_T_9569, _T_9570, _T_10365) @[Mux.scala 98:16] + node _T_10367 = mux(_T_9533, _T_9534, _T_10366) @[Mux.scala 98:16] + node _T_10368 = mux(_T_9499, _T_9500, _T_10367) @[Mux.scala 98:16] + node _T_10369 = mux(_T_9467, _T_9468, _T_10368) @[Mux.scala 98:16] + node _T_10370 = mux(_T_9437, _T_9438, _T_10369) @[Mux.scala 98:16] + node _T_10371 = mux(_T_9409, _T_9410, _T_10370) @[Mux.scala 98:16] + node _T_10372 = mux(_T_9383, _T_9384, _T_10371) @[Mux.scala 98:16] + node _T_10373 = mux(_T_9359, _T_9360, _T_10372) @[Mux.scala 98:16] + node _T_10374 = mux(_T_9337, _T_9338, _T_10373) @[Mux.scala 98:16] + node _T_10375 = mux(_T_9317, _T_9318, _T_10374) @[Mux.scala 98:16] + node _T_10376 = mux(_T_9299, _T_9300, _T_10375) @[Mux.scala 98:16] + node _T_10377 = mux(_T_9283, _T_9284, _T_10376) @[Mux.scala 98:16] + node _T_10378 = mux(_T_9269, _T_9270, _T_10377) @[Mux.scala 98:16] + node _T_10379 = mux(_T_9257, _T_9258, _T_10378) @[Mux.scala 98:16] + node _T_10380 = mux(_T_9247, _T_9248, _T_10379) @[Mux.scala 98:16] + node _T_10381 = mux(_T_9239, _T_9240, _T_10380) @[Mux.scala 98:16] + node _T_10382 = mux(_T_9233, _T_9234, _T_10381) @[Mux.scala 98:16] + node _T_10383 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_10384 = eq(_T_10383, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10385 = bits(_T_10384, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10386 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_10387 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10388 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10389 = add(_T_10387, _T_10388) @[exu_mul_ctl.scala 137:112] + node _T_10390 = eq(_T_10389, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10391 = bits(_T_10390, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10392 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_10393 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10394 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10395 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10396 = add(_T_10393, _T_10394) @[exu_mul_ctl.scala 137:112] + node _T_10397 = add(_T_10396, _T_10395) @[exu_mul_ctl.scala 137:112] + node _T_10398 = eq(_T_10397, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10399 = bits(_T_10398, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10400 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_10401 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10402 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10403 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10404 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10405 = add(_T_10401, _T_10402) @[exu_mul_ctl.scala 137:112] + node _T_10406 = add(_T_10405, _T_10403) @[exu_mul_ctl.scala 137:112] + node _T_10407 = add(_T_10406, _T_10404) @[exu_mul_ctl.scala 137:112] + node _T_10408 = eq(_T_10407, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10409 = bits(_T_10408, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10410 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_10411 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10412 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10413 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10414 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10415 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10416 = add(_T_10411, _T_10412) @[exu_mul_ctl.scala 137:112] + node _T_10417 = add(_T_10416, _T_10413) @[exu_mul_ctl.scala 137:112] + node _T_10418 = add(_T_10417, _T_10414) @[exu_mul_ctl.scala 137:112] + node _T_10419 = add(_T_10418, _T_10415) @[exu_mul_ctl.scala 137:112] + node _T_10420 = eq(_T_10419, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10421 = bits(_T_10420, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10422 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_10423 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10424 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10425 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10426 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10427 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10428 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10429 = add(_T_10423, _T_10424) @[exu_mul_ctl.scala 137:112] + node _T_10430 = add(_T_10429, _T_10425) @[exu_mul_ctl.scala 137:112] + node _T_10431 = add(_T_10430, _T_10426) @[exu_mul_ctl.scala 137:112] + node _T_10432 = add(_T_10431, _T_10427) @[exu_mul_ctl.scala 137:112] + node _T_10433 = add(_T_10432, _T_10428) @[exu_mul_ctl.scala 137:112] + node _T_10434 = eq(_T_10433, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10435 = bits(_T_10434, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10436 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_10437 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10438 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10439 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10440 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10441 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10442 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10443 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10444 = add(_T_10437, _T_10438) @[exu_mul_ctl.scala 137:112] + node _T_10445 = add(_T_10444, _T_10439) @[exu_mul_ctl.scala 137:112] + node _T_10446 = add(_T_10445, _T_10440) @[exu_mul_ctl.scala 137:112] + node _T_10447 = add(_T_10446, _T_10441) @[exu_mul_ctl.scala 137:112] + node _T_10448 = add(_T_10447, _T_10442) @[exu_mul_ctl.scala 137:112] + node _T_10449 = add(_T_10448, _T_10443) @[exu_mul_ctl.scala 137:112] + node _T_10450 = eq(_T_10449, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10451 = bits(_T_10450, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10452 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_10453 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10454 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10455 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10456 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10457 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10458 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10459 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10460 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10461 = add(_T_10453, _T_10454) @[exu_mul_ctl.scala 137:112] + node _T_10462 = add(_T_10461, _T_10455) @[exu_mul_ctl.scala 137:112] + node _T_10463 = add(_T_10462, _T_10456) @[exu_mul_ctl.scala 137:112] + node _T_10464 = add(_T_10463, _T_10457) @[exu_mul_ctl.scala 137:112] + node _T_10465 = add(_T_10464, _T_10458) @[exu_mul_ctl.scala 137:112] + node _T_10466 = add(_T_10465, _T_10459) @[exu_mul_ctl.scala 137:112] + node _T_10467 = add(_T_10466, _T_10460) @[exu_mul_ctl.scala 137:112] + node _T_10468 = eq(_T_10467, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10469 = bits(_T_10468, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10470 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_10471 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10472 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10473 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10474 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10475 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10476 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10477 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10478 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10479 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10480 = add(_T_10471, _T_10472) @[exu_mul_ctl.scala 137:112] + node _T_10481 = add(_T_10480, _T_10473) @[exu_mul_ctl.scala 137:112] + node _T_10482 = add(_T_10481, _T_10474) @[exu_mul_ctl.scala 137:112] + node _T_10483 = add(_T_10482, _T_10475) @[exu_mul_ctl.scala 137:112] + node _T_10484 = add(_T_10483, _T_10476) @[exu_mul_ctl.scala 137:112] + node _T_10485 = add(_T_10484, _T_10477) @[exu_mul_ctl.scala 137:112] + node _T_10486 = add(_T_10485, _T_10478) @[exu_mul_ctl.scala 137:112] + node _T_10487 = add(_T_10486, _T_10479) @[exu_mul_ctl.scala 137:112] + node _T_10488 = eq(_T_10487, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10489 = bits(_T_10488, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10490 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_10491 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10492 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10493 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10494 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10495 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10496 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10497 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10498 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10499 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10500 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10501 = add(_T_10491, _T_10492) @[exu_mul_ctl.scala 137:112] + node _T_10502 = add(_T_10501, _T_10493) @[exu_mul_ctl.scala 137:112] + node _T_10503 = add(_T_10502, _T_10494) @[exu_mul_ctl.scala 137:112] + node _T_10504 = add(_T_10503, _T_10495) @[exu_mul_ctl.scala 137:112] + node _T_10505 = add(_T_10504, _T_10496) @[exu_mul_ctl.scala 137:112] + node _T_10506 = add(_T_10505, _T_10497) @[exu_mul_ctl.scala 137:112] + node _T_10507 = add(_T_10506, _T_10498) @[exu_mul_ctl.scala 137:112] + node _T_10508 = add(_T_10507, _T_10499) @[exu_mul_ctl.scala 137:112] + node _T_10509 = add(_T_10508, _T_10500) @[exu_mul_ctl.scala 137:112] + node _T_10510 = eq(_T_10509, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10511 = bits(_T_10510, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10512 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_10513 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10514 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10515 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10516 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10517 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10518 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10519 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10520 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10521 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10522 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10523 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10524 = add(_T_10513, _T_10514) @[exu_mul_ctl.scala 137:112] + node _T_10525 = add(_T_10524, _T_10515) @[exu_mul_ctl.scala 137:112] + node _T_10526 = add(_T_10525, _T_10516) @[exu_mul_ctl.scala 137:112] + node _T_10527 = add(_T_10526, _T_10517) @[exu_mul_ctl.scala 137:112] + node _T_10528 = add(_T_10527, _T_10518) @[exu_mul_ctl.scala 137:112] + node _T_10529 = add(_T_10528, _T_10519) @[exu_mul_ctl.scala 137:112] + node _T_10530 = add(_T_10529, _T_10520) @[exu_mul_ctl.scala 137:112] + node _T_10531 = add(_T_10530, _T_10521) @[exu_mul_ctl.scala 137:112] + node _T_10532 = add(_T_10531, _T_10522) @[exu_mul_ctl.scala 137:112] + node _T_10533 = add(_T_10532, _T_10523) @[exu_mul_ctl.scala 137:112] + node _T_10534 = eq(_T_10533, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10535 = bits(_T_10534, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10536 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_10537 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10538 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10539 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10540 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10541 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10542 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10543 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10544 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10545 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10546 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10547 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10548 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10549 = add(_T_10537, _T_10538) @[exu_mul_ctl.scala 137:112] + node _T_10550 = add(_T_10549, _T_10539) @[exu_mul_ctl.scala 137:112] + node _T_10551 = add(_T_10550, _T_10540) @[exu_mul_ctl.scala 137:112] + node _T_10552 = add(_T_10551, _T_10541) @[exu_mul_ctl.scala 137:112] + node _T_10553 = add(_T_10552, _T_10542) @[exu_mul_ctl.scala 137:112] + node _T_10554 = add(_T_10553, _T_10543) @[exu_mul_ctl.scala 137:112] + node _T_10555 = add(_T_10554, _T_10544) @[exu_mul_ctl.scala 137:112] + node _T_10556 = add(_T_10555, _T_10545) @[exu_mul_ctl.scala 137:112] + node _T_10557 = add(_T_10556, _T_10546) @[exu_mul_ctl.scala 137:112] + node _T_10558 = add(_T_10557, _T_10547) @[exu_mul_ctl.scala 137:112] + node _T_10559 = add(_T_10558, _T_10548) @[exu_mul_ctl.scala 137:112] + node _T_10560 = eq(_T_10559, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10561 = bits(_T_10560, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10562 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_10563 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10564 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10565 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10566 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10567 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10568 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10569 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10570 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10571 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10572 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10573 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10574 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10575 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10576 = add(_T_10563, _T_10564) @[exu_mul_ctl.scala 137:112] + node _T_10577 = add(_T_10576, _T_10565) @[exu_mul_ctl.scala 137:112] + node _T_10578 = add(_T_10577, _T_10566) @[exu_mul_ctl.scala 137:112] + node _T_10579 = add(_T_10578, _T_10567) @[exu_mul_ctl.scala 137:112] + node _T_10580 = add(_T_10579, _T_10568) @[exu_mul_ctl.scala 137:112] + node _T_10581 = add(_T_10580, _T_10569) @[exu_mul_ctl.scala 137:112] + node _T_10582 = add(_T_10581, _T_10570) @[exu_mul_ctl.scala 137:112] + node _T_10583 = add(_T_10582, _T_10571) @[exu_mul_ctl.scala 137:112] + node _T_10584 = add(_T_10583, _T_10572) @[exu_mul_ctl.scala 137:112] + node _T_10585 = add(_T_10584, _T_10573) @[exu_mul_ctl.scala 137:112] + node _T_10586 = add(_T_10585, _T_10574) @[exu_mul_ctl.scala 137:112] + node _T_10587 = add(_T_10586, _T_10575) @[exu_mul_ctl.scala 137:112] + node _T_10588 = eq(_T_10587, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10589 = bits(_T_10588, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10590 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_10591 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10592 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10593 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10594 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10595 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10596 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10597 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10598 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10599 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10600 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10601 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10602 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10603 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10604 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10605 = add(_T_10591, _T_10592) @[exu_mul_ctl.scala 137:112] + node _T_10606 = add(_T_10605, _T_10593) @[exu_mul_ctl.scala 137:112] + node _T_10607 = add(_T_10606, _T_10594) @[exu_mul_ctl.scala 137:112] + node _T_10608 = add(_T_10607, _T_10595) @[exu_mul_ctl.scala 137:112] + node _T_10609 = add(_T_10608, _T_10596) @[exu_mul_ctl.scala 137:112] + node _T_10610 = add(_T_10609, _T_10597) @[exu_mul_ctl.scala 137:112] + node _T_10611 = add(_T_10610, _T_10598) @[exu_mul_ctl.scala 137:112] + node _T_10612 = add(_T_10611, _T_10599) @[exu_mul_ctl.scala 137:112] + node _T_10613 = add(_T_10612, _T_10600) @[exu_mul_ctl.scala 137:112] + node _T_10614 = add(_T_10613, _T_10601) @[exu_mul_ctl.scala 137:112] + node _T_10615 = add(_T_10614, _T_10602) @[exu_mul_ctl.scala 137:112] + node _T_10616 = add(_T_10615, _T_10603) @[exu_mul_ctl.scala 137:112] + node _T_10617 = add(_T_10616, _T_10604) @[exu_mul_ctl.scala 137:112] + node _T_10618 = eq(_T_10617, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10619 = bits(_T_10618, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10620 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_10621 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10622 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10623 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10624 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10625 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10626 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10627 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10628 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10629 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10630 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10631 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10632 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10633 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10634 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10635 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10636 = add(_T_10621, _T_10622) @[exu_mul_ctl.scala 137:112] + node _T_10637 = add(_T_10636, _T_10623) @[exu_mul_ctl.scala 137:112] + node _T_10638 = add(_T_10637, _T_10624) @[exu_mul_ctl.scala 137:112] + node _T_10639 = add(_T_10638, _T_10625) @[exu_mul_ctl.scala 137:112] + node _T_10640 = add(_T_10639, _T_10626) @[exu_mul_ctl.scala 137:112] + node _T_10641 = add(_T_10640, _T_10627) @[exu_mul_ctl.scala 137:112] + node _T_10642 = add(_T_10641, _T_10628) @[exu_mul_ctl.scala 137:112] + node _T_10643 = add(_T_10642, _T_10629) @[exu_mul_ctl.scala 137:112] + node _T_10644 = add(_T_10643, _T_10630) @[exu_mul_ctl.scala 137:112] + node _T_10645 = add(_T_10644, _T_10631) @[exu_mul_ctl.scala 137:112] + node _T_10646 = add(_T_10645, _T_10632) @[exu_mul_ctl.scala 137:112] + node _T_10647 = add(_T_10646, _T_10633) @[exu_mul_ctl.scala 137:112] + node _T_10648 = add(_T_10647, _T_10634) @[exu_mul_ctl.scala 137:112] + node _T_10649 = add(_T_10648, _T_10635) @[exu_mul_ctl.scala 137:112] + node _T_10650 = eq(_T_10649, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10651 = bits(_T_10650, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10652 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_10653 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10654 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10655 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10656 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10657 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10658 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10659 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10660 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10661 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10662 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10663 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10664 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10665 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10666 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10667 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10668 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10669 = add(_T_10653, _T_10654) @[exu_mul_ctl.scala 137:112] + node _T_10670 = add(_T_10669, _T_10655) @[exu_mul_ctl.scala 137:112] + node _T_10671 = add(_T_10670, _T_10656) @[exu_mul_ctl.scala 137:112] + node _T_10672 = add(_T_10671, _T_10657) @[exu_mul_ctl.scala 137:112] + node _T_10673 = add(_T_10672, _T_10658) @[exu_mul_ctl.scala 137:112] + node _T_10674 = add(_T_10673, _T_10659) @[exu_mul_ctl.scala 137:112] + node _T_10675 = add(_T_10674, _T_10660) @[exu_mul_ctl.scala 137:112] + node _T_10676 = add(_T_10675, _T_10661) @[exu_mul_ctl.scala 137:112] + node _T_10677 = add(_T_10676, _T_10662) @[exu_mul_ctl.scala 137:112] + node _T_10678 = add(_T_10677, _T_10663) @[exu_mul_ctl.scala 137:112] + node _T_10679 = add(_T_10678, _T_10664) @[exu_mul_ctl.scala 137:112] + node _T_10680 = add(_T_10679, _T_10665) @[exu_mul_ctl.scala 137:112] + node _T_10681 = add(_T_10680, _T_10666) @[exu_mul_ctl.scala 137:112] + node _T_10682 = add(_T_10681, _T_10667) @[exu_mul_ctl.scala 137:112] + node _T_10683 = add(_T_10682, _T_10668) @[exu_mul_ctl.scala 137:112] + node _T_10684 = eq(_T_10683, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10685 = bits(_T_10684, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10686 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_10687 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10688 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10689 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10690 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10691 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10692 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10693 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10694 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10695 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10696 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10697 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10698 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10699 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10700 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10701 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10702 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10703 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10704 = add(_T_10687, _T_10688) @[exu_mul_ctl.scala 137:112] + node _T_10705 = add(_T_10704, _T_10689) @[exu_mul_ctl.scala 137:112] + node _T_10706 = add(_T_10705, _T_10690) @[exu_mul_ctl.scala 137:112] + node _T_10707 = add(_T_10706, _T_10691) @[exu_mul_ctl.scala 137:112] + node _T_10708 = add(_T_10707, _T_10692) @[exu_mul_ctl.scala 137:112] + node _T_10709 = add(_T_10708, _T_10693) @[exu_mul_ctl.scala 137:112] + node _T_10710 = add(_T_10709, _T_10694) @[exu_mul_ctl.scala 137:112] + node _T_10711 = add(_T_10710, _T_10695) @[exu_mul_ctl.scala 137:112] + node _T_10712 = add(_T_10711, _T_10696) @[exu_mul_ctl.scala 137:112] + node _T_10713 = add(_T_10712, _T_10697) @[exu_mul_ctl.scala 137:112] + node _T_10714 = add(_T_10713, _T_10698) @[exu_mul_ctl.scala 137:112] + node _T_10715 = add(_T_10714, _T_10699) @[exu_mul_ctl.scala 137:112] + node _T_10716 = add(_T_10715, _T_10700) @[exu_mul_ctl.scala 137:112] + node _T_10717 = add(_T_10716, _T_10701) @[exu_mul_ctl.scala 137:112] + node _T_10718 = add(_T_10717, _T_10702) @[exu_mul_ctl.scala 137:112] + node _T_10719 = add(_T_10718, _T_10703) @[exu_mul_ctl.scala 137:112] + node _T_10720 = eq(_T_10719, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10721 = bits(_T_10720, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10722 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_10723 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10724 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10725 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10726 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10727 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10728 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10729 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10730 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10731 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10732 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10733 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10734 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10735 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10736 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10737 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10738 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10739 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10740 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10741 = add(_T_10723, _T_10724) @[exu_mul_ctl.scala 137:112] + node _T_10742 = add(_T_10741, _T_10725) @[exu_mul_ctl.scala 137:112] + node _T_10743 = add(_T_10742, _T_10726) @[exu_mul_ctl.scala 137:112] + node _T_10744 = add(_T_10743, _T_10727) @[exu_mul_ctl.scala 137:112] + node _T_10745 = add(_T_10744, _T_10728) @[exu_mul_ctl.scala 137:112] + node _T_10746 = add(_T_10745, _T_10729) @[exu_mul_ctl.scala 137:112] + node _T_10747 = add(_T_10746, _T_10730) @[exu_mul_ctl.scala 137:112] + node _T_10748 = add(_T_10747, _T_10731) @[exu_mul_ctl.scala 137:112] + node _T_10749 = add(_T_10748, _T_10732) @[exu_mul_ctl.scala 137:112] + node _T_10750 = add(_T_10749, _T_10733) @[exu_mul_ctl.scala 137:112] + node _T_10751 = add(_T_10750, _T_10734) @[exu_mul_ctl.scala 137:112] + node _T_10752 = add(_T_10751, _T_10735) @[exu_mul_ctl.scala 137:112] + node _T_10753 = add(_T_10752, _T_10736) @[exu_mul_ctl.scala 137:112] + node _T_10754 = add(_T_10753, _T_10737) @[exu_mul_ctl.scala 137:112] + node _T_10755 = add(_T_10754, _T_10738) @[exu_mul_ctl.scala 137:112] + node _T_10756 = add(_T_10755, _T_10739) @[exu_mul_ctl.scala 137:112] + node _T_10757 = add(_T_10756, _T_10740) @[exu_mul_ctl.scala 137:112] + node _T_10758 = eq(_T_10757, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10759 = bits(_T_10758, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10760 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_10761 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10762 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10763 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10764 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10765 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10766 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10767 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10768 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10769 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10770 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10771 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10772 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10773 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10774 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10775 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10776 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10777 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10778 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10779 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10780 = add(_T_10761, _T_10762) @[exu_mul_ctl.scala 137:112] + node _T_10781 = add(_T_10780, _T_10763) @[exu_mul_ctl.scala 137:112] + node _T_10782 = add(_T_10781, _T_10764) @[exu_mul_ctl.scala 137:112] + node _T_10783 = add(_T_10782, _T_10765) @[exu_mul_ctl.scala 137:112] + node _T_10784 = add(_T_10783, _T_10766) @[exu_mul_ctl.scala 137:112] + node _T_10785 = add(_T_10784, _T_10767) @[exu_mul_ctl.scala 137:112] + node _T_10786 = add(_T_10785, _T_10768) @[exu_mul_ctl.scala 137:112] + node _T_10787 = add(_T_10786, _T_10769) @[exu_mul_ctl.scala 137:112] + node _T_10788 = add(_T_10787, _T_10770) @[exu_mul_ctl.scala 137:112] + node _T_10789 = add(_T_10788, _T_10771) @[exu_mul_ctl.scala 137:112] + node _T_10790 = add(_T_10789, _T_10772) @[exu_mul_ctl.scala 137:112] + node _T_10791 = add(_T_10790, _T_10773) @[exu_mul_ctl.scala 137:112] + node _T_10792 = add(_T_10791, _T_10774) @[exu_mul_ctl.scala 137:112] + node _T_10793 = add(_T_10792, _T_10775) @[exu_mul_ctl.scala 137:112] + node _T_10794 = add(_T_10793, _T_10776) @[exu_mul_ctl.scala 137:112] + node _T_10795 = add(_T_10794, _T_10777) @[exu_mul_ctl.scala 137:112] + node _T_10796 = add(_T_10795, _T_10778) @[exu_mul_ctl.scala 137:112] + node _T_10797 = add(_T_10796, _T_10779) @[exu_mul_ctl.scala 137:112] + node _T_10798 = eq(_T_10797, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10799 = bits(_T_10798, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10800 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_10801 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10802 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10803 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10804 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10805 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10806 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10807 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10808 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10809 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10810 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10811 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10812 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10813 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10814 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10815 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10816 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10817 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10818 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10819 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10820 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10821 = add(_T_10801, _T_10802) @[exu_mul_ctl.scala 137:112] + node _T_10822 = add(_T_10821, _T_10803) @[exu_mul_ctl.scala 137:112] + node _T_10823 = add(_T_10822, _T_10804) @[exu_mul_ctl.scala 137:112] + node _T_10824 = add(_T_10823, _T_10805) @[exu_mul_ctl.scala 137:112] + node _T_10825 = add(_T_10824, _T_10806) @[exu_mul_ctl.scala 137:112] + node _T_10826 = add(_T_10825, _T_10807) @[exu_mul_ctl.scala 137:112] + node _T_10827 = add(_T_10826, _T_10808) @[exu_mul_ctl.scala 137:112] + node _T_10828 = add(_T_10827, _T_10809) @[exu_mul_ctl.scala 137:112] + node _T_10829 = add(_T_10828, _T_10810) @[exu_mul_ctl.scala 137:112] + node _T_10830 = add(_T_10829, _T_10811) @[exu_mul_ctl.scala 137:112] + node _T_10831 = add(_T_10830, _T_10812) @[exu_mul_ctl.scala 137:112] + node _T_10832 = add(_T_10831, _T_10813) @[exu_mul_ctl.scala 137:112] + node _T_10833 = add(_T_10832, _T_10814) @[exu_mul_ctl.scala 137:112] + node _T_10834 = add(_T_10833, _T_10815) @[exu_mul_ctl.scala 137:112] + node _T_10835 = add(_T_10834, _T_10816) @[exu_mul_ctl.scala 137:112] + node _T_10836 = add(_T_10835, _T_10817) @[exu_mul_ctl.scala 137:112] + node _T_10837 = add(_T_10836, _T_10818) @[exu_mul_ctl.scala 137:112] + node _T_10838 = add(_T_10837, _T_10819) @[exu_mul_ctl.scala 137:112] + node _T_10839 = add(_T_10838, _T_10820) @[exu_mul_ctl.scala 137:112] + node _T_10840 = eq(_T_10839, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10841 = bits(_T_10840, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10842 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_10843 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10844 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10845 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10846 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10847 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10848 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10849 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10850 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10851 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10852 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10853 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10854 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10855 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10856 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10857 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10858 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10859 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10860 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10861 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10862 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10863 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10864 = add(_T_10843, _T_10844) @[exu_mul_ctl.scala 137:112] + node _T_10865 = add(_T_10864, _T_10845) @[exu_mul_ctl.scala 137:112] + node _T_10866 = add(_T_10865, _T_10846) @[exu_mul_ctl.scala 137:112] + node _T_10867 = add(_T_10866, _T_10847) @[exu_mul_ctl.scala 137:112] + node _T_10868 = add(_T_10867, _T_10848) @[exu_mul_ctl.scala 137:112] + node _T_10869 = add(_T_10868, _T_10849) @[exu_mul_ctl.scala 137:112] + node _T_10870 = add(_T_10869, _T_10850) @[exu_mul_ctl.scala 137:112] + node _T_10871 = add(_T_10870, _T_10851) @[exu_mul_ctl.scala 137:112] + node _T_10872 = add(_T_10871, _T_10852) @[exu_mul_ctl.scala 137:112] + node _T_10873 = add(_T_10872, _T_10853) @[exu_mul_ctl.scala 137:112] + node _T_10874 = add(_T_10873, _T_10854) @[exu_mul_ctl.scala 137:112] + node _T_10875 = add(_T_10874, _T_10855) @[exu_mul_ctl.scala 137:112] + node _T_10876 = add(_T_10875, _T_10856) @[exu_mul_ctl.scala 137:112] + node _T_10877 = add(_T_10876, _T_10857) @[exu_mul_ctl.scala 137:112] + node _T_10878 = add(_T_10877, _T_10858) @[exu_mul_ctl.scala 137:112] + node _T_10879 = add(_T_10878, _T_10859) @[exu_mul_ctl.scala 137:112] + node _T_10880 = add(_T_10879, _T_10860) @[exu_mul_ctl.scala 137:112] + node _T_10881 = add(_T_10880, _T_10861) @[exu_mul_ctl.scala 137:112] + node _T_10882 = add(_T_10881, _T_10862) @[exu_mul_ctl.scala 137:112] + node _T_10883 = add(_T_10882, _T_10863) @[exu_mul_ctl.scala 137:112] + node _T_10884 = eq(_T_10883, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10885 = bits(_T_10884, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10886 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_10887 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10888 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10889 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10890 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10891 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10892 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10893 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10894 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10895 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10896 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10897 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10898 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10899 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10900 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10901 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10902 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10903 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10904 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10905 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10906 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10907 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10908 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10909 = add(_T_10887, _T_10888) @[exu_mul_ctl.scala 137:112] + node _T_10910 = add(_T_10909, _T_10889) @[exu_mul_ctl.scala 137:112] + node _T_10911 = add(_T_10910, _T_10890) @[exu_mul_ctl.scala 137:112] + node _T_10912 = add(_T_10911, _T_10891) @[exu_mul_ctl.scala 137:112] + node _T_10913 = add(_T_10912, _T_10892) @[exu_mul_ctl.scala 137:112] + node _T_10914 = add(_T_10913, _T_10893) @[exu_mul_ctl.scala 137:112] + node _T_10915 = add(_T_10914, _T_10894) @[exu_mul_ctl.scala 137:112] + node _T_10916 = add(_T_10915, _T_10895) @[exu_mul_ctl.scala 137:112] + node _T_10917 = add(_T_10916, _T_10896) @[exu_mul_ctl.scala 137:112] + node _T_10918 = add(_T_10917, _T_10897) @[exu_mul_ctl.scala 137:112] + node _T_10919 = add(_T_10918, _T_10898) @[exu_mul_ctl.scala 137:112] + node _T_10920 = add(_T_10919, _T_10899) @[exu_mul_ctl.scala 137:112] + node _T_10921 = add(_T_10920, _T_10900) @[exu_mul_ctl.scala 137:112] + node _T_10922 = add(_T_10921, _T_10901) @[exu_mul_ctl.scala 137:112] + node _T_10923 = add(_T_10922, _T_10902) @[exu_mul_ctl.scala 137:112] + node _T_10924 = add(_T_10923, _T_10903) @[exu_mul_ctl.scala 137:112] + node _T_10925 = add(_T_10924, _T_10904) @[exu_mul_ctl.scala 137:112] + node _T_10926 = add(_T_10925, _T_10905) @[exu_mul_ctl.scala 137:112] + node _T_10927 = add(_T_10926, _T_10906) @[exu_mul_ctl.scala 137:112] + node _T_10928 = add(_T_10927, _T_10907) @[exu_mul_ctl.scala 137:112] + node _T_10929 = add(_T_10928, _T_10908) @[exu_mul_ctl.scala 137:112] + node _T_10930 = eq(_T_10929, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10931 = bits(_T_10930, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10932 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_10933 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10934 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10935 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10936 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10937 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10938 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10939 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10940 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10941 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10942 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10943 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10944 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10945 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10946 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10947 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10948 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10949 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10950 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10951 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10952 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10953 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10954 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10955 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10956 = add(_T_10933, _T_10934) @[exu_mul_ctl.scala 137:112] + node _T_10957 = add(_T_10956, _T_10935) @[exu_mul_ctl.scala 137:112] + node _T_10958 = add(_T_10957, _T_10936) @[exu_mul_ctl.scala 137:112] + node _T_10959 = add(_T_10958, _T_10937) @[exu_mul_ctl.scala 137:112] + node _T_10960 = add(_T_10959, _T_10938) @[exu_mul_ctl.scala 137:112] + node _T_10961 = add(_T_10960, _T_10939) @[exu_mul_ctl.scala 137:112] + node _T_10962 = add(_T_10961, _T_10940) @[exu_mul_ctl.scala 137:112] + node _T_10963 = add(_T_10962, _T_10941) @[exu_mul_ctl.scala 137:112] + node _T_10964 = add(_T_10963, _T_10942) @[exu_mul_ctl.scala 137:112] + node _T_10965 = add(_T_10964, _T_10943) @[exu_mul_ctl.scala 137:112] + node _T_10966 = add(_T_10965, _T_10944) @[exu_mul_ctl.scala 137:112] + node _T_10967 = add(_T_10966, _T_10945) @[exu_mul_ctl.scala 137:112] + node _T_10968 = add(_T_10967, _T_10946) @[exu_mul_ctl.scala 137:112] + node _T_10969 = add(_T_10968, _T_10947) @[exu_mul_ctl.scala 137:112] + node _T_10970 = add(_T_10969, _T_10948) @[exu_mul_ctl.scala 137:112] + node _T_10971 = add(_T_10970, _T_10949) @[exu_mul_ctl.scala 137:112] + node _T_10972 = add(_T_10971, _T_10950) @[exu_mul_ctl.scala 137:112] + node _T_10973 = add(_T_10972, _T_10951) @[exu_mul_ctl.scala 137:112] + node _T_10974 = add(_T_10973, _T_10952) @[exu_mul_ctl.scala 137:112] + node _T_10975 = add(_T_10974, _T_10953) @[exu_mul_ctl.scala 137:112] + node _T_10976 = add(_T_10975, _T_10954) @[exu_mul_ctl.scala 137:112] + node _T_10977 = add(_T_10976, _T_10955) @[exu_mul_ctl.scala 137:112] + node _T_10978 = eq(_T_10977, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10979 = bits(_T_10978, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10980 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_10981 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10982 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10983 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10984 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10985 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10986 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10987 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10988 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10989 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10990 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10991 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10992 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10993 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10994 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10995 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10996 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10997 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10998 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10999 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11000 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11001 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11002 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11003 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11004 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11005 = add(_T_10981, _T_10982) @[exu_mul_ctl.scala 137:112] + node _T_11006 = add(_T_11005, _T_10983) @[exu_mul_ctl.scala 137:112] + node _T_11007 = add(_T_11006, _T_10984) @[exu_mul_ctl.scala 137:112] + node _T_11008 = add(_T_11007, _T_10985) @[exu_mul_ctl.scala 137:112] + node _T_11009 = add(_T_11008, _T_10986) @[exu_mul_ctl.scala 137:112] + node _T_11010 = add(_T_11009, _T_10987) @[exu_mul_ctl.scala 137:112] + node _T_11011 = add(_T_11010, _T_10988) @[exu_mul_ctl.scala 137:112] + node _T_11012 = add(_T_11011, _T_10989) @[exu_mul_ctl.scala 137:112] + node _T_11013 = add(_T_11012, _T_10990) @[exu_mul_ctl.scala 137:112] + node _T_11014 = add(_T_11013, _T_10991) @[exu_mul_ctl.scala 137:112] + node _T_11015 = add(_T_11014, _T_10992) @[exu_mul_ctl.scala 137:112] + node _T_11016 = add(_T_11015, _T_10993) @[exu_mul_ctl.scala 137:112] + node _T_11017 = add(_T_11016, _T_10994) @[exu_mul_ctl.scala 137:112] + node _T_11018 = add(_T_11017, _T_10995) @[exu_mul_ctl.scala 137:112] + node _T_11019 = add(_T_11018, _T_10996) @[exu_mul_ctl.scala 137:112] + node _T_11020 = add(_T_11019, _T_10997) @[exu_mul_ctl.scala 137:112] + node _T_11021 = add(_T_11020, _T_10998) @[exu_mul_ctl.scala 137:112] + node _T_11022 = add(_T_11021, _T_10999) @[exu_mul_ctl.scala 137:112] + node _T_11023 = add(_T_11022, _T_11000) @[exu_mul_ctl.scala 137:112] + node _T_11024 = add(_T_11023, _T_11001) @[exu_mul_ctl.scala 137:112] + node _T_11025 = add(_T_11024, _T_11002) @[exu_mul_ctl.scala 137:112] + node _T_11026 = add(_T_11025, _T_11003) @[exu_mul_ctl.scala 137:112] + node _T_11027 = add(_T_11026, _T_11004) @[exu_mul_ctl.scala 137:112] + node _T_11028 = eq(_T_11027, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11029 = bits(_T_11028, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11030 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_11031 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11032 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11033 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11034 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11035 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11036 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11037 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11038 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11039 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11040 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11041 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11042 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11043 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11044 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11045 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11046 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11047 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11048 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11049 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11050 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11051 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11052 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11053 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11054 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11055 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11056 = add(_T_11031, _T_11032) @[exu_mul_ctl.scala 137:112] + node _T_11057 = add(_T_11056, _T_11033) @[exu_mul_ctl.scala 137:112] + node _T_11058 = add(_T_11057, _T_11034) @[exu_mul_ctl.scala 137:112] + node _T_11059 = add(_T_11058, _T_11035) @[exu_mul_ctl.scala 137:112] + node _T_11060 = add(_T_11059, _T_11036) @[exu_mul_ctl.scala 137:112] + node _T_11061 = add(_T_11060, _T_11037) @[exu_mul_ctl.scala 137:112] + node _T_11062 = add(_T_11061, _T_11038) @[exu_mul_ctl.scala 137:112] + node _T_11063 = add(_T_11062, _T_11039) @[exu_mul_ctl.scala 137:112] + node _T_11064 = add(_T_11063, _T_11040) @[exu_mul_ctl.scala 137:112] + node _T_11065 = add(_T_11064, _T_11041) @[exu_mul_ctl.scala 137:112] + node _T_11066 = add(_T_11065, _T_11042) @[exu_mul_ctl.scala 137:112] + node _T_11067 = add(_T_11066, _T_11043) @[exu_mul_ctl.scala 137:112] + node _T_11068 = add(_T_11067, _T_11044) @[exu_mul_ctl.scala 137:112] + node _T_11069 = add(_T_11068, _T_11045) @[exu_mul_ctl.scala 137:112] + node _T_11070 = add(_T_11069, _T_11046) @[exu_mul_ctl.scala 137:112] + node _T_11071 = add(_T_11070, _T_11047) @[exu_mul_ctl.scala 137:112] + node _T_11072 = add(_T_11071, _T_11048) @[exu_mul_ctl.scala 137:112] + node _T_11073 = add(_T_11072, _T_11049) @[exu_mul_ctl.scala 137:112] + node _T_11074 = add(_T_11073, _T_11050) @[exu_mul_ctl.scala 137:112] + node _T_11075 = add(_T_11074, _T_11051) @[exu_mul_ctl.scala 137:112] + node _T_11076 = add(_T_11075, _T_11052) @[exu_mul_ctl.scala 137:112] + node _T_11077 = add(_T_11076, _T_11053) @[exu_mul_ctl.scala 137:112] + node _T_11078 = add(_T_11077, _T_11054) @[exu_mul_ctl.scala 137:112] + node _T_11079 = add(_T_11078, _T_11055) @[exu_mul_ctl.scala 137:112] + node _T_11080 = eq(_T_11079, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11081 = bits(_T_11080, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11082 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_11083 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11084 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11085 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11086 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11087 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11088 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11089 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11090 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11091 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11092 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11093 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11094 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11095 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11096 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11097 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11098 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11099 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11100 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11101 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11102 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11103 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11104 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11105 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11106 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11107 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11108 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11109 = add(_T_11083, _T_11084) @[exu_mul_ctl.scala 137:112] + node _T_11110 = add(_T_11109, _T_11085) @[exu_mul_ctl.scala 137:112] + node _T_11111 = add(_T_11110, _T_11086) @[exu_mul_ctl.scala 137:112] + node _T_11112 = add(_T_11111, _T_11087) @[exu_mul_ctl.scala 137:112] + node _T_11113 = add(_T_11112, _T_11088) @[exu_mul_ctl.scala 137:112] + node _T_11114 = add(_T_11113, _T_11089) @[exu_mul_ctl.scala 137:112] + node _T_11115 = add(_T_11114, _T_11090) @[exu_mul_ctl.scala 137:112] + node _T_11116 = add(_T_11115, _T_11091) @[exu_mul_ctl.scala 137:112] + node _T_11117 = add(_T_11116, _T_11092) @[exu_mul_ctl.scala 137:112] + node _T_11118 = add(_T_11117, _T_11093) @[exu_mul_ctl.scala 137:112] + node _T_11119 = add(_T_11118, _T_11094) @[exu_mul_ctl.scala 137:112] + node _T_11120 = add(_T_11119, _T_11095) @[exu_mul_ctl.scala 137:112] + node _T_11121 = add(_T_11120, _T_11096) @[exu_mul_ctl.scala 137:112] + node _T_11122 = add(_T_11121, _T_11097) @[exu_mul_ctl.scala 137:112] + node _T_11123 = add(_T_11122, _T_11098) @[exu_mul_ctl.scala 137:112] + node _T_11124 = add(_T_11123, _T_11099) @[exu_mul_ctl.scala 137:112] + node _T_11125 = add(_T_11124, _T_11100) @[exu_mul_ctl.scala 137:112] + node _T_11126 = add(_T_11125, _T_11101) @[exu_mul_ctl.scala 137:112] + node _T_11127 = add(_T_11126, _T_11102) @[exu_mul_ctl.scala 137:112] + node _T_11128 = add(_T_11127, _T_11103) @[exu_mul_ctl.scala 137:112] + node _T_11129 = add(_T_11128, _T_11104) @[exu_mul_ctl.scala 137:112] + node _T_11130 = add(_T_11129, _T_11105) @[exu_mul_ctl.scala 137:112] + node _T_11131 = add(_T_11130, _T_11106) @[exu_mul_ctl.scala 137:112] + node _T_11132 = add(_T_11131, _T_11107) @[exu_mul_ctl.scala 137:112] + node _T_11133 = add(_T_11132, _T_11108) @[exu_mul_ctl.scala 137:112] + node _T_11134 = eq(_T_11133, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11135 = bits(_T_11134, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11136 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_11137 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11138 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11139 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11140 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11141 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11142 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11143 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11144 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11145 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11146 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11147 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11148 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11149 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11150 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11151 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11152 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11153 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11154 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11155 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11156 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11157 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11158 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11159 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11160 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11161 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11162 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11163 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11164 = add(_T_11137, _T_11138) @[exu_mul_ctl.scala 137:112] + node _T_11165 = add(_T_11164, _T_11139) @[exu_mul_ctl.scala 137:112] + node _T_11166 = add(_T_11165, _T_11140) @[exu_mul_ctl.scala 137:112] + node _T_11167 = add(_T_11166, _T_11141) @[exu_mul_ctl.scala 137:112] + node _T_11168 = add(_T_11167, _T_11142) @[exu_mul_ctl.scala 137:112] + node _T_11169 = add(_T_11168, _T_11143) @[exu_mul_ctl.scala 137:112] + node _T_11170 = add(_T_11169, _T_11144) @[exu_mul_ctl.scala 137:112] + node _T_11171 = add(_T_11170, _T_11145) @[exu_mul_ctl.scala 137:112] + node _T_11172 = add(_T_11171, _T_11146) @[exu_mul_ctl.scala 137:112] + node _T_11173 = add(_T_11172, _T_11147) @[exu_mul_ctl.scala 137:112] + node _T_11174 = add(_T_11173, _T_11148) @[exu_mul_ctl.scala 137:112] + node _T_11175 = add(_T_11174, _T_11149) @[exu_mul_ctl.scala 137:112] + node _T_11176 = add(_T_11175, _T_11150) @[exu_mul_ctl.scala 137:112] + node _T_11177 = add(_T_11176, _T_11151) @[exu_mul_ctl.scala 137:112] + node _T_11178 = add(_T_11177, _T_11152) @[exu_mul_ctl.scala 137:112] + node _T_11179 = add(_T_11178, _T_11153) @[exu_mul_ctl.scala 137:112] + node _T_11180 = add(_T_11179, _T_11154) @[exu_mul_ctl.scala 137:112] + node _T_11181 = add(_T_11180, _T_11155) @[exu_mul_ctl.scala 137:112] + node _T_11182 = add(_T_11181, _T_11156) @[exu_mul_ctl.scala 137:112] + node _T_11183 = add(_T_11182, _T_11157) @[exu_mul_ctl.scala 137:112] + node _T_11184 = add(_T_11183, _T_11158) @[exu_mul_ctl.scala 137:112] + node _T_11185 = add(_T_11184, _T_11159) @[exu_mul_ctl.scala 137:112] + node _T_11186 = add(_T_11185, _T_11160) @[exu_mul_ctl.scala 137:112] + node _T_11187 = add(_T_11186, _T_11161) @[exu_mul_ctl.scala 137:112] + node _T_11188 = add(_T_11187, _T_11162) @[exu_mul_ctl.scala 137:112] + node _T_11189 = add(_T_11188, _T_11163) @[exu_mul_ctl.scala 137:112] + node _T_11190 = eq(_T_11189, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11191 = bits(_T_11190, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11192 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_11193 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11194 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11195 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11196 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11197 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11198 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11199 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11200 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11201 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11202 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11203 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11204 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11205 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11206 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11207 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11208 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11209 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11210 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11211 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11212 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11213 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11214 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11215 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11216 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11217 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11218 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11219 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11220 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_11221 = add(_T_11193, _T_11194) @[exu_mul_ctl.scala 137:112] + node _T_11222 = add(_T_11221, _T_11195) @[exu_mul_ctl.scala 137:112] + node _T_11223 = add(_T_11222, _T_11196) @[exu_mul_ctl.scala 137:112] + node _T_11224 = add(_T_11223, _T_11197) @[exu_mul_ctl.scala 137:112] + node _T_11225 = add(_T_11224, _T_11198) @[exu_mul_ctl.scala 137:112] + node _T_11226 = add(_T_11225, _T_11199) @[exu_mul_ctl.scala 137:112] + node _T_11227 = add(_T_11226, _T_11200) @[exu_mul_ctl.scala 137:112] + node _T_11228 = add(_T_11227, _T_11201) @[exu_mul_ctl.scala 137:112] + node _T_11229 = add(_T_11228, _T_11202) @[exu_mul_ctl.scala 137:112] + node _T_11230 = add(_T_11229, _T_11203) @[exu_mul_ctl.scala 137:112] + node _T_11231 = add(_T_11230, _T_11204) @[exu_mul_ctl.scala 137:112] + node _T_11232 = add(_T_11231, _T_11205) @[exu_mul_ctl.scala 137:112] + node _T_11233 = add(_T_11232, _T_11206) @[exu_mul_ctl.scala 137:112] + node _T_11234 = add(_T_11233, _T_11207) @[exu_mul_ctl.scala 137:112] + node _T_11235 = add(_T_11234, _T_11208) @[exu_mul_ctl.scala 137:112] + node _T_11236 = add(_T_11235, _T_11209) @[exu_mul_ctl.scala 137:112] + node _T_11237 = add(_T_11236, _T_11210) @[exu_mul_ctl.scala 137:112] + node _T_11238 = add(_T_11237, _T_11211) @[exu_mul_ctl.scala 137:112] + node _T_11239 = add(_T_11238, _T_11212) @[exu_mul_ctl.scala 137:112] + node _T_11240 = add(_T_11239, _T_11213) @[exu_mul_ctl.scala 137:112] + node _T_11241 = add(_T_11240, _T_11214) @[exu_mul_ctl.scala 137:112] + node _T_11242 = add(_T_11241, _T_11215) @[exu_mul_ctl.scala 137:112] + node _T_11243 = add(_T_11242, _T_11216) @[exu_mul_ctl.scala 137:112] + node _T_11244 = add(_T_11243, _T_11217) @[exu_mul_ctl.scala 137:112] + node _T_11245 = add(_T_11244, _T_11218) @[exu_mul_ctl.scala 137:112] + node _T_11246 = add(_T_11245, _T_11219) @[exu_mul_ctl.scala 137:112] + node _T_11247 = add(_T_11246, _T_11220) @[exu_mul_ctl.scala 137:112] + node _T_11248 = eq(_T_11247, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11249 = bits(_T_11248, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11250 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_11251 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11252 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11253 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11254 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11255 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11256 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11257 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11258 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11259 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11260 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11261 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11262 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11263 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11264 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11265 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11266 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11267 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11268 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11269 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11270 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11271 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11272 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11273 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11274 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11275 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11276 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11277 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11278 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_11279 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_11280 = add(_T_11251, _T_11252) @[exu_mul_ctl.scala 137:112] + node _T_11281 = add(_T_11280, _T_11253) @[exu_mul_ctl.scala 137:112] + node _T_11282 = add(_T_11281, _T_11254) @[exu_mul_ctl.scala 137:112] + node _T_11283 = add(_T_11282, _T_11255) @[exu_mul_ctl.scala 137:112] + node _T_11284 = add(_T_11283, _T_11256) @[exu_mul_ctl.scala 137:112] + node _T_11285 = add(_T_11284, _T_11257) @[exu_mul_ctl.scala 137:112] + node _T_11286 = add(_T_11285, _T_11258) @[exu_mul_ctl.scala 137:112] + node _T_11287 = add(_T_11286, _T_11259) @[exu_mul_ctl.scala 137:112] + node _T_11288 = add(_T_11287, _T_11260) @[exu_mul_ctl.scala 137:112] + node _T_11289 = add(_T_11288, _T_11261) @[exu_mul_ctl.scala 137:112] + node _T_11290 = add(_T_11289, _T_11262) @[exu_mul_ctl.scala 137:112] + node _T_11291 = add(_T_11290, _T_11263) @[exu_mul_ctl.scala 137:112] + node _T_11292 = add(_T_11291, _T_11264) @[exu_mul_ctl.scala 137:112] + node _T_11293 = add(_T_11292, _T_11265) @[exu_mul_ctl.scala 137:112] + node _T_11294 = add(_T_11293, _T_11266) @[exu_mul_ctl.scala 137:112] + node _T_11295 = add(_T_11294, _T_11267) @[exu_mul_ctl.scala 137:112] + node _T_11296 = add(_T_11295, _T_11268) @[exu_mul_ctl.scala 137:112] + node _T_11297 = add(_T_11296, _T_11269) @[exu_mul_ctl.scala 137:112] + node _T_11298 = add(_T_11297, _T_11270) @[exu_mul_ctl.scala 137:112] + node _T_11299 = add(_T_11298, _T_11271) @[exu_mul_ctl.scala 137:112] + node _T_11300 = add(_T_11299, _T_11272) @[exu_mul_ctl.scala 137:112] + node _T_11301 = add(_T_11300, _T_11273) @[exu_mul_ctl.scala 137:112] + node _T_11302 = add(_T_11301, _T_11274) @[exu_mul_ctl.scala 137:112] + node _T_11303 = add(_T_11302, _T_11275) @[exu_mul_ctl.scala 137:112] + node _T_11304 = add(_T_11303, _T_11276) @[exu_mul_ctl.scala 137:112] + node _T_11305 = add(_T_11304, _T_11277) @[exu_mul_ctl.scala 137:112] + node _T_11306 = add(_T_11305, _T_11278) @[exu_mul_ctl.scala 137:112] + node _T_11307 = add(_T_11306, _T_11279) @[exu_mul_ctl.scala 137:112] + node _T_11308 = eq(_T_11307, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11309 = bits(_T_11308, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11310 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_11311 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11312 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11313 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11314 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11315 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11316 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11317 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11318 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11319 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11320 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11321 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11322 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11323 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11324 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11325 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11326 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11327 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11328 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11329 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11330 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11331 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11332 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11333 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11334 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11335 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11336 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11337 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11338 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_11339 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_11340 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_11341 = add(_T_11311, _T_11312) @[exu_mul_ctl.scala 137:112] + node _T_11342 = add(_T_11341, _T_11313) @[exu_mul_ctl.scala 137:112] + node _T_11343 = add(_T_11342, _T_11314) @[exu_mul_ctl.scala 137:112] + node _T_11344 = add(_T_11343, _T_11315) @[exu_mul_ctl.scala 137:112] + node _T_11345 = add(_T_11344, _T_11316) @[exu_mul_ctl.scala 137:112] + node _T_11346 = add(_T_11345, _T_11317) @[exu_mul_ctl.scala 137:112] + node _T_11347 = add(_T_11346, _T_11318) @[exu_mul_ctl.scala 137:112] + node _T_11348 = add(_T_11347, _T_11319) @[exu_mul_ctl.scala 137:112] + node _T_11349 = add(_T_11348, _T_11320) @[exu_mul_ctl.scala 137:112] + node _T_11350 = add(_T_11349, _T_11321) @[exu_mul_ctl.scala 137:112] + node _T_11351 = add(_T_11350, _T_11322) @[exu_mul_ctl.scala 137:112] + node _T_11352 = add(_T_11351, _T_11323) @[exu_mul_ctl.scala 137:112] + node _T_11353 = add(_T_11352, _T_11324) @[exu_mul_ctl.scala 137:112] + node _T_11354 = add(_T_11353, _T_11325) @[exu_mul_ctl.scala 137:112] + node _T_11355 = add(_T_11354, _T_11326) @[exu_mul_ctl.scala 137:112] + node _T_11356 = add(_T_11355, _T_11327) @[exu_mul_ctl.scala 137:112] + node _T_11357 = add(_T_11356, _T_11328) @[exu_mul_ctl.scala 137:112] + node _T_11358 = add(_T_11357, _T_11329) @[exu_mul_ctl.scala 137:112] + node _T_11359 = add(_T_11358, _T_11330) @[exu_mul_ctl.scala 137:112] + node _T_11360 = add(_T_11359, _T_11331) @[exu_mul_ctl.scala 137:112] + node _T_11361 = add(_T_11360, _T_11332) @[exu_mul_ctl.scala 137:112] + node _T_11362 = add(_T_11361, _T_11333) @[exu_mul_ctl.scala 137:112] + node _T_11363 = add(_T_11362, _T_11334) @[exu_mul_ctl.scala 137:112] + node _T_11364 = add(_T_11363, _T_11335) @[exu_mul_ctl.scala 137:112] + node _T_11365 = add(_T_11364, _T_11336) @[exu_mul_ctl.scala 137:112] + node _T_11366 = add(_T_11365, _T_11337) @[exu_mul_ctl.scala 137:112] + node _T_11367 = add(_T_11366, _T_11338) @[exu_mul_ctl.scala 137:112] + node _T_11368 = add(_T_11367, _T_11339) @[exu_mul_ctl.scala 137:112] + node _T_11369 = add(_T_11368, _T_11340) @[exu_mul_ctl.scala 137:112] + node _T_11370 = eq(_T_11369, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11371 = bits(_T_11370, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11372 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_11373 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11374 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11375 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11376 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11377 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11378 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11379 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11380 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11381 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11382 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11383 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11384 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11385 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11386 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11387 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11388 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11389 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11390 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11391 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11392 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11393 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11394 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11395 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11396 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11397 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11398 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11399 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11400 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_11401 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_11402 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_11403 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_11404 = add(_T_11373, _T_11374) @[exu_mul_ctl.scala 137:112] + node _T_11405 = add(_T_11404, _T_11375) @[exu_mul_ctl.scala 137:112] + node _T_11406 = add(_T_11405, _T_11376) @[exu_mul_ctl.scala 137:112] + node _T_11407 = add(_T_11406, _T_11377) @[exu_mul_ctl.scala 137:112] + node _T_11408 = add(_T_11407, _T_11378) @[exu_mul_ctl.scala 137:112] + node _T_11409 = add(_T_11408, _T_11379) @[exu_mul_ctl.scala 137:112] + node _T_11410 = add(_T_11409, _T_11380) @[exu_mul_ctl.scala 137:112] + node _T_11411 = add(_T_11410, _T_11381) @[exu_mul_ctl.scala 137:112] + node _T_11412 = add(_T_11411, _T_11382) @[exu_mul_ctl.scala 137:112] + node _T_11413 = add(_T_11412, _T_11383) @[exu_mul_ctl.scala 137:112] + node _T_11414 = add(_T_11413, _T_11384) @[exu_mul_ctl.scala 137:112] + node _T_11415 = add(_T_11414, _T_11385) @[exu_mul_ctl.scala 137:112] + node _T_11416 = add(_T_11415, _T_11386) @[exu_mul_ctl.scala 137:112] + node _T_11417 = add(_T_11416, _T_11387) @[exu_mul_ctl.scala 137:112] + node _T_11418 = add(_T_11417, _T_11388) @[exu_mul_ctl.scala 137:112] + node _T_11419 = add(_T_11418, _T_11389) @[exu_mul_ctl.scala 137:112] + node _T_11420 = add(_T_11419, _T_11390) @[exu_mul_ctl.scala 137:112] + node _T_11421 = add(_T_11420, _T_11391) @[exu_mul_ctl.scala 137:112] + node _T_11422 = add(_T_11421, _T_11392) @[exu_mul_ctl.scala 137:112] + node _T_11423 = add(_T_11422, _T_11393) @[exu_mul_ctl.scala 137:112] + node _T_11424 = add(_T_11423, _T_11394) @[exu_mul_ctl.scala 137:112] + node _T_11425 = add(_T_11424, _T_11395) @[exu_mul_ctl.scala 137:112] + node _T_11426 = add(_T_11425, _T_11396) @[exu_mul_ctl.scala 137:112] + node _T_11427 = add(_T_11426, _T_11397) @[exu_mul_ctl.scala 137:112] + node _T_11428 = add(_T_11427, _T_11398) @[exu_mul_ctl.scala 137:112] + node _T_11429 = add(_T_11428, _T_11399) @[exu_mul_ctl.scala 137:112] + node _T_11430 = add(_T_11429, _T_11400) @[exu_mul_ctl.scala 137:112] + node _T_11431 = add(_T_11430, _T_11401) @[exu_mul_ctl.scala 137:112] + node _T_11432 = add(_T_11431, _T_11402) @[exu_mul_ctl.scala 137:112] + node _T_11433 = add(_T_11432, _T_11403) @[exu_mul_ctl.scala 137:112] + node _T_11434 = eq(_T_11433, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11435 = bits(_T_11434, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11436 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_11437 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11438 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11439 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11440 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11441 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11442 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11443 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11444 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11445 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11446 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11447 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11448 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11449 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11450 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11451 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11452 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11453 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11454 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11455 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11456 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11457 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11458 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11459 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11460 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11461 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11462 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11463 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11464 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_11465 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_11466 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_11467 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_11468 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_11469 = add(_T_11437, _T_11438) @[exu_mul_ctl.scala 137:112] + node _T_11470 = add(_T_11469, _T_11439) @[exu_mul_ctl.scala 137:112] + node _T_11471 = add(_T_11470, _T_11440) @[exu_mul_ctl.scala 137:112] + node _T_11472 = add(_T_11471, _T_11441) @[exu_mul_ctl.scala 137:112] + node _T_11473 = add(_T_11472, _T_11442) @[exu_mul_ctl.scala 137:112] + node _T_11474 = add(_T_11473, _T_11443) @[exu_mul_ctl.scala 137:112] + node _T_11475 = add(_T_11474, _T_11444) @[exu_mul_ctl.scala 137:112] + node _T_11476 = add(_T_11475, _T_11445) @[exu_mul_ctl.scala 137:112] + node _T_11477 = add(_T_11476, _T_11446) @[exu_mul_ctl.scala 137:112] + node _T_11478 = add(_T_11477, _T_11447) @[exu_mul_ctl.scala 137:112] + node _T_11479 = add(_T_11478, _T_11448) @[exu_mul_ctl.scala 137:112] + node _T_11480 = add(_T_11479, _T_11449) @[exu_mul_ctl.scala 137:112] + node _T_11481 = add(_T_11480, _T_11450) @[exu_mul_ctl.scala 137:112] + node _T_11482 = add(_T_11481, _T_11451) @[exu_mul_ctl.scala 137:112] + node _T_11483 = add(_T_11482, _T_11452) @[exu_mul_ctl.scala 137:112] + node _T_11484 = add(_T_11483, _T_11453) @[exu_mul_ctl.scala 137:112] + node _T_11485 = add(_T_11484, _T_11454) @[exu_mul_ctl.scala 137:112] + node _T_11486 = add(_T_11485, _T_11455) @[exu_mul_ctl.scala 137:112] + node _T_11487 = add(_T_11486, _T_11456) @[exu_mul_ctl.scala 137:112] + node _T_11488 = add(_T_11487, _T_11457) @[exu_mul_ctl.scala 137:112] + node _T_11489 = add(_T_11488, _T_11458) @[exu_mul_ctl.scala 137:112] + node _T_11490 = add(_T_11489, _T_11459) @[exu_mul_ctl.scala 137:112] + node _T_11491 = add(_T_11490, _T_11460) @[exu_mul_ctl.scala 137:112] + node _T_11492 = add(_T_11491, _T_11461) @[exu_mul_ctl.scala 137:112] + node _T_11493 = add(_T_11492, _T_11462) @[exu_mul_ctl.scala 137:112] + node _T_11494 = add(_T_11493, _T_11463) @[exu_mul_ctl.scala 137:112] + node _T_11495 = add(_T_11494, _T_11464) @[exu_mul_ctl.scala 137:112] + node _T_11496 = add(_T_11495, _T_11465) @[exu_mul_ctl.scala 137:112] + node _T_11497 = add(_T_11496, _T_11466) @[exu_mul_ctl.scala 137:112] + node _T_11498 = add(_T_11497, _T_11467) @[exu_mul_ctl.scala 137:112] + node _T_11499 = add(_T_11498, _T_11468) @[exu_mul_ctl.scala 137:112] + node _T_11500 = eq(_T_11499, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11501 = bits(_T_11500, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11502 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_11503 = mux(_T_11501, _T_11502, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_11504 = mux(_T_11435, _T_11436, _T_11503) @[Mux.scala 98:16] + node _T_11505 = mux(_T_11371, _T_11372, _T_11504) @[Mux.scala 98:16] + node _T_11506 = mux(_T_11309, _T_11310, _T_11505) @[Mux.scala 98:16] + node _T_11507 = mux(_T_11249, _T_11250, _T_11506) @[Mux.scala 98:16] + node _T_11508 = mux(_T_11191, _T_11192, _T_11507) @[Mux.scala 98:16] + node _T_11509 = mux(_T_11135, _T_11136, _T_11508) @[Mux.scala 98:16] + node _T_11510 = mux(_T_11081, _T_11082, _T_11509) @[Mux.scala 98:16] + node _T_11511 = mux(_T_11029, _T_11030, _T_11510) @[Mux.scala 98:16] + node _T_11512 = mux(_T_10979, _T_10980, _T_11511) @[Mux.scala 98:16] + node _T_11513 = mux(_T_10931, _T_10932, _T_11512) @[Mux.scala 98:16] + node _T_11514 = mux(_T_10885, _T_10886, _T_11513) @[Mux.scala 98:16] + node _T_11515 = mux(_T_10841, _T_10842, _T_11514) @[Mux.scala 98:16] + node _T_11516 = mux(_T_10799, _T_10800, _T_11515) @[Mux.scala 98:16] + node _T_11517 = mux(_T_10759, _T_10760, _T_11516) @[Mux.scala 98:16] + node _T_11518 = mux(_T_10721, _T_10722, _T_11517) @[Mux.scala 98:16] + node _T_11519 = mux(_T_10685, _T_10686, _T_11518) @[Mux.scala 98:16] + node _T_11520 = mux(_T_10651, _T_10652, _T_11519) @[Mux.scala 98:16] + node _T_11521 = mux(_T_10619, _T_10620, _T_11520) @[Mux.scala 98:16] + node _T_11522 = mux(_T_10589, _T_10590, _T_11521) @[Mux.scala 98:16] + node _T_11523 = mux(_T_10561, _T_10562, _T_11522) @[Mux.scala 98:16] + node _T_11524 = mux(_T_10535, _T_10536, _T_11523) @[Mux.scala 98:16] + node _T_11525 = mux(_T_10511, _T_10512, _T_11524) @[Mux.scala 98:16] + node _T_11526 = mux(_T_10489, _T_10490, _T_11525) @[Mux.scala 98:16] + node _T_11527 = mux(_T_10469, _T_10470, _T_11526) @[Mux.scala 98:16] + node _T_11528 = mux(_T_10451, _T_10452, _T_11527) @[Mux.scala 98:16] + node _T_11529 = mux(_T_10435, _T_10436, _T_11528) @[Mux.scala 98:16] + node _T_11530 = mux(_T_10421, _T_10422, _T_11529) @[Mux.scala 98:16] + node _T_11531 = mux(_T_10409, _T_10410, _T_11530) @[Mux.scala 98:16] + node _T_11532 = mux(_T_10399, _T_10400, _T_11531) @[Mux.scala 98:16] + node _T_11533 = mux(_T_10391, _T_10392, _T_11532) @[Mux.scala 98:16] + node _T_11534 = mux(_T_10385, _T_10386, _T_11533) @[Mux.scala 98:16] + node _T_11535 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_11536 = eq(_T_11535, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11537 = bits(_T_11536, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11538 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_11539 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11540 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11541 = add(_T_11539, _T_11540) @[exu_mul_ctl.scala 137:112] + node _T_11542 = eq(_T_11541, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11543 = bits(_T_11542, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11544 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_11545 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11546 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11547 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11548 = add(_T_11545, _T_11546) @[exu_mul_ctl.scala 137:112] + node _T_11549 = add(_T_11548, _T_11547) @[exu_mul_ctl.scala 137:112] + node _T_11550 = eq(_T_11549, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11551 = bits(_T_11550, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11552 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_11553 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11554 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11555 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11556 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11557 = add(_T_11553, _T_11554) @[exu_mul_ctl.scala 137:112] + node _T_11558 = add(_T_11557, _T_11555) @[exu_mul_ctl.scala 137:112] + node _T_11559 = add(_T_11558, _T_11556) @[exu_mul_ctl.scala 137:112] + node _T_11560 = eq(_T_11559, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11561 = bits(_T_11560, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11562 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_11563 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11564 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11565 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11566 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11567 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11568 = add(_T_11563, _T_11564) @[exu_mul_ctl.scala 137:112] + node _T_11569 = add(_T_11568, _T_11565) @[exu_mul_ctl.scala 137:112] + node _T_11570 = add(_T_11569, _T_11566) @[exu_mul_ctl.scala 137:112] + node _T_11571 = add(_T_11570, _T_11567) @[exu_mul_ctl.scala 137:112] + node _T_11572 = eq(_T_11571, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11573 = bits(_T_11572, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11574 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_11575 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11576 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11577 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11578 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11579 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11580 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11581 = add(_T_11575, _T_11576) @[exu_mul_ctl.scala 137:112] + node _T_11582 = add(_T_11581, _T_11577) @[exu_mul_ctl.scala 137:112] + node _T_11583 = add(_T_11582, _T_11578) @[exu_mul_ctl.scala 137:112] + node _T_11584 = add(_T_11583, _T_11579) @[exu_mul_ctl.scala 137:112] + node _T_11585 = add(_T_11584, _T_11580) @[exu_mul_ctl.scala 137:112] + node _T_11586 = eq(_T_11585, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11587 = bits(_T_11586, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11588 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_11589 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11590 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11591 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11592 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11593 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11594 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11595 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11596 = add(_T_11589, _T_11590) @[exu_mul_ctl.scala 137:112] + node _T_11597 = add(_T_11596, _T_11591) @[exu_mul_ctl.scala 137:112] + node _T_11598 = add(_T_11597, _T_11592) @[exu_mul_ctl.scala 137:112] + node _T_11599 = add(_T_11598, _T_11593) @[exu_mul_ctl.scala 137:112] + node _T_11600 = add(_T_11599, _T_11594) @[exu_mul_ctl.scala 137:112] + node _T_11601 = add(_T_11600, _T_11595) @[exu_mul_ctl.scala 137:112] + node _T_11602 = eq(_T_11601, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11603 = bits(_T_11602, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11604 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_11605 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11606 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11607 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11608 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11609 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11610 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11611 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11612 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11613 = add(_T_11605, _T_11606) @[exu_mul_ctl.scala 137:112] + node _T_11614 = add(_T_11613, _T_11607) @[exu_mul_ctl.scala 137:112] + node _T_11615 = add(_T_11614, _T_11608) @[exu_mul_ctl.scala 137:112] + node _T_11616 = add(_T_11615, _T_11609) @[exu_mul_ctl.scala 137:112] + node _T_11617 = add(_T_11616, _T_11610) @[exu_mul_ctl.scala 137:112] + node _T_11618 = add(_T_11617, _T_11611) @[exu_mul_ctl.scala 137:112] + node _T_11619 = add(_T_11618, _T_11612) @[exu_mul_ctl.scala 137:112] + node _T_11620 = eq(_T_11619, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11621 = bits(_T_11620, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11622 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_11623 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11624 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11625 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11626 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11627 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11628 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11629 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11630 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11631 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11632 = add(_T_11623, _T_11624) @[exu_mul_ctl.scala 137:112] + node _T_11633 = add(_T_11632, _T_11625) @[exu_mul_ctl.scala 137:112] + node _T_11634 = add(_T_11633, _T_11626) @[exu_mul_ctl.scala 137:112] + node _T_11635 = add(_T_11634, _T_11627) @[exu_mul_ctl.scala 137:112] + node _T_11636 = add(_T_11635, _T_11628) @[exu_mul_ctl.scala 137:112] + node _T_11637 = add(_T_11636, _T_11629) @[exu_mul_ctl.scala 137:112] + node _T_11638 = add(_T_11637, _T_11630) @[exu_mul_ctl.scala 137:112] + node _T_11639 = add(_T_11638, _T_11631) @[exu_mul_ctl.scala 137:112] + node _T_11640 = eq(_T_11639, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11641 = bits(_T_11640, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11642 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_11643 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11644 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11645 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11646 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11647 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11648 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11649 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11650 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11651 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11652 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11653 = add(_T_11643, _T_11644) @[exu_mul_ctl.scala 137:112] + node _T_11654 = add(_T_11653, _T_11645) @[exu_mul_ctl.scala 137:112] + node _T_11655 = add(_T_11654, _T_11646) @[exu_mul_ctl.scala 137:112] + node _T_11656 = add(_T_11655, _T_11647) @[exu_mul_ctl.scala 137:112] + node _T_11657 = add(_T_11656, _T_11648) @[exu_mul_ctl.scala 137:112] + node _T_11658 = add(_T_11657, _T_11649) @[exu_mul_ctl.scala 137:112] + node _T_11659 = add(_T_11658, _T_11650) @[exu_mul_ctl.scala 137:112] + node _T_11660 = add(_T_11659, _T_11651) @[exu_mul_ctl.scala 137:112] + node _T_11661 = add(_T_11660, _T_11652) @[exu_mul_ctl.scala 137:112] + node _T_11662 = eq(_T_11661, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11663 = bits(_T_11662, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11664 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_11665 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11666 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11667 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11668 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11669 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11670 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11671 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11672 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11673 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11674 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11675 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11676 = add(_T_11665, _T_11666) @[exu_mul_ctl.scala 137:112] + node _T_11677 = add(_T_11676, _T_11667) @[exu_mul_ctl.scala 137:112] + node _T_11678 = add(_T_11677, _T_11668) @[exu_mul_ctl.scala 137:112] + node _T_11679 = add(_T_11678, _T_11669) @[exu_mul_ctl.scala 137:112] + node _T_11680 = add(_T_11679, _T_11670) @[exu_mul_ctl.scala 137:112] + node _T_11681 = add(_T_11680, _T_11671) @[exu_mul_ctl.scala 137:112] + node _T_11682 = add(_T_11681, _T_11672) @[exu_mul_ctl.scala 137:112] + node _T_11683 = add(_T_11682, _T_11673) @[exu_mul_ctl.scala 137:112] + node _T_11684 = add(_T_11683, _T_11674) @[exu_mul_ctl.scala 137:112] + node _T_11685 = add(_T_11684, _T_11675) @[exu_mul_ctl.scala 137:112] + node _T_11686 = eq(_T_11685, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11687 = bits(_T_11686, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11688 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_11689 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11690 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11691 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11692 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11693 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11694 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11695 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11696 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11697 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11698 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11699 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11700 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11701 = add(_T_11689, _T_11690) @[exu_mul_ctl.scala 137:112] + node _T_11702 = add(_T_11701, _T_11691) @[exu_mul_ctl.scala 137:112] + node _T_11703 = add(_T_11702, _T_11692) @[exu_mul_ctl.scala 137:112] + node _T_11704 = add(_T_11703, _T_11693) @[exu_mul_ctl.scala 137:112] + node _T_11705 = add(_T_11704, _T_11694) @[exu_mul_ctl.scala 137:112] + node _T_11706 = add(_T_11705, _T_11695) @[exu_mul_ctl.scala 137:112] + node _T_11707 = add(_T_11706, _T_11696) @[exu_mul_ctl.scala 137:112] + node _T_11708 = add(_T_11707, _T_11697) @[exu_mul_ctl.scala 137:112] + node _T_11709 = add(_T_11708, _T_11698) @[exu_mul_ctl.scala 137:112] + node _T_11710 = add(_T_11709, _T_11699) @[exu_mul_ctl.scala 137:112] + node _T_11711 = add(_T_11710, _T_11700) @[exu_mul_ctl.scala 137:112] + node _T_11712 = eq(_T_11711, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11713 = bits(_T_11712, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11714 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_11715 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11716 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11717 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11718 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11719 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11720 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11721 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11722 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11723 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11724 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11725 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11726 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11727 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11728 = add(_T_11715, _T_11716) @[exu_mul_ctl.scala 137:112] + node _T_11729 = add(_T_11728, _T_11717) @[exu_mul_ctl.scala 137:112] + node _T_11730 = add(_T_11729, _T_11718) @[exu_mul_ctl.scala 137:112] + node _T_11731 = add(_T_11730, _T_11719) @[exu_mul_ctl.scala 137:112] + node _T_11732 = add(_T_11731, _T_11720) @[exu_mul_ctl.scala 137:112] + node _T_11733 = add(_T_11732, _T_11721) @[exu_mul_ctl.scala 137:112] + node _T_11734 = add(_T_11733, _T_11722) @[exu_mul_ctl.scala 137:112] + node _T_11735 = add(_T_11734, _T_11723) @[exu_mul_ctl.scala 137:112] + node _T_11736 = add(_T_11735, _T_11724) @[exu_mul_ctl.scala 137:112] + node _T_11737 = add(_T_11736, _T_11725) @[exu_mul_ctl.scala 137:112] + node _T_11738 = add(_T_11737, _T_11726) @[exu_mul_ctl.scala 137:112] + node _T_11739 = add(_T_11738, _T_11727) @[exu_mul_ctl.scala 137:112] + node _T_11740 = eq(_T_11739, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11741 = bits(_T_11740, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11742 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_11743 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11744 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11745 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11746 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11747 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11748 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11749 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11750 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11751 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11752 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11753 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11754 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11755 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11756 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11757 = add(_T_11743, _T_11744) @[exu_mul_ctl.scala 137:112] + node _T_11758 = add(_T_11757, _T_11745) @[exu_mul_ctl.scala 137:112] + node _T_11759 = add(_T_11758, _T_11746) @[exu_mul_ctl.scala 137:112] + node _T_11760 = add(_T_11759, _T_11747) @[exu_mul_ctl.scala 137:112] + node _T_11761 = add(_T_11760, _T_11748) @[exu_mul_ctl.scala 137:112] + node _T_11762 = add(_T_11761, _T_11749) @[exu_mul_ctl.scala 137:112] + node _T_11763 = add(_T_11762, _T_11750) @[exu_mul_ctl.scala 137:112] + node _T_11764 = add(_T_11763, _T_11751) @[exu_mul_ctl.scala 137:112] + node _T_11765 = add(_T_11764, _T_11752) @[exu_mul_ctl.scala 137:112] + node _T_11766 = add(_T_11765, _T_11753) @[exu_mul_ctl.scala 137:112] + node _T_11767 = add(_T_11766, _T_11754) @[exu_mul_ctl.scala 137:112] + node _T_11768 = add(_T_11767, _T_11755) @[exu_mul_ctl.scala 137:112] + node _T_11769 = add(_T_11768, _T_11756) @[exu_mul_ctl.scala 137:112] + node _T_11770 = eq(_T_11769, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11771 = bits(_T_11770, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11772 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_11773 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11774 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11775 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11776 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11777 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11778 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11779 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11780 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11781 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11782 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11783 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11784 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11785 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11786 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11787 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11788 = add(_T_11773, _T_11774) @[exu_mul_ctl.scala 137:112] + node _T_11789 = add(_T_11788, _T_11775) @[exu_mul_ctl.scala 137:112] + node _T_11790 = add(_T_11789, _T_11776) @[exu_mul_ctl.scala 137:112] + node _T_11791 = add(_T_11790, _T_11777) @[exu_mul_ctl.scala 137:112] + node _T_11792 = add(_T_11791, _T_11778) @[exu_mul_ctl.scala 137:112] + node _T_11793 = add(_T_11792, _T_11779) @[exu_mul_ctl.scala 137:112] + node _T_11794 = add(_T_11793, _T_11780) @[exu_mul_ctl.scala 137:112] + node _T_11795 = add(_T_11794, _T_11781) @[exu_mul_ctl.scala 137:112] + node _T_11796 = add(_T_11795, _T_11782) @[exu_mul_ctl.scala 137:112] + node _T_11797 = add(_T_11796, _T_11783) @[exu_mul_ctl.scala 137:112] + node _T_11798 = add(_T_11797, _T_11784) @[exu_mul_ctl.scala 137:112] + node _T_11799 = add(_T_11798, _T_11785) @[exu_mul_ctl.scala 137:112] + node _T_11800 = add(_T_11799, _T_11786) @[exu_mul_ctl.scala 137:112] + node _T_11801 = add(_T_11800, _T_11787) @[exu_mul_ctl.scala 137:112] + node _T_11802 = eq(_T_11801, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11803 = bits(_T_11802, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11804 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_11805 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11806 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11807 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11808 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11809 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11810 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11811 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11812 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11813 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11814 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11815 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11816 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11817 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11818 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11819 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11820 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11821 = add(_T_11805, _T_11806) @[exu_mul_ctl.scala 137:112] + node _T_11822 = add(_T_11821, _T_11807) @[exu_mul_ctl.scala 137:112] + node _T_11823 = add(_T_11822, _T_11808) @[exu_mul_ctl.scala 137:112] + node _T_11824 = add(_T_11823, _T_11809) @[exu_mul_ctl.scala 137:112] + node _T_11825 = add(_T_11824, _T_11810) @[exu_mul_ctl.scala 137:112] + node _T_11826 = add(_T_11825, _T_11811) @[exu_mul_ctl.scala 137:112] + node _T_11827 = add(_T_11826, _T_11812) @[exu_mul_ctl.scala 137:112] + node _T_11828 = add(_T_11827, _T_11813) @[exu_mul_ctl.scala 137:112] + node _T_11829 = add(_T_11828, _T_11814) @[exu_mul_ctl.scala 137:112] + node _T_11830 = add(_T_11829, _T_11815) @[exu_mul_ctl.scala 137:112] + node _T_11831 = add(_T_11830, _T_11816) @[exu_mul_ctl.scala 137:112] + node _T_11832 = add(_T_11831, _T_11817) @[exu_mul_ctl.scala 137:112] + node _T_11833 = add(_T_11832, _T_11818) @[exu_mul_ctl.scala 137:112] + node _T_11834 = add(_T_11833, _T_11819) @[exu_mul_ctl.scala 137:112] + node _T_11835 = add(_T_11834, _T_11820) @[exu_mul_ctl.scala 137:112] + node _T_11836 = eq(_T_11835, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11837 = bits(_T_11836, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11838 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_11839 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11840 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11841 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11842 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11843 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11844 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11845 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11846 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11847 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11848 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11849 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11850 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11851 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11852 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11853 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11854 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11855 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11856 = add(_T_11839, _T_11840) @[exu_mul_ctl.scala 137:112] + node _T_11857 = add(_T_11856, _T_11841) @[exu_mul_ctl.scala 137:112] + node _T_11858 = add(_T_11857, _T_11842) @[exu_mul_ctl.scala 137:112] + node _T_11859 = add(_T_11858, _T_11843) @[exu_mul_ctl.scala 137:112] + node _T_11860 = add(_T_11859, _T_11844) @[exu_mul_ctl.scala 137:112] + node _T_11861 = add(_T_11860, _T_11845) @[exu_mul_ctl.scala 137:112] + node _T_11862 = add(_T_11861, _T_11846) @[exu_mul_ctl.scala 137:112] + node _T_11863 = add(_T_11862, _T_11847) @[exu_mul_ctl.scala 137:112] + node _T_11864 = add(_T_11863, _T_11848) @[exu_mul_ctl.scala 137:112] + node _T_11865 = add(_T_11864, _T_11849) @[exu_mul_ctl.scala 137:112] + node _T_11866 = add(_T_11865, _T_11850) @[exu_mul_ctl.scala 137:112] + node _T_11867 = add(_T_11866, _T_11851) @[exu_mul_ctl.scala 137:112] + node _T_11868 = add(_T_11867, _T_11852) @[exu_mul_ctl.scala 137:112] + node _T_11869 = add(_T_11868, _T_11853) @[exu_mul_ctl.scala 137:112] + node _T_11870 = add(_T_11869, _T_11854) @[exu_mul_ctl.scala 137:112] + node _T_11871 = add(_T_11870, _T_11855) @[exu_mul_ctl.scala 137:112] + node _T_11872 = eq(_T_11871, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11873 = bits(_T_11872, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11874 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_11875 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11876 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11877 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11878 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11879 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11880 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11881 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11882 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11883 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11884 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11885 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11886 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11887 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11888 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11889 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11890 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11891 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11892 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11893 = add(_T_11875, _T_11876) @[exu_mul_ctl.scala 137:112] + node _T_11894 = add(_T_11893, _T_11877) @[exu_mul_ctl.scala 137:112] + node _T_11895 = add(_T_11894, _T_11878) @[exu_mul_ctl.scala 137:112] + node _T_11896 = add(_T_11895, _T_11879) @[exu_mul_ctl.scala 137:112] + node _T_11897 = add(_T_11896, _T_11880) @[exu_mul_ctl.scala 137:112] + node _T_11898 = add(_T_11897, _T_11881) @[exu_mul_ctl.scala 137:112] + node _T_11899 = add(_T_11898, _T_11882) @[exu_mul_ctl.scala 137:112] + node _T_11900 = add(_T_11899, _T_11883) @[exu_mul_ctl.scala 137:112] + node _T_11901 = add(_T_11900, _T_11884) @[exu_mul_ctl.scala 137:112] + node _T_11902 = add(_T_11901, _T_11885) @[exu_mul_ctl.scala 137:112] + node _T_11903 = add(_T_11902, _T_11886) @[exu_mul_ctl.scala 137:112] + node _T_11904 = add(_T_11903, _T_11887) @[exu_mul_ctl.scala 137:112] + node _T_11905 = add(_T_11904, _T_11888) @[exu_mul_ctl.scala 137:112] + node _T_11906 = add(_T_11905, _T_11889) @[exu_mul_ctl.scala 137:112] + node _T_11907 = add(_T_11906, _T_11890) @[exu_mul_ctl.scala 137:112] + node _T_11908 = add(_T_11907, _T_11891) @[exu_mul_ctl.scala 137:112] + node _T_11909 = add(_T_11908, _T_11892) @[exu_mul_ctl.scala 137:112] + node _T_11910 = eq(_T_11909, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11911 = bits(_T_11910, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11912 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_11913 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11914 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11915 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11916 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11917 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11918 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11919 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11920 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11921 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11922 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11923 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11924 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11925 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11926 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11927 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11928 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11929 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11930 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11931 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11932 = add(_T_11913, _T_11914) @[exu_mul_ctl.scala 137:112] + node _T_11933 = add(_T_11932, _T_11915) @[exu_mul_ctl.scala 137:112] + node _T_11934 = add(_T_11933, _T_11916) @[exu_mul_ctl.scala 137:112] + node _T_11935 = add(_T_11934, _T_11917) @[exu_mul_ctl.scala 137:112] + node _T_11936 = add(_T_11935, _T_11918) @[exu_mul_ctl.scala 137:112] + node _T_11937 = add(_T_11936, _T_11919) @[exu_mul_ctl.scala 137:112] + node _T_11938 = add(_T_11937, _T_11920) @[exu_mul_ctl.scala 137:112] + node _T_11939 = add(_T_11938, _T_11921) @[exu_mul_ctl.scala 137:112] + node _T_11940 = add(_T_11939, _T_11922) @[exu_mul_ctl.scala 137:112] + node _T_11941 = add(_T_11940, _T_11923) @[exu_mul_ctl.scala 137:112] + node _T_11942 = add(_T_11941, _T_11924) @[exu_mul_ctl.scala 137:112] + node _T_11943 = add(_T_11942, _T_11925) @[exu_mul_ctl.scala 137:112] + node _T_11944 = add(_T_11943, _T_11926) @[exu_mul_ctl.scala 137:112] + node _T_11945 = add(_T_11944, _T_11927) @[exu_mul_ctl.scala 137:112] + node _T_11946 = add(_T_11945, _T_11928) @[exu_mul_ctl.scala 137:112] + node _T_11947 = add(_T_11946, _T_11929) @[exu_mul_ctl.scala 137:112] + node _T_11948 = add(_T_11947, _T_11930) @[exu_mul_ctl.scala 137:112] + node _T_11949 = add(_T_11948, _T_11931) @[exu_mul_ctl.scala 137:112] + node _T_11950 = eq(_T_11949, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11951 = bits(_T_11950, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11952 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_11953 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11954 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11955 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11956 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11957 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11958 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11959 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11960 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11961 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11962 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11963 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11964 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11965 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11966 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11967 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11968 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11969 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11970 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11971 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11972 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11973 = add(_T_11953, _T_11954) @[exu_mul_ctl.scala 137:112] + node _T_11974 = add(_T_11973, _T_11955) @[exu_mul_ctl.scala 137:112] + node _T_11975 = add(_T_11974, _T_11956) @[exu_mul_ctl.scala 137:112] + node _T_11976 = add(_T_11975, _T_11957) @[exu_mul_ctl.scala 137:112] + node _T_11977 = add(_T_11976, _T_11958) @[exu_mul_ctl.scala 137:112] + node _T_11978 = add(_T_11977, _T_11959) @[exu_mul_ctl.scala 137:112] + node _T_11979 = add(_T_11978, _T_11960) @[exu_mul_ctl.scala 137:112] + node _T_11980 = add(_T_11979, _T_11961) @[exu_mul_ctl.scala 137:112] + node _T_11981 = add(_T_11980, _T_11962) @[exu_mul_ctl.scala 137:112] + node _T_11982 = add(_T_11981, _T_11963) @[exu_mul_ctl.scala 137:112] + node _T_11983 = add(_T_11982, _T_11964) @[exu_mul_ctl.scala 137:112] + node _T_11984 = add(_T_11983, _T_11965) @[exu_mul_ctl.scala 137:112] + node _T_11985 = add(_T_11984, _T_11966) @[exu_mul_ctl.scala 137:112] + node _T_11986 = add(_T_11985, _T_11967) @[exu_mul_ctl.scala 137:112] + node _T_11987 = add(_T_11986, _T_11968) @[exu_mul_ctl.scala 137:112] + node _T_11988 = add(_T_11987, _T_11969) @[exu_mul_ctl.scala 137:112] + node _T_11989 = add(_T_11988, _T_11970) @[exu_mul_ctl.scala 137:112] + node _T_11990 = add(_T_11989, _T_11971) @[exu_mul_ctl.scala 137:112] + node _T_11991 = add(_T_11990, _T_11972) @[exu_mul_ctl.scala 137:112] + node _T_11992 = eq(_T_11991, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11993 = bits(_T_11992, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11994 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_11995 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11996 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11997 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11998 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11999 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12000 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12001 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12002 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12003 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12004 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12005 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12006 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12007 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12008 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12009 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12010 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12011 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12012 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12013 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12014 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12015 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12016 = add(_T_11995, _T_11996) @[exu_mul_ctl.scala 137:112] + node _T_12017 = add(_T_12016, _T_11997) @[exu_mul_ctl.scala 137:112] + node _T_12018 = add(_T_12017, _T_11998) @[exu_mul_ctl.scala 137:112] + node _T_12019 = add(_T_12018, _T_11999) @[exu_mul_ctl.scala 137:112] + node _T_12020 = add(_T_12019, _T_12000) @[exu_mul_ctl.scala 137:112] + node _T_12021 = add(_T_12020, _T_12001) @[exu_mul_ctl.scala 137:112] + node _T_12022 = add(_T_12021, _T_12002) @[exu_mul_ctl.scala 137:112] + node _T_12023 = add(_T_12022, _T_12003) @[exu_mul_ctl.scala 137:112] + node _T_12024 = add(_T_12023, _T_12004) @[exu_mul_ctl.scala 137:112] + node _T_12025 = add(_T_12024, _T_12005) @[exu_mul_ctl.scala 137:112] + node _T_12026 = add(_T_12025, _T_12006) @[exu_mul_ctl.scala 137:112] + node _T_12027 = add(_T_12026, _T_12007) @[exu_mul_ctl.scala 137:112] + node _T_12028 = add(_T_12027, _T_12008) @[exu_mul_ctl.scala 137:112] + node _T_12029 = add(_T_12028, _T_12009) @[exu_mul_ctl.scala 137:112] + node _T_12030 = add(_T_12029, _T_12010) @[exu_mul_ctl.scala 137:112] + node _T_12031 = add(_T_12030, _T_12011) @[exu_mul_ctl.scala 137:112] + node _T_12032 = add(_T_12031, _T_12012) @[exu_mul_ctl.scala 137:112] + node _T_12033 = add(_T_12032, _T_12013) @[exu_mul_ctl.scala 137:112] + node _T_12034 = add(_T_12033, _T_12014) @[exu_mul_ctl.scala 137:112] + node _T_12035 = add(_T_12034, _T_12015) @[exu_mul_ctl.scala 137:112] + node _T_12036 = eq(_T_12035, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12037 = bits(_T_12036, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12038 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_12039 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12040 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12041 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12042 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12043 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12044 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12045 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12046 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12047 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12048 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12049 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12050 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12051 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12052 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12053 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12054 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12055 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12056 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12057 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12058 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12059 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12060 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12061 = add(_T_12039, _T_12040) @[exu_mul_ctl.scala 137:112] + node _T_12062 = add(_T_12061, _T_12041) @[exu_mul_ctl.scala 137:112] + node _T_12063 = add(_T_12062, _T_12042) @[exu_mul_ctl.scala 137:112] + node _T_12064 = add(_T_12063, _T_12043) @[exu_mul_ctl.scala 137:112] + node _T_12065 = add(_T_12064, _T_12044) @[exu_mul_ctl.scala 137:112] + node _T_12066 = add(_T_12065, _T_12045) @[exu_mul_ctl.scala 137:112] + node _T_12067 = add(_T_12066, _T_12046) @[exu_mul_ctl.scala 137:112] + node _T_12068 = add(_T_12067, _T_12047) @[exu_mul_ctl.scala 137:112] + node _T_12069 = add(_T_12068, _T_12048) @[exu_mul_ctl.scala 137:112] + node _T_12070 = add(_T_12069, _T_12049) @[exu_mul_ctl.scala 137:112] + node _T_12071 = add(_T_12070, _T_12050) @[exu_mul_ctl.scala 137:112] + node _T_12072 = add(_T_12071, _T_12051) @[exu_mul_ctl.scala 137:112] + node _T_12073 = add(_T_12072, _T_12052) @[exu_mul_ctl.scala 137:112] + node _T_12074 = add(_T_12073, _T_12053) @[exu_mul_ctl.scala 137:112] + node _T_12075 = add(_T_12074, _T_12054) @[exu_mul_ctl.scala 137:112] + node _T_12076 = add(_T_12075, _T_12055) @[exu_mul_ctl.scala 137:112] + node _T_12077 = add(_T_12076, _T_12056) @[exu_mul_ctl.scala 137:112] + node _T_12078 = add(_T_12077, _T_12057) @[exu_mul_ctl.scala 137:112] + node _T_12079 = add(_T_12078, _T_12058) @[exu_mul_ctl.scala 137:112] + node _T_12080 = add(_T_12079, _T_12059) @[exu_mul_ctl.scala 137:112] + node _T_12081 = add(_T_12080, _T_12060) @[exu_mul_ctl.scala 137:112] + node _T_12082 = eq(_T_12081, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12083 = bits(_T_12082, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12084 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_12085 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12086 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12087 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12088 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12089 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12090 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12091 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12092 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12093 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12094 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12095 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12096 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12097 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12098 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12099 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12100 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12101 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12102 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12103 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12104 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12105 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12106 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12107 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12108 = add(_T_12085, _T_12086) @[exu_mul_ctl.scala 137:112] + node _T_12109 = add(_T_12108, _T_12087) @[exu_mul_ctl.scala 137:112] + node _T_12110 = add(_T_12109, _T_12088) @[exu_mul_ctl.scala 137:112] + node _T_12111 = add(_T_12110, _T_12089) @[exu_mul_ctl.scala 137:112] + node _T_12112 = add(_T_12111, _T_12090) @[exu_mul_ctl.scala 137:112] + node _T_12113 = add(_T_12112, _T_12091) @[exu_mul_ctl.scala 137:112] + node _T_12114 = add(_T_12113, _T_12092) @[exu_mul_ctl.scala 137:112] + node _T_12115 = add(_T_12114, _T_12093) @[exu_mul_ctl.scala 137:112] + node _T_12116 = add(_T_12115, _T_12094) @[exu_mul_ctl.scala 137:112] + node _T_12117 = add(_T_12116, _T_12095) @[exu_mul_ctl.scala 137:112] + node _T_12118 = add(_T_12117, _T_12096) @[exu_mul_ctl.scala 137:112] + node _T_12119 = add(_T_12118, _T_12097) @[exu_mul_ctl.scala 137:112] + node _T_12120 = add(_T_12119, _T_12098) @[exu_mul_ctl.scala 137:112] + node _T_12121 = add(_T_12120, _T_12099) @[exu_mul_ctl.scala 137:112] + node _T_12122 = add(_T_12121, _T_12100) @[exu_mul_ctl.scala 137:112] + node _T_12123 = add(_T_12122, _T_12101) @[exu_mul_ctl.scala 137:112] + node _T_12124 = add(_T_12123, _T_12102) @[exu_mul_ctl.scala 137:112] + node _T_12125 = add(_T_12124, _T_12103) @[exu_mul_ctl.scala 137:112] + node _T_12126 = add(_T_12125, _T_12104) @[exu_mul_ctl.scala 137:112] + node _T_12127 = add(_T_12126, _T_12105) @[exu_mul_ctl.scala 137:112] + node _T_12128 = add(_T_12127, _T_12106) @[exu_mul_ctl.scala 137:112] + node _T_12129 = add(_T_12128, _T_12107) @[exu_mul_ctl.scala 137:112] + node _T_12130 = eq(_T_12129, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12131 = bits(_T_12130, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12132 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_12133 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12134 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12135 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12136 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12137 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12138 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12139 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12140 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12141 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12142 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12143 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12144 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12145 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12146 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12147 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12148 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12149 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12150 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12151 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12152 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12153 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12154 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12155 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12156 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12157 = add(_T_12133, _T_12134) @[exu_mul_ctl.scala 137:112] + node _T_12158 = add(_T_12157, _T_12135) @[exu_mul_ctl.scala 137:112] + node _T_12159 = add(_T_12158, _T_12136) @[exu_mul_ctl.scala 137:112] + node _T_12160 = add(_T_12159, _T_12137) @[exu_mul_ctl.scala 137:112] + node _T_12161 = add(_T_12160, _T_12138) @[exu_mul_ctl.scala 137:112] + node _T_12162 = add(_T_12161, _T_12139) @[exu_mul_ctl.scala 137:112] + node _T_12163 = add(_T_12162, _T_12140) @[exu_mul_ctl.scala 137:112] + node _T_12164 = add(_T_12163, _T_12141) @[exu_mul_ctl.scala 137:112] + node _T_12165 = add(_T_12164, _T_12142) @[exu_mul_ctl.scala 137:112] + node _T_12166 = add(_T_12165, _T_12143) @[exu_mul_ctl.scala 137:112] + node _T_12167 = add(_T_12166, _T_12144) @[exu_mul_ctl.scala 137:112] + node _T_12168 = add(_T_12167, _T_12145) @[exu_mul_ctl.scala 137:112] + node _T_12169 = add(_T_12168, _T_12146) @[exu_mul_ctl.scala 137:112] + node _T_12170 = add(_T_12169, _T_12147) @[exu_mul_ctl.scala 137:112] + node _T_12171 = add(_T_12170, _T_12148) @[exu_mul_ctl.scala 137:112] + node _T_12172 = add(_T_12171, _T_12149) @[exu_mul_ctl.scala 137:112] + node _T_12173 = add(_T_12172, _T_12150) @[exu_mul_ctl.scala 137:112] + node _T_12174 = add(_T_12173, _T_12151) @[exu_mul_ctl.scala 137:112] + node _T_12175 = add(_T_12174, _T_12152) @[exu_mul_ctl.scala 137:112] + node _T_12176 = add(_T_12175, _T_12153) @[exu_mul_ctl.scala 137:112] + node _T_12177 = add(_T_12176, _T_12154) @[exu_mul_ctl.scala 137:112] + node _T_12178 = add(_T_12177, _T_12155) @[exu_mul_ctl.scala 137:112] + node _T_12179 = add(_T_12178, _T_12156) @[exu_mul_ctl.scala 137:112] + node _T_12180 = eq(_T_12179, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12181 = bits(_T_12180, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12182 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_12183 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12184 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12185 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12186 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12187 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12188 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12189 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12190 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12191 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12192 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12193 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12194 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12195 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12196 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12197 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12198 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12199 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12200 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12201 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12202 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12203 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12204 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12205 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12206 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12207 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12208 = add(_T_12183, _T_12184) @[exu_mul_ctl.scala 137:112] + node _T_12209 = add(_T_12208, _T_12185) @[exu_mul_ctl.scala 137:112] + node _T_12210 = add(_T_12209, _T_12186) @[exu_mul_ctl.scala 137:112] + node _T_12211 = add(_T_12210, _T_12187) @[exu_mul_ctl.scala 137:112] + node _T_12212 = add(_T_12211, _T_12188) @[exu_mul_ctl.scala 137:112] + node _T_12213 = add(_T_12212, _T_12189) @[exu_mul_ctl.scala 137:112] + node _T_12214 = add(_T_12213, _T_12190) @[exu_mul_ctl.scala 137:112] + node _T_12215 = add(_T_12214, _T_12191) @[exu_mul_ctl.scala 137:112] + node _T_12216 = add(_T_12215, _T_12192) @[exu_mul_ctl.scala 137:112] + node _T_12217 = add(_T_12216, _T_12193) @[exu_mul_ctl.scala 137:112] + node _T_12218 = add(_T_12217, _T_12194) @[exu_mul_ctl.scala 137:112] + node _T_12219 = add(_T_12218, _T_12195) @[exu_mul_ctl.scala 137:112] + node _T_12220 = add(_T_12219, _T_12196) @[exu_mul_ctl.scala 137:112] + node _T_12221 = add(_T_12220, _T_12197) @[exu_mul_ctl.scala 137:112] + node _T_12222 = add(_T_12221, _T_12198) @[exu_mul_ctl.scala 137:112] + node _T_12223 = add(_T_12222, _T_12199) @[exu_mul_ctl.scala 137:112] + node _T_12224 = add(_T_12223, _T_12200) @[exu_mul_ctl.scala 137:112] + node _T_12225 = add(_T_12224, _T_12201) @[exu_mul_ctl.scala 137:112] + node _T_12226 = add(_T_12225, _T_12202) @[exu_mul_ctl.scala 137:112] + node _T_12227 = add(_T_12226, _T_12203) @[exu_mul_ctl.scala 137:112] + node _T_12228 = add(_T_12227, _T_12204) @[exu_mul_ctl.scala 137:112] + node _T_12229 = add(_T_12228, _T_12205) @[exu_mul_ctl.scala 137:112] + node _T_12230 = add(_T_12229, _T_12206) @[exu_mul_ctl.scala 137:112] + node _T_12231 = add(_T_12230, _T_12207) @[exu_mul_ctl.scala 137:112] + node _T_12232 = eq(_T_12231, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12233 = bits(_T_12232, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12234 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_12235 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12236 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12237 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12238 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12239 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12240 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12241 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12242 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12243 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12244 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12245 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12246 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12247 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12248 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12249 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12250 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12251 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12252 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12253 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12254 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12255 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12256 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12257 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12258 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12259 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12260 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12261 = add(_T_12235, _T_12236) @[exu_mul_ctl.scala 137:112] + node _T_12262 = add(_T_12261, _T_12237) @[exu_mul_ctl.scala 137:112] + node _T_12263 = add(_T_12262, _T_12238) @[exu_mul_ctl.scala 137:112] + node _T_12264 = add(_T_12263, _T_12239) @[exu_mul_ctl.scala 137:112] + node _T_12265 = add(_T_12264, _T_12240) @[exu_mul_ctl.scala 137:112] + node _T_12266 = add(_T_12265, _T_12241) @[exu_mul_ctl.scala 137:112] + node _T_12267 = add(_T_12266, _T_12242) @[exu_mul_ctl.scala 137:112] + node _T_12268 = add(_T_12267, _T_12243) @[exu_mul_ctl.scala 137:112] + node _T_12269 = add(_T_12268, _T_12244) @[exu_mul_ctl.scala 137:112] + node _T_12270 = add(_T_12269, _T_12245) @[exu_mul_ctl.scala 137:112] + node _T_12271 = add(_T_12270, _T_12246) @[exu_mul_ctl.scala 137:112] + node _T_12272 = add(_T_12271, _T_12247) @[exu_mul_ctl.scala 137:112] + node _T_12273 = add(_T_12272, _T_12248) @[exu_mul_ctl.scala 137:112] + node _T_12274 = add(_T_12273, _T_12249) @[exu_mul_ctl.scala 137:112] + node _T_12275 = add(_T_12274, _T_12250) @[exu_mul_ctl.scala 137:112] + node _T_12276 = add(_T_12275, _T_12251) @[exu_mul_ctl.scala 137:112] + node _T_12277 = add(_T_12276, _T_12252) @[exu_mul_ctl.scala 137:112] + node _T_12278 = add(_T_12277, _T_12253) @[exu_mul_ctl.scala 137:112] + node _T_12279 = add(_T_12278, _T_12254) @[exu_mul_ctl.scala 137:112] + node _T_12280 = add(_T_12279, _T_12255) @[exu_mul_ctl.scala 137:112] + node _T_12281 = add(_T_12280, _T_12256) @[exu_mul_ctl.scala 137:112] + node _T_12282 = add(_T_12281, _T_12257) @[exu_mul_ctl.scala 137:112] + node _T_12283 = add(_T_12282, _T_12258) @[exu_mul_ctl.scala 137:112] + node _T_12284 = add(_T_12283, _T_12259) @[exu_mul_ctl.scala 137:112] + node _T_12285 = add(_T_12284, _T_12260) @[exu_mul_ctl.scala 137:112] + node _T_12286 = eq(_T_12285, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12287 = bits(_T_12286, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12288 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_12289 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12290 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12291 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12292 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12293 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12294 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12295 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12296 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12297 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12298 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12299 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12300 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12301 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12302 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12303 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12304 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12305 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12306 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12307 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12308 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12309 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12310 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12311 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12312 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12313 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12314 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12315 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12316 = add(_T_12289, _T_12290) @[exu_mul_ctl.scala 137:112] + node _T_12317 = add(_T_12316, _T_12291) @[exu_mul_ctl.scala 137:112] + node _T_12318 = add(_T_12317, _T_12292) @[exu_mul_ctl.scala 137:112] + node _T_12319 = add(_T_12318, _T_12293) @[exu_mul_ctl.scala 137:112] + node _T_12320 = add(_T_12319, _T_12294) @[exu_mul_ctl.scala 137:112] + node _T_12321 = add(_T_12320, _T_12295) @[exu_mul_ctl.scala 137:112] + node _T_12322 = add(_T_12321, _T_12296) @[exu_mul_ctl.scala 137:112] + node _T_12323 = add(_T_12322, _T_12297) @[exu_mul_ctl.scala 137:112] + node _T_12324 = add(_T_12323, _T_12298) @[exu_mul_ctl.scala 137:112] + node _T_12325 = add(_T_12324, _T_12299) @[exu_mul_ctl.scala 137:112] + node _T_12326 = add(_T_12325, _T_12300) @[exu_mul_ctl.scala 137:112] + node _T_12327 = add(_T_12326, _T_12301) @[exu_mul_ctl.scala 137:112] + node _T_12328 = add(_T_12327, _T_12302) @[exu_mul_ctl.scala 137:112] + node _T_12329 = add(_T_12328, _T_12303) @[exu_mul_ctl.scala 137:112] + node _T_12330 = add(_T_12329, _T_12304) @[exu_mul_ctl.scala 137:112] + node _T_12331 = add(_T_12330, _T_12305) @[exu_mul_ctl.scala 137:112] + node _T_12332 = add(_T_12331, _T_12306) @[exu_mul_ctl.scala 137:112] + node _T_12333 = add(_T_12332, _T_12307) @[exu_mul_ctl.scala 137:112] + node _T_12334 = add(_T_12333, _T_12308) @[exu_mul_ctl.scala 137:112] + node _T_12335 = add(_T_12334, _T_12309) @[exu_mul_ctl.scala 137:112] + node _T_12336 = add(_T_12335, _T_12310) @[exu_mul_ctl.scala 137:112] + node _T_12337 = add(_T_12336, _T_12311) @[exu_mul_ctl.scala 137:112] + node _T_12338 = add(_T_12337, _T_12312) @[exu_mul_ctl.scala 137:112] + node _T_12339 = add(_T_12338, _T_12313) @[exu_mul_ctl.scala 137:112] + node _T_12340 = add(_T_12339, _T_12314) @[exu_mul_ctl.scala 137:112] + node _T_12341 = add(_T_12340, _T_12315) @[exu_mul_ctl.scala 137:112] + node _T_12342 = eq(_T_12341, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12343 = bits(_T_12342, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12344 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_12345 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12346 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12347 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12348 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12349 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12350 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12351 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12352 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12353 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12354 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12355 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12356 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12357 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12358 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12359 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12360 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12361 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12362 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12363 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12364 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12365 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12366 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12367 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12368 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12369 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12370 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12371 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12372 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_12373 = add(_T_12345, _T_12346) @[exu_mul_ctl.scala 137:112] + node _T_12374 = add(_T_12373, _T_12347) @[exu_mul_ctl.scala 137:112] + node _T_12375 = add(_T_12374, _T_12348) @[exu_mul_ctl.scala 137:112] + node _T_12376 = add(_T_12375, _T_12349) @[exu_mul_ctl.scala 137:112] + node _T_12377 = add(_T_12376, _T_12350) @[exu_mul_ctl.scala 137:112] + node _T_12378 = add(_T_12377, _T_12351) @[exu_mul_ctl.scala 137:112] + node _T_12379 = add(_T_12378, _T_12352) @[exu_mul_ctl.scala 137:112] + node _T_12380 = add(_T_12379, _T_12353) @[exu_mul_ctl.scala 137:112] + node _T_12381 = add(_T_12380, _T_12354) @[exu_mul_ctl.scala 137:112] + node _T_12382 = add(_T_12381, _T_12355) @[exu_mul_ctl.scala 137:112] + node _T_12383 = add(_T_12382, _T_12356) @[exu_mul_ctl.scala 137:112] + node _T_12384 = add(_T_12383, _T_12357) @[exu_mul_ctl.scala 137:112] + node _T_12385 = add(_T_12384, _T_12358) @[exu_mul_ctl.scala 137:112] + node _T_12386 = add(_T_12385, _T_12359) @[exu_mul_ctl.scala 137:112] + node _T_12387 = add(_T_12386, _T_12360) @[exu_mul_ctl.scala 137:112] + node _T_12388 = add(_T_12387, _T_12361) @[exu_mul_ctl.scala 137:112] + node _T_12389 = add(_T_12388, _T_12362) @[exu_mul_ctl.scala 137:112] + node _T_12390 = add(_T_12389, _T_12363) @[exu_mul_ctl.scala 137:112] + node _T_12391 = add(_T_12390, _T_12364) @[exu_mul_ctl.scala 137:112] + node _T_12392 = add(_T_12391, _T_12365) @[exu_mul_ctl.scala 137:112] + node _T_12393 = add(_T_12392, _T_12366) @[exu_mul_ctl.scala 137:112] + node _T_12394 = add(_T_12393, _T_12367) @[exu_mul_ctl.scala 137:112] + node _T_12395 = add(_T_12394, _T_12368) @[exu_mul_ctl.scala 137:112] + node _T_12396 = add(_T_12395, _T_12369) @[exu_mul_ctl.scala 137:112] + node _T_12397 = add(_T_12396, _T_12370) @[exu_mul_ctl.scala 137:112] + node _T_12398 = add(_T_12397, _T_12371) @[exu_mul_ctl.scala 137:112] + node _T_12399 = add(_T_12398, _T_12372) @[exu_mul_ctl.scala 137:112] + node _T_12400 = eq(_T_12399, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12401 = bits(_T_12400, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12402 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_12403 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12404 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12405 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12406 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12407 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12408 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12409 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12410 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12411 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12412 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12413 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12414 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12415 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12416 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12417 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12418 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12419 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12420 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12421 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12422 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12423 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12424 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12425 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12426 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12427 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12428 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12429 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12430 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_12431 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_12432 = add(_T_12403, _T_12404) @[exu_mul_ctl.scala 137:112] + node _T_12433 = add(_T_12432, _T_12405) @[exu_mul_ctl.scala 137:112] + node _T_12434 = add(_T_12433, _T_12406) @[exu_mul_ctl.scala 137:112] + node _T_12435 = add(_T_12434, _T_12407) @[exu_mul_ctl.scala 137:112] + node _T_12436 = add(_T_12435, _T_12408) @[exu_mul_ctl.scala 137:112] + node _T_12437 = add(_T_12436, _T_12409) @[exu_mul_ctl.scala 137:112] + node _T_12438 = add(_T_12437, _T_12410) @[exu_mul_ctl.scala 137:112] + node _T_12439 = add(_T_12438, _T_12411) @[exu_mul_ctl.scala 137:112] + node _T_12440 = add(_T_12439, _T_12412) @[exu_mul_ctl.scala 137:112] + node _T_12441 = add(_T_12440, _T_12413) @[exu_mul_ctl.scala 137:112] + node _T_12442 = add(_T_12441, _T_12414) @[exu_mul_ctl.scala 137:112] + node _T_12443 = add(_T_12442, _T_12415) @[exu_mul_ctl.scala 137:112] + node _T_12444 = add(_T_12443, _T_12416) @[exu_mul_ctl.scala 137:112] + node _T_12445 = add(_T_12444, _T_12417) @[exu_mul_ctl.scala 137:112] + node _T_12446 = add(_T_12445, _T_12418) @[exu_mul_ctl.scala 137:112] + node _T_12447 = add(_T_12446, _T_12419) @[exu_mul_ctl.scala 137:112] + node _T_12448 = add(_T_12447, _T_12420) @[exu_mul_ctl.scala 137:112] + node _T_12449 = add(_T_12448, _T_12421) @[exu_mul_ctl.scala 137:112] + node _T_12450 = add(_T_12449, _T_12422) @[exu_mul_ctl.scala 137:112] + node _T_12451 = add(_T_12450, _T_12423) @[exu_mul_ctl.scala 137:112] + node _T_12452 = add(_T_12451, _T_12424) @[exu_mul_ctl.scala 137:112] + node _T_12453 = add(_T_12452, _T_12425) @[exu_mul_ctl.scala 137:112] + node _T_12454 = add(_T_12453, _T_12426) @[exu_mul_ctl.scala 137:112] + node _T_12455 = add(_T_12454, _T_12427) @[exu_mul_ctl.scala 137:112] + node _T_12456 = add(_T_12455, _T_12428) @[exu_mul_ctl.scala 137:112] + node _T_12457 = add(_T_12456, _T_12429) @[exu_mul_ctl.scala 137:112] + node _T_12458 = add(_T_12457, _T_12430) @[exu_mul_ctl.scala 137:112] + node _T_12459 = add(_T_12458, _T_12431) @[exu_mul_ctl.scala 137:112] + node _T_12460 = eq(_T_12459, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12461 = bits(_T_12460, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12462 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_12463 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12464 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12465 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12466 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12467 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12468 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12469 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12470 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12471 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12472 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12473 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12474 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12475 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12476 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12477 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12478 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12479 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12480 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12481 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12482 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12483 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12484 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12485 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12486 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12487 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12488 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12489 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12490 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_12491 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_12492 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_12493 = add(_T_12463, _T_12464) @[exu_mul_ctl.scala 137:112] + node _T_12494 = add(_T_12493, _T_12465) @[exu_mul_ctl.scala 137:112] + node _T_12495 = add(_T_12494, _T_12466) @[exu_mul_ctl.scala 137:112] + node _T_12496 = add(_T_12495, _T_12467) @[exu_mul_ctl.scala 137:112] + node _T_12497 = add(_T_12496, _T_12468) @[exu_mul_ctl.scala 137:112] + node _T_12498 = add(_T_12497, _T_12469) @[exu_mul_ctl.scala 137:112] + node _T_12499 = add(_T_12498, _T_12470) @[exu_mul_ctl.scala 137:112] + node _T_12500 = add(_T_12499, _T_12471) @[exu_mul_ctl.scala 137:112] + node _T_12501 = add(_T_12500, _T_12472) @[exu_mul_ctl.scala 137:112] + node _T_12502 = add(_T_12501, _T_12473) @[exu_mul_ctl.scala 137:112] + node _T_12503 = add(_T_12502, _T_12474) @[exu_mul_ctl.scala 137:112] + node _T_12504 = add(_T_12503, _T_12475) @[exu_mul_ctl.scala 137:112] + node _T_12505 = add(_T_12504, _T_12476) @[exu_mul_ctl.scala 137:112] + node _T_12506 = add(_T_12505, _T_12477) @[exu_mul_ctl.scala 137:112] + node _T_12507 = add(_T_12506, _T_12478) @[exu_mul_ctl.scala 137:112] + node _T_12508 = add(_T_12507, _T_12479) @[exu_mul_ctl.scala 137:112] + node _T_12509 = add(_T_12508, _T_12480) @[exu_mul_ctl.scala 137:112] + node _T_12510 = add(_T_12509, _T_12481) @[exu_mul_ctl.scala 137:112] + node _T_12511 = add(_T_12510, _T_12482) @[exu_mul_ctl.scala 137:112] + node _T_12512 = add(_T_12511, _T_12483) @[exu_mul_ctl.scala 137:112] + node _T_12513 = add(_T_12512, _T_12484) @[exu_mul_ctl.scala 137:112] + node _T_12514 = add(_T_12513, _T_12485) @[exu_mul_ctl.scala 137:112] + node _T_12515 = add(_T_12514, _T_12486) @[exu_mul_ctl.scala 137:112] + node _T_12516 = add(_T_12515, _T_12487) @[exu_mul_ctl.scala 137:112] + node _T_12517 = add(_T_12516, _T_12488) @[exu_mul_ctl.scala 137:112] + node _T_12518 = add(_T_12517, _T_12489) @[exu_mul_ctl.scala 137:112] + node _T_12519 = add(_T_12518, _T_12490) @[exu_mul_ctl.scala 137:112] + node _T_12520 = add(_T_12519, _T_12491) @[exu_mul_ctl.scala 137:112] + node _T_12521 = add(_T_12520, _T_12492) @[exu_mul_ctl.scala 137:112] + node _T_12522 = eq(_T_12521, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12523 = bits(_T_12522, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12524 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_12525 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12526 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12527 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12528 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12529 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12530 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12531 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12532 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12533 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12534 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12535 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12536 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12537 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12538 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12539 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12540 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12541 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12542 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12543 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12544 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12545 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12546 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12547 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12548 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12549 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12550 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12551 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12552 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_12553 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_12554 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_12555 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_12556 = add(_T_12525, _T_12526) @[exu_mul_ctl.scala 137:112] + node _T_12557 = add(_T_12556, _T_12527) @[exu_mul_ctl.scala 137:112] + node _T_12558 = add(_T_12557, _T_12528) @[exu_mul_ctl.scala 137:112] + node _T_12559 = add(_T_12558, _T_12529) @[exu_mul_ctl.scala 137:112] + node _T_12560 = add(_T_12559, _T_12530) @[exu_mul_ctl.scala 137:112] + node _T_12561 = add(_T_12560, _T_12531) @[exu_mul_ctl.scala 137:112] + node _T_12562 = add(_T_12561, _T_12532) @[exu_mul_ctl.scala 137:112] + node _T_12563 = add(_T_12562, _T_12533) @[exu_mul_ctl.scala 137:112] + node _T_12564 = add(_T_12563, _T_12534) @[exu_mul_ctl.scala 137:112] + node _T_12565 = add(_T_12564, _T_12535) @[exu_mul_ctl.scala 137:112] + node _T_12566 = add(_T_12565, _T_12536) @[exu_mul_ctl.scala 137:112] + node _T_12567 = add(_T_12566, _T_12537) @[exu_mul_ctl.scala 137:112] + node _T_12568 = add(_T_12567, _T_12538) @[exu_mul_ctl.scala 137:112] + node _T_12569 = add(_T_12568, _T_12539) @[exu_mul_ctl.scala 137:112] + node _T_12570 = add(_T_12569, _T_12540) @[exu_mul_ctl.scala 137:112] + node _T_12571 = add(_T_12570, _T_12541) @[exu_mul_ctl.scala 137:112] + node _T_12572 = add(_T_12571, _T_12542) @[exu_mul_ctl.scala 137:112] + node _T_12573 = add(_T_12572, _T_12543) @[exu_mul_ctl.scala 137:112] + node _T_12574 = add(_T_12573, _T_12544) @[exu_mul_ctl.scala 137:112] + node _T_12575 = add(_T_12574, _T_12545) @[exu_mul_ctl.scala 137:112] + node _T_12576 = add(_T_12575, _T_12546) @[exu_mul_ctl.scala 137:112] + node _T_12577 = add(_T_12576, _T_12547) @[exu_mul_ctl.scala 137:112] + node _T_12578 = add(_T_12577, _T_12548) @[exu_mul_ctl.scala 137:112] + node _T_12579 = add(_T_12578, _T_12549) @[exu_mul_ctl.scala 137:112] + node _T_12580 = add(_T_12579, _T_12550) @[exu_mul_ctl.scala 137:112] + node _T_12581 = add(_T_12580, _T_12551) @[exu_mul_ctl.scala 137:112] + node _T_12582 = add(_T_12581, _T_12552) @[exu_mul_ctl.scala 137:112] + node _T_12583 = add(_T_12582, _T_12553) @[exu_mul_ctl.scala 137:112] + node _T_12584 = add(_T_12583, _T_12554) @[exu_mul_ctl.scala 137:112] + node _T_12585 = add(_T_12584, _T_12555) @[exu_mul_ctl.scala 137:112] + node _T_12586 = eq(_T_12585, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12587 = bits(_T_12586, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12588 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_12589 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12590 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12591 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12592 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12593 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12594 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12595 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12596 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12597 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12598 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12599 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12600 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12601 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12602 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12603 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12604 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12605 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12606 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12607 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12608 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12609 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12610 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12611 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12612 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12613 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12614 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12615 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12616 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_12617 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_12618 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_12619 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_12620 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_12621 = add(_T_12589, _T_12590) @[exu_mul_ctl.scala 137:112] + node _T_12622 = add(_T_12621, _T_12591) @[exu_mul_ctl.scala 137:112] + node _T_12623 = add(_T_12622, _T_12592) @[exu_mul_ctl.scala 137:112] + node _T_12624 = add(_T_12623, _T_12593) @[exu_mul_ctl.scala 137:112] + node _T_12625 = add(_T_12624, _T_12594) @[exu_mul_ctl.scala 137:112] + node _T_12626 = add(_T_12625, _T_12595) @[exu_mul_ctl.scala 137:112] + node _T_12627 = add(_T_12626, _T_12596) @[exu_mul_ctl.scala 137:112] + node _T_12628 = add(_T_12627, _T_12597) @[exu_mul_ctl.scala 137:112] + node _T_12629 = add(_T_12628, _T_12598) @[exu_mul_ctl.scala 137:112] + node _T_12630 = add(_T_12629, _T_12599) @[exu_mul_ctl.scala 137:112] + node _T_12631 = add(_T_12630, _T_12600) @[exu_mul_ctl.scala 137:112] + node _T_12632 = add(_T_12631, _T_12601) @[exu_mul_ctl.scala 137:112] + node _T_12633 = add(_T_12632, _T_12602) @[exu_mul_ctl.scala 137:112] + node _T_12634 = add(_T_12633, _T_12603) @[exu_mul_ctl.scala 137:112] + node _T_12635 = add(_T_12634, _T_12604) @[exu_mul_ctl.scala 137:112] + node _T_12636 = add(_T_12635, _T_12605) @[exu_mul_ctl.scala 137:112] + node _T_12637 = add(_T_12636, _T_12606) @[exu_mul_ctl.scala 137:112] + node _T_12638 = add(_T_12637, _T_12607) @[exu_mul_ctl.scala 137:112] + node _T_12639 = add(_T_12638, _T_12608) @[exu_mul_ctl.scala 137:112] + node _T_12640 = add(_T_12639, _T_12609) @[exu_mul_ctl.scala 137:112] + node _T_12641 = add(_T_12640, _T_12610) @[exu_mul_ctl.scala 137:112] + node _T_12642 = add(_T_12641, _T_12611) @[exu_mul_ctl.scala 137:112] + node _T_12643 = add(_T_12642, _T_12612) @[exu_mul_ctl.scala 137:112] + node _T_12644 = add(_T_12643, _T_12613) @[exu_mul_ctl.scala 137:112] + node _T_12645 = add(_T_12644, _T_12614) @[exu_mul_ctl.scala 137:112] + node _T_12646 = add(_T_12645, _T_12615) @[exu_mul_ctl.scala 137:112] + node _T_12647 = add(_T_12646, _T_12616) @[exu_mul_ctl.scala 137:112] + node _T_12648 = add(_T_12647, _T_12617) @[exu_mul_ctl.scala 137:112] + node _T_12649 = add(_T_12648, _T_12618) @[exu_mul_ctl.scala 137:112] + node _T_12650 = add(_T_12649, _T_12619) @[exu_mul_ctl.scala 137:112] + node _T_12651 = add(_T_12650, _T_12620) @[exu_mul_ctl.scala 137:112] + node _T_12652 = eq(_T_12651, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12653 = bits(_T_12652, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12654 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_12655 = mux(_T_12653, _T_12654, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_12656 = mux(_T_12587, _T_12588, _T_12655) @[Mux.scala 98:16] + node _T_12657 = mux(_T_12523, _T_12524, _T_12656) @[Mux.scala 98:16] + node _T_12658 = mux(_T_12461, _T_12462, _T_12657) @[Mux.scala 98:16] + node _T_12659 = mux(_T_12401, _T_12402, _T_12658) @[Mux.scala 98:16] + node _T_12660 = mux(_T_12343, _T_12344, _T_12659) @[Mux.scala 98:16] + node _T_12661 = mux(_T_12287, _T_12288, _T_12660) @[Mux.scala 98:16] + node _T_12662 = mux(_T_12233, _T_12234, _T_12661) @[Mux.scala 98:16] + node _T_12663 = mux(_T_12181, _T_12182, _T_12662) @[Mux.scala 98:16] + node _T_12664 = mux(_T_12131, _T_12132, _T_12663) @[Mux.scala 98:16] + node _T_12665 = mux(_T_12083, _T_12084, _T_12664) @[Mux.scala 98:16] + node _T_12666 = mux(_T_12037, _T_12038, _T_12665) @[Mux.scala 98:16] + node _T_12667 = mux(_T_11993, _T_11994, _T_12666) @[Mux.scala 98:16] + node _T_12668 = mux(_T_11951, _T_11952, _T_12667) @[Mux.scala 98:16] + node _T_12669 = mux(_T_11911, _T_11912, _T_12668) @[Mux.scala 98:16] + node _T_12670 = mux(_T_11873, _T_11874, _T_12669) @[Mux.scala 98:16] + node _T_12671 = mux(_T_11837, _T_11838, _T_12670) @[Mux.scala 98:16] + node _T_12672 = mux(_T_11803, _T_11804, _T_12671) @[Mux.scala 98:16] + node _T_12673 = mux(_T_11771, _T_11772, _T_12672) @[Mux.scala 98:16] + node _T_12674 = mux(_T_11741, _T_11742, _T_12673) @[Mux.scala 98:16] + node _T_12675 = mux(_T_11713, _T_11714, _T_12674) @[Mux.scala 98:16] + node _T_12676 = mux(_T_11687, _T_11688, _T_12675) @[Mux.scala 98:16] + node _T_12677 = mux(_T_11663, _T_11664, _T_12676) @[Mux.scala 98:16] + node _T_12678 = mux(_T_11641, _T_11642, _T_12677) @[Mux.scala 98:16] + node _T_12679 = mux(_T_11621, _T_11622, _T_12678) @[Mux.scala 98:16] + node _T_12680 = mux(_T_11603, _T_11604, _T_12679) @[Mux.scala 98:16] + node _T_12681 = mux(_T_11587, _T_11588, _T_12680) @[Mux.scala 98:16] + node _T_12682 = mux(_T_11573, _T_11574, _T_12681) @[Mux.scala 98:16] + node _T_12683 = mux(_T_11561, _T_11562, _T_12682) @[Mux.scala 98:16] + node _T_12684 = mux(_T_11551, _T_11552, _T_12683) @[Mux.scala 98:16] + node _T_12685 = mux(_T_11543, _T_11544, _T_12684) @[Mux.scala 98:16] + node _T_12686 = mux(_T_11537, _T_11538, _T_12685) @[Mux.scala 98:16] + node _T_12687 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_12688 = eq(_T_12687, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12689 = bits(_T_12688, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12690 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_12691 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12692 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12693 = add(_T_12691, _T_12692) @[exu_mul_ctl.scala 137:112] + node _T_12694 = eq(_T_12693, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12695 = bits(_T_12694, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12696 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_12697 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12698 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12699 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12700 = add(_T_12697, _T_12698) @[exu_mul_ctl.scala 137:112] + node _T_12701 = add(_T_12700, _T_12699) @[exu_mul_ctl.scala 137:112] + node _T_12702 = eq(_T_12701, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12703 = bits(_T_12702, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12704 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_12705 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12706 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12707 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12708 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12709 = add(_T_12705, _T_12706) @[exu_mul_ctl.scala 137:112] + node _T_12710 = add(_T_12709, _T_12707) @[exu_mul_ctl.scala 137:112] + node _T_12711 = add(_T_12710, _T_12708) @[exu_mul_ctl.scala 137:112] + node _T_12712 = eq(_T_12711, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12713 = bits(_T_12712, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12714 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_12715 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12716 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12717 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12718 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12719 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12720 = add(_T_12715, _T_12716) @[exu_mul_ctl.scala 137:112] + node _T_12721 = add(_T_12720, _T_12717) @[exu_mul_ctl.scala 137:112] + node _T_12722 = add(_T_12721, _T_12718) @[exu_mul_ctl.scala 137:112] + node _T_12723 = add(_T_12722, _T_12719) @[exu_mul_ctl.scala 137:112] + node _T_12724 = eq(_T_12723, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12725 = bits(_T_12724, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12726 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_12727 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12728 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12729 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12730 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12731 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12732 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12733 = add(_T_12727, _T_12728) @[exu_mul_ctl.scala 137:112] + node _T_12734 = add(_T_12733, _T_12729) @[exu_mul_ctl.scala 137:112] + node _T_12735 = add(_T_12734, _T_12730) @[exu_mul_ctl.scala 137:112] + node _T_12736 = add(_T_12735, _T_12731) @[exu_mul_ctl.scala 137:112] + node _T_12737 = add(_T_12736, _T_12732) @[exu_mul_ctl.scala 137:112] + node _T_12738 = eq(_T_12737, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12739 = bits(_T_12738, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12740 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_12741 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12742 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12743 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12744 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12745 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12746 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12747 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12748 = add(_T_12741, _T_12742) @[exu_mul_ctl.scala 137:112] + node _T_12749 = add(_T_12748, _T_12743) @[exu_mul_ctl.scala 137:112] + node _T_12750 = add(_T_12749, _T_12744) @[exu_mul_ctl.scala 137:112] + node _T_12751 = add(_T_12750, _T_12745) @[exu_mul_ctl.scala 137:112] + node _T_12752 = add(_T_12751, _T_12746) @[exu_mul_ctl.scala 137:112] + node _T_12753 = add(_T_12752, _T_12747) @[exu_mul_ctl.scala 137:112] + node _T_12754 = eq(_T_12753, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12755 = bits(_T_12754, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12756 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_12757 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12758 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12759 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12760 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12761 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12762 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12763 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12764 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12765 = add(_T_12757, _T_12758) @[exu_mul_ctl.scala 137:112] + node _T_12766 = add(_T_12765, _T_12759) @[exu_mul_ctl.scala 137:112] + node _T_12767 = add(_T_12766, _T_12760) @[exu_mul_ctl.scala 137:112] + node _T_12768 = add(_T_12767, _T_12761) @[exu_mul_ctl.scala 137:112] + node _T_12769 = add(_T_12768, _T_12762) @[exu_mul_ctl.scala 137:112] + node _T_12770 = add(_T_12769, _T_12763) @[exu_mul_ctl.scala 137:112] + node _T_12771 = add(_T_12770, _T_12764) @[exu_mul_ctl.scala 137:112] + node _T_12772 = eq(_T_12771, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12773 = bits(_T_12772, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12774 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_12775 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12776 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12777 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12778 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12779 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12780 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12781 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12782 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12783 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12784 = add(_T_12775, _T_12776) @[exu_mul_ctl.scala 137:112] + node _T_12785 = add(_T_12784, _T_12777) @[exu_mul_ctl.scala 137:112] + node _T_12786 = add(_T_12785, _T_12778) @[exu_mul_ctl.scala 137:112] + node _T_12787 = add(_T_12786, _T_12779) @[exu_mul_ctl.scala 137:112] + node _T_12788 = add(_T_12787, _T_12780) @[exu_mul_ctl.scala 137:112] + node _T_12789 = add(_T_12788, _T_12781) @[exu_mul_ctl.scala 137:112] + node _T_12790 = add(_T_12789, _T_12782) @[exu_mul_ctl.scala 137:112] + node _T_12791 = add(_T_12790, _T_12783) @[exu_mul_ctl.scala 137:112] + node _T_12792 = eq(_T_12791, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12793 = bits(_T_12792, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12794 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_12795 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12796 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12797 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12798 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12799 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12800 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12801 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12802 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12803 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12804 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12805 = add(_T_12795, _T_12796) @[exu_mul_ctl.scala 137:112] + node _T_12806 = add(_T_12805, _T_12797) @[exu_mul_ctl.scala 137:112] + node _T_12807 = add(_T_12806, _T_12798) @[exu_mul_ctl.scala 137:112] + node _T_12808 = add(_T_12807, _T_12799) @[exu_mul_ctl.scala 137:112] + node _T_12809 = add(_T_12808, _T_12800) @[exu_mul_ctl.scala 137:112] + node _T_12810 = add(_T_12809, _T_12801) @[exu_mul_ctl.scala 137:112] + node _T_12811 = add(_T_12810, _T_12802) @[exu_mul_ctl.scala 137:112] + node _T_12812 = add(_T_12811, _T_12803) @[exu_mul_ctl.scala 137:112] + node _T_12813 = add(_T_12812, _T_12804) @[exu_mul_ctl.scala 137:112] + node _T_12814 = eq(_T_12813, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12815 = bits(_T_12814, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12816 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_12817 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12818 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12819 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12820 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12821 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12822 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12823 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12824 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12825 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12826 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12827 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12828 = add(_T_12817, _T_12818) @[exu_mul_ctl.scala 137:112] + node _T_12829 = add(_T_12828, _T_12819) @[exu_mul_ctl.scala 137:112] + node _T_12830 = add(_T_12829, _T_12820) @[exu_mul_ctl.scala 137:112] + node _T_12831 = add(_T_12830, _T_12821) @[exu_mul_ctl.scala 137:112] + node _T_12832 = add(_T_12831, _T_12822) @[exu_mul_ctl.scala 137:112] + node _T_12833 = add(_T_12832, _T_12823) @[exu_mul_ctl.scala 137:112] + node _T_12834 = add(_T_12833, _T_12824) @[exu_mul_ctl.scala 137:112] + node _T_12835 = add(_T_12834, _T_12825) @[exu_mul_ctl.scala 137:112] + node _T_12836 = add(_T_12835, _T_12826) @[exu_mul_ctl.scala 137:112] + node _T_12837 = add(_T_12836, _T_12827) @[exu_mul_ctl.scala 137:112] + node _T_12838 = eq(_T_12837, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12839 = bits(_T_12838, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12840 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_12841 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12842 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12843 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12844 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12845 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12846 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12847 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12848 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12849 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12850 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12851 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12852 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12853 = add(_T_12841, _T_12842) @[exu_mul_ctl.scala 137:112] + node _T_12854 = add(_T_12853, _T_12843) @[exu_mul_ctl.scala 137:112] + node _T_12855 = add(_T_12854, _T_12844) @[exu_mul_ctl.scala 137:112] + node _T_12856 = add(_T_12855, _T_12845) @[exu_mul_ctl.scala 137:112] + node _T_12857 = add(_T_12856, _T_12846) @[exu_mul_ctl.scala 137:112] + node _T_12858 = add(_T_12857, _T_12847) @[exu_mul_ctl.scala 137:112] + node _T_12859 = add(_T_12858, _T_12848) @[exu_mul_ctl.scala 137:112] + node _T_12860 = add(_T_12859, _T_12849) @[exu_mul_ctl.scala 137:112] + node _T_12861 = add(_T_12860, _T_12850) @[exu_mul_ctl.scala 137:112] + node _T_12862 = add(_T_12861, _T_12851) @[exu_mul_ctl.scala 137:112] + node _T_12863 = add(_T_12862, _T_12852) @[exu_mul_ctl.scala 137:112] + node _T_12864 = eq(_T_12863, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12865 = bits(_T_12864, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12866 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_12867 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12868 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12869 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12870 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12871 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12872 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12873 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12874 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12875 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12876 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12877 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12878 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12879 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12880 = add(_T_12867, _T_12868) @[exu_mul_ctl.scala 137:112] + node _T_12881 = add(_T_12880, _T_12869) @[exu_mul_ctl.scala 137:112] + node _T_12882 = add(_T_12881, _T_12870) @[exu_mul_ctl.scala 137:112] + node _T_12883 = add(_T_12882, _T_12871) @[exu_mul_ctl.scala 137:112] + node _T_12884 = add(_T_12883, _T_12872) @[exu_mul_ctl.scala 137:112] + node _T_12885 = add(_T_12884, _T_12873) @[exu_mul_ctl.scala 137:112] + node _T_12886 = add(_T_12885, _T_12874) @[exu_mul_ctl.scala 137:112] + node _T_12887 = add(_T_12886, _T_12875) @[exu_mul_ctl.scala 137:112] + node _T_12888 = add(_T_12887, _T_12876) @[exu_mul_ctl.scala 137:112] + node _T_12889 = add(_T_12888, _T_12877) @[exu_mul_ctl.scala 137:112] + node _T_12890 = add(_T_12889, _T_12878) @[exu_mul_ctl.scala 137:112] + node _T_12891 = add(_T_12890, _T_12879) @[exu_mul_ctl.scala 137:112] + node _T_12892 = eq(_T_12891, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12893 = bits(_T_12892, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12894 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_12895 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12896 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12897 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12898 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12899 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12900 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12901 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12902 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12903 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12904 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12905 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12906 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12907 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12908 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12909 = add(_T_12895, _T_12896) @[exu_mul_ctl.scala 137:112] + node _T_12910 = add(_T_12909, _T_12897) @[exu_mul_ctl.scala 137:112] + node _T_12911 = add(_T_12910, _T_12898) @[exu_mul_ctl.scala 137:112] + node _T_12912 = add(_T_12911, _T_12899) @[exu_mul_ctl.scala 137:112] + node _T_12913 = add(_T_12912, _T_12900) @[exu_mul_ctl.scala 137:112] + node _T_12914 = add(_T_12913, _T_12901) @[exu_mul_ctl.scala 137:112] + node _T_12915 = add(_T_12914, _T_12902) @[exu_mul_ctl.scala 137:112] + node _T_12916 = add(_T_12915, _T_12903) @[exu_mul_ctl.scala 137:112] + node _T_12917 = add(_T_12916, _T_12904) @[exu_mul_ctl.scala 137:112] + node _T_12918 = add(_T_12917, _T_12905) @[exu_mul_ctl.scala 137:112] + node _T_12919 = add(_T_12918, _T_12906) @[exu_mul_ctl.scala 137:112] + node _T_12920 = add(_T_12919, _T_12907) @[exu_mul_ctl.scala 137:112] + node _T_12921 = add(_T_12920, _T_12908) @[exu_mul_ctl.scala 137:112] + node _T_12922 = eq(_T_12921, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12923 = bits(_T_12922, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12924 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_12925 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12926 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12927 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12928 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12929 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12930 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12931 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12932 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12933 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12934 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12935 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12936 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12937 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12938 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12939 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12940 = add(_T_12925, _T_12926) @[exu_mul_ctl.scala 137:112] + node _T_12941 = add(_T_12940, _T_12927) @[exu_mul_ctl.scala 137:112] + node _T_12942 = add(_T_12941, _T_12928) @[exu_mul_ctl.scala 137:112] + node _T_12943 = add(_T_12942, _T_12929) @[exu_mul_ctl.scala 137:112] + node _T_12944 = add(_T_12943, _T_12930) @[exu_mul_ctl.scala 137:112] + node _T_12945 = add(_T_12944, _T_12931) @[exu_mul_ctl.scala 137:112] + node _T_12946 = add(_T_12945, _T_12932) @[exu_mul_ctl.scala 137:112] + node _T_12947 = add(_T_12946, _T_12933) @[exu_mul_ctl.scala 137:112] + node _T_12948 = add(_T_12947, _T_12934) @[exu_mul_ctl.scala 137:112] + node _T_12949 = add(_T_12948, _T_12935) @[exu_mul_ctl.scala 137:112] + node _T_12950 = add(_T_12949, _T_12936) @[exu_mul_ctl.scala 137:112] + node _T_12951 = add(_T_12950, _T_12937) @[exu_mul_ctl.scala 137:112] + node _T_12952 = add(_T_12951, _T_12938) @[exu_mul_ctl.scala 137:112] + node _T_12953 = add(_T_12952, _T_12939) @[exu_mul_ctl.scala 137:112] + node _T_12954 = eq(_T_12953, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12955 = bits(_T_12954, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12956 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_12957 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12958 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12959 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12960 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12961 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12962 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12963 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12964 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12965 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12966 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12967 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12968 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12969 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12970 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12971 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12972 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12973 = add(_T_12957, _T_12958) @[exu_mul_ctl.scala 137:112] + node _T_12974 = add(_T_12973, _T_12959) @[exu_mul_ctl.scala 137:112] + node _T_12975 = add(_T_12974, _T_12960) @[exu_mul_ctl.scala 137:112] + node _T_12976 = add(_T_12975, _T_12961) @[exu_mul_ctl.scala 137:112] + node _T_12977 = add(_T_12976, _T_12962) @[exu_mul_ctl.scala 137:112] + node _T_12978 = add(_T_12977, _T_12963) @[exu_mul_ctl.scala 137:112] + node _T_12979 = add(_T_12978, _T_12964) @[exu_mul_ctl.scala 137:112] + node _T_12980 = add(_T_12979, _T_12965) @[exu_mul_ctl.scala 137:112] + node _T_12981 = add(_T_12980, _T_12966) @[exu_mul_ctl.scala 137:112] + node _T_12982 = add(_T_12981, _T_12967) @[exu_mul_ctl.scala 137:112] + node _T_12983 = add(_T_12982, _T_12968) @[exu_mul_ctl.scala 137:112] + node _T_12984 = add(_T_12983, _T_12969) @[exu_mul_ctl.scala 137:112] + node _T_12985 = add(_T_12984, _T_12970) @[exu_mul_ctl.scala 137:112] + node _T_12986 = add(_T_12985, _T_12971) @[exu_mul_ctl.scala 137:112] + node _T_12987 = add(_T_12986, _T_12972) @[exu_mul_ctl.scala 137:112] + node _T_12988 = eq(_T_12987, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12989 = bits(_T_12988, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12990 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_12991 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12992 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12993 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12994 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12995 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12996 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12997 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12998 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12999 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13000 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13001 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13002 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13003 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13004 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13005 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13006 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13007 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13008 = add(_T_12991, _T_12992) @[exu_mul_ctl.scala 137:112] + node _T_13009 = add(_T_13008, _T_12993) @[exu_mul_ctl.scala 137:112] + node _T_13010 = add(_T_13009, _T_12994) @[exu_mul_ctl.scala 137:112] + node _T_13011 = add(_T_13010, _T_12995) @[exu_mul_ctl.scala 137:112] + node _T_13012 = add(_T_13011, _T_12996) @[exu_mul_ctl.scala 137:112] + node _T_13013 = add(_T_13012, _T_12997) @[exu_mul_ctl.scala 137:112] + node _T_13014 = add(_T_13013, _T_12998) @[exu_mul_ctl.scala 137:112] + node _T_13015 = add(_T_13014, _T_12999) @[exu_mul_ctl.scala 137:112] + node _T_13016 = add(_T_13015, _T_13000) @[exu_mul_ctl.scala 137:112] + node _T_13017 = add(_T_13016, _T_13001) @[exu_mul_ctl.scala 137:112] + node _T_13018 = add(_T_13017, _T_13002) @[exu_mul_ctl.scala 137:112] + node _T_13019 = add(_T_13018, _T_13003) @[exu_mul_ctl.scala 137:112] + node _T_13020 = add(_T_13019, _T_13004) @[exu_mul_ctl.scala 137:112] + node _T_13021 = add(_T_13020, _T_13005) @[exu_mul_ctl.scala 137:112] + node _T_13022 = add(_T_13021, _T_13006) @[exu_mul_ctl.scala 137:112] + node _T_13023 = add(_T_13022, _T_13007) @[exu_mul_ctl.scala 137:112] + node _T_13024 = eq(_T_13023, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13025 = bits(_T_13024, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13026 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_13027 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13028 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13029 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13030 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13031 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13032 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13033 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13034 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13035 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13036 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13037 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13038 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13039 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13040 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13041 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13042 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13043 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13044 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13045 = add(_T_13027, _T_13028) @[exu_mul_ctl.scala 137:112] + node _T_13046 = add(_T_13045, _T_13029) @[exu_mul_ctl.scala 137:112] + node _T_13047 = add(_T_13046, _T_13030) @[exu_mul_ctl.scala 137:112] + node _T_13048 = add(_T_13047, _T_13031) @[exu_mul_ctl.scala 137:112] + node _T_13049 = add(_T_13048, _T_13032) @[exu_mul_ctl.scala 137:112] + node _T_13050 = add(_T_13049, _T_13033) @[exu_mul_ctl.scala 137:112] + node _T_13051 = add(_T_13050, _T_13034) @[exu_mul_ctl.scala 137:112] + node _T_13052 = add(_T_13051, _T_13035) @[exu_mul_ctl.scala 137:112] + node _T_13053 = add(_T_13052, _T_13036) @[exu_mul_ctl.scala 137:112] + node _T_13054 = add(_T_13053, _T_13037) @[exu_mul_ctl.scala 137:112] + node _T_13055 = add(_T_13054, _T_13038) @[exu_mul_ctl.scala 137:112] + node _T_13056 = add(_T_13055, _T_13039) @[exu_mul_ctl.scala 137:112] + node _T_13057 = add(_T_13056, _T_13040) @[exu_mul_ctl.scala 137:112] + node _T_13058 = add(_T_13057, _T_13041) @[exu_mul_ctl.scala 137:112] + node _T_13059 = add(_T_13058, _T_13042) @[exu_mul_ctl.scala 137:112] + node _T_13060 = add(_T_13059, _T_13043) @[exu_mul_ctl.scala 137:112] + node _T_13061 = add(_T_13060, _T_13044) @[exu_mul_ctl.scala 137:112] + node _T_13062 = eq(_T_13061, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13063 = bits(_T_13062, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13064 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_13065 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13066 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13067 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13068 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13069 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13070 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13071 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13072 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13073 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13074 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13075 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13076 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13077 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13078 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13079 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13080 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13081 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13082 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13083 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13084 = add(_T_13065, _T_13066) @[exu_mul_ctl.scala 137:112] + node _T_13085 = add(_T_13084, _T_13067) @[exu_mul_ctl.scala 137:112] + node _T_13086 = add(_T_13085, _T_13068) @[exu_mul_ctl.scala 137:112] + node _T_13087 = add(_T_13086, _T_13069) @[exu_mul_ctl.scala 137:112] + node _T_13088 = add(_T_13087, _T_13070) @[exu_mul_ctl.scala 137:112] + node _T_13089 = add(_T_13088, _T_13071) @[exu_mul_ctl.scala 137:112] + node _T_13090 = add(_T_13089, _T_13072) @[exu_mul_ctl.scala 137:112] + node _T_13091 = add(_T_13090, _T_13073) @[exu_mul_ctl.scala 137:112] + node _T_13092 = add(_T_13091, _T_13074) @[exu_mul_ctl.scala 137:112] + node _T_13093 = add(_T_13092, _T_13075) @[exu_mul_ctl.scala 137:112] + node _T_13094 = add(_T_13093, _T_13076) @[exu_mul_ctl.scala 137:112] + node _T_13095 = add(_T_13094, _T_13077) @[exu_mul_ctl.scala 137:112] + node _T_13096 = add(_T_13095, _T_13078) @[exu_mul_ctl.scala 137:112] + node _T_13097 = add(_T_13096, _T_13079) @[exu_mul_ctl.scala 137:112] + node _T_13098 = add(_T_13097, _T_13080) @[exu_mul_ctl.scala 137:112] + node _T_13099 = add(_T_13098, _T_13081) @[exu_mul_ctl.scala 137:112] + node _T_13100 = add(_T_13099, _T_13082) @[exu_mul_ctl.scala 137:112] + node _T_13101 = add(_T_13100, _T_13083) @[exu_mul_ctl.scala 137:112] + node _T_13102 = eq(_T_13101, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13103 = bits(_T_13102, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13104 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_13105 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13106 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13107 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13108 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13109 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13110 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13111 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13112 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13113 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13114 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13115 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13116 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13117 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13118 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13119 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13120 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13121 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13122 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13123 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13124 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13125 = add(_T_13105, _T_13106) @[exu_mul_ctl.scala 137:112] + node _T_13126 = add(_T_13125, _T_13107) @[exu_mul_ctl.scala 137:112] + node _T_13127 = add(_T_13126, _T_13108) @[exu_mul_ctl.scala 137:112] + node _T_13128 = add(_T_13127, _T_13109) @[exu_mul_ctl.scala 137:112] + node _T_13129 = add(_T_13128, _T_13110) @[exu_mul_ctl.scala 137:112] + node _T_13130 = add(_T_13129, _T_13111) @[exu_mul_ctl.scala 137:112] + node _T_13131 = add(_T_13130, _T_13112) @[exu_mul_ctl.scala 137:112] + node _T_13132 = add(_T_13131, _T_13113) @[exu_mul_ctl.scala 137:112] + node _T_13133 = add(_T_13132, _T_13114) @[exu_mul_ctl.scala 137:112] + node _T_13134 = add(_T_13133, _T_13115) @[exu_mul_ctl.scala 137:112] + node _T_13135 = add(_T_13134, _T_13116) @[exu_mul_ctl.scala 137:112] + node _T_13136 = add(_T_13135, _T_13117) @[exu_mul_ctl.scala 137:112] + node _T_13137 = add(_T_13136, _T_13118) @[exu_mul_ctl.scala 137:112] + node _T_13138 = add(_T_13137, _T_13119) @[exu_mul_ctl.scala 137:112] + node _T_13139 = add(_T_13138, _T_13120) @[exu_mul_ctl.scala 137:112] + node _T_13140 = add(_T_13139, _T_13121) @[exu_mul_ctl.scala 137:112] + node _T_13141 = add(_T_13140, _T_13122) @[exu_mul_ctl.scala 137:112] + node _T_13142 = add(_T_13141, _T_13123) @[exu_mul_ctl.scala 137:112] + node _T_13143 = add(_T_13142, _T_13124) @[exu_mul_ctl.scala 137:112] + node _T_13144 = eq(_T_13143, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13145 = bits(_T_13144, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13146 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_13147 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13148 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13149 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13150 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13151 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13152 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13153 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13154 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13155 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13156 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13157 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13158 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13159 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13160 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13161 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13162 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13163 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13164 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13165 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13166 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13167 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13168 = add(_T_13147, _T_13148) @[exu_mul_ctl.scala 137:112] + node _T_13169 = add(_T_13168, _T_13149) @[exu_mul_ctl.scala 137:112] + node _T_13170 = add(_T_13169, _T_13150) @[exu_mul_ctl.scala 137:112] + node _T_13171 = add(_T_13170, _T_13151) @[exu_mul_ctl.scala 137:112] + node _T_13172 = add(_T_13171, _T_13152) @[exu_mul_ctl.scala 137:112] + node _T_13173 = add(_T_13172, _T_13153) @[exu_mul_ctl.scala 137:112] + node _T_13174 = add(_T_13173, _T_13154) @[exu_mul_ctl.scala 137:112] + node _T_13175 = add(_T_13174, _T_13155) @[exu_mul_ctl.scala 137:112] + node _T_13176 = add(_T_13175, _T_13156) @[exu_mul_ctl.scala 137:112] + node _T_13177 = add(_T_13176, _T_13157) @[exu_mul_ctl.scala 137:112] + node _T_13178 = add(_T_13177, _T_13158) @[exu_mul_ctl.scala 137:112] + node _T_13179 = add(_T_13178, _T_13159) @[exu_mul_ctl.scala 137:112] + node _T_13180 = add(_T_13179, _T_13160) @[exu_mul_ctl.scala 137:112] + node _T_13181 = add(_T_13180, _T_13161) @[exu_mul_ctl.scala 137:112] + node _T_13182 = add(_T_13181, _T_13162) @[exu_mul_ctl.scala 137:112] + node _T_13183 = add(_T_13182, _T_13163) @[exu_mul_ctl.scala 137:112] + node _T_13184 = add(_T_13183, _T_13164) @[exu_mul_ctl.scala 137:112] + node _T_13185 = add(_T_13184, _T_13165) @[exu_mul_ctl.scala 137:112] + node _T_13186 = add(_T_13185, _T_13166) @[exu_mul_ctl.scala 137:112] + node _T_13187 = add(_T_13186, _T_13167) @[exu_mul_ctl.scala 137:112] + node _T_13188 = eq(_T_13187, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13189 = bits(_T_13188, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13190 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_13191 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13192 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13193 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13194 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13195 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13196 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13197 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13198 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13199 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13200 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13201 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13202 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13203 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13204 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13205 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13206 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13207 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13208 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13209 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13210 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13211 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13212 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13213 = add(_T_13191, _T_13192) @[exu_mul_ctl.scala 137:112] + node _T_13214 = add(_T_13213, _T_13193) @[exu_mul_ctl.scala 137:112] + node _T_13215 = add(_T_13214, _T_13194) @[exu_mul_ctl.scala 137:112] + node _T_13216 = add(_T_13215, _T_13195) @[exu_mul_ctl.scala 137:112] + node _T_13217 = add(_T_13216, _T_13196) @[exu_mul_ctl.scala 137:112] + node _T_13218 = add(_T_13217, _T_13197) @[exu_mul_ctl.scala 137:112] + node _T_13219 = add(_T_13218, _T_13198) @[exu_mul_ctl.scala 137:112] + node _T_13220 = add(_T_13219, _T_13199) @[exu_mul_ctl.scala 137:112] + node _T_13221 = add(_T_13220, _T_13200) @[exu_mul_ctl.scala 137:112] + node _T_13222 = add(_T_13221, _T_13201) @[exu_mul_ctl.scala 137:112] + node _T_13223 = add(_T_13222, _T_13202) @[exu_mul_ctl.scala 137:112] + node _T_13224 = add(_T_13223, _T_13203) @[exu_mul_ctl.scala 137:112] + node _T_13225 = add(_T_13224, _T_13204) @[exu_mul_ctl.scala 137:112] + node _T_13226 = add(_T_13225, _T_13205) @[exu_mul_ctl.scala 137:112] + node _T_13227 = add(_T_13226, _T_13206) @[exu_mul_ctl.scala 137:112] + node _T_13228 = add(_T_13227, _T_13207) @[exu_mul_ctl.scala 137:112] + node _T_13229 = add(_T_13228, _T_13208) @[exu_mul_ctl.scala 137:112] + node _T_13230 = add(_T_13229, _T_13209) @[exu_mul_ctl.scala 137:112] + node _T_13231 = add(_T_13230, _T_13210) @[exu_mul_ctl.scala 137:112] + node _T_13232 = add(_T_13231, _T_13211) @[exu_mul_ctl.scala 137:112] + node _T_13233 = add(_T_13232, _T_13212) @[exu_mul_ctl.scala 137:112] + node _T_13234 = eq(_T_13233, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13235 = bits(_T_13234, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13236 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_13237 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13238 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13239 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13240 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13241 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13242 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13243 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13244 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13245 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13246 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13247 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13248 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13249 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13250 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13251 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13252 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13253 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13254 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13255 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13256 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13257 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13258 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13259 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13260 = add(_T_13237, _T_13238) @[exu_mul_ctl.scala 137:112] + node _T_13261 = add(_T_13260, _T_13239) @[exu_mul_ctl.scala 137:112] + node _T_13262 = add(_T_13261, _T_13240) @[exu_mul_ctl.scala 137:112] + node _T_13263 = add(_T_13262, _T_13241) @[exu_mul_ctl.scala 137:112] + node _T_13264 = add(_T_13263, _T_13242) @[exu_mul_ctl.scala 137:112] + node _T_13265 = add(_T_13264, _T_13243) @[exu_mul_ctl.scala 137:112] + node _T_13266 = add(_T_13265, _T_13244) @[exu_mul_ctl.scala 137:112] + node _T_13267 = add(_T_13266, _T_13245) @[exu_mul_ctl.scala 137:112] + node _T_13268 = add(_T_13267, _T_13246) @[exu_mul_ctl.scala 137:112] + node _T_13269 = add(_T_13268, _T_13247) @[exu_mul_ctl.scala 137:112] + node _T_13270 = add(_T_13269, _T_13248) @[exu_mul_ctl.scala 137:112] + node _T_13271 = add(_T_13270, _T_13249) @[exu_mul_ctl.scala 137:112] + node _T_13272 = add(_T_13271, _T_13250) @[exu_mul_ctl.scala 137:112] + node _T_13273 = add(_T_13272, _T_13251) @[exu_mul_ctl.scala 137:112] + node _T_13274 = add(_T_13273, _T_13252) @[exu_mul_ctl.scala 137:112] + node _T_13275 = add(_T_13274, _T_13253) @[exu_mul_ctl.scala 137:112] + node _T_13276 = add(_T_13275, _T_13254) @[exu_mul_ctl.scala 137:112] + node _T_13277 = add(_T_13276, _T_13255) @[exu_mul_ctl.scala 137:112] + node _T_13278 = add(_T_13277, _T_13256) @[exu_mul_ctl.scala 137:112] + node _T_13279 = add(_T_13278, _T_13257) @[exu_mul_ctl.scala 137:112] + node _T_13280 = add(_T_13279, _T_13258) @[exu_mul_ctl.scala 137:112] + node _T_13281 = add(_T_13280, _T_13259) @[exu_mul_ctl.scala 137:112] + node _T_13282 = eq(_T_13281, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13283 = bits(_T_13282, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13284 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_13285 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13286 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13287 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13288 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13289 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13290 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13291 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13292 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13293 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13294 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13295 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13296 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13297 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13298 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13299 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13300 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13301 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13302 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13303 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13304 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13305 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13306 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13307 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13308 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13309 = add(_T_13285, _T_13286) @[exu_mul_ctl.scala 137:112] + node _T_13310 = add(_T_13309, _T_13287) @[exu_mul_ctl.scala 137:112] + node _T_13311 = add(_T_13310, _T_13288) @[exu_mul_ctl.scala 137:112] + node _T_13312 = add(_T_13311, _T_13289) @[exu_mul_ctl.scala 137:112] + node _T_13313 = add(_T_13312, _T_13290) @[exu_mul_ctl.scala 137:112] + node _T_13314 = add(_T_13313, _T_13291) @[exu_mul_ctl.scala 137:112] + node _T_13315 = add(_T_13314, _T_13292) @[exu_mul_ctl.scala 137:112] + node _T_13316 = add(_T_13315, _T_13293) @[exu_mul_ctl.scala 137:112] + node _T_13317 = add(_T_13316, _T_13294) @[exu_mul_ctl.scala 137:112] + node _T_13318 = add(_T_13317, _T_13295) @[exu_mul_ctl.scala 137:112] + node _T_13319 = add(_T_13318, _T_13296) @[exu_mul_ctl.scala 137:112] + node _T_13320 = add(_T_13319, _T_13297) @[exu_mul_ctl.scala 137:112] + node _T_13321 = add(_T_13320, _T_13298) @[exu_mul_ctl.scala 137:112] + node _T_13322 = add(_T_13321, _T_13299) @[exu_mul_ctl.scala 137:112] + node _T_13323 = add(_T_13322, _T_13300) @[exu_mul_ctl.scala 137:112] + node _T_13324 = add(_T_13323, _T_13301) @[exu_mul_ctl.scala 137:112] + node _T_13325 = add(_T_13324, _T_13302) @[exu_mul_ctl.scala 137:112] + node _T_13326 = add(_T_13325, _T_13303) @[exu_mul_ctl.scala 137:112] + node _T_13327 = add(_T_13326, _T_13304) @[exu_mul_ctl.scala 137:112] + node _T_13328 = add(_T_13327, _T_13305) @[exu_mul_ctl.scala 137:112] + node _T_13329 = add(_T_13328, _T_13306) @[exu_mul_ctl.scala 137:112] + node _T_13330 = add(_T_13329, _T_13307) @[exu_mul_ctl.scala 137:112] + node _T_13331 = add(_T_13330, _T_13308) @[exu_mul_ctl.scala 137:112] + node _T_13332 = eq(_T_13331, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13333 = bits(_T_13332, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13334 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_13335 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13336 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13337 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13338 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13339 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13340 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13341 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13342 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13343 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13344 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13345 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13346 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13347 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13348 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13349 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13350 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13351 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13352 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13353 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13354 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13355 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13356 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13357 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13358 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13359 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13360 = add(_T_13335, _T_13336) @[exu_mul_ctl.scala 137:112] + node _T_13361 = add(_T_13360, _T_13337) @[exu_mul_ctl.scala 137:112] + node _T_13362 = add(_T_13361, _T_13338) @[exu_mul_ctl.scala 137:112] + node _T_13363 = add(_T_13362, _T_13339) @[exu_mul_ctl.scala 137:112] + node _T_13364 = add(_T_13363, _T_13340) @[exu_mul_ctl.scala 137:112] + node _T_13365 = add(_T_13364, _T_13341) @[exu_mul_ctl.scala 137:112] + node _T_13366 = add(_T_13365, _T_13342) @[exu_mul_ctl.scala 137:112] + node _T_13367 = add(_T_13366, _T_13343) @[exu_mul_ctl.scala 137:112] + node _T_13368 = add(_T_13367, _T_13344) @[exu_mul_ctl.scala 137:112] + node _T_13369 = add(_T_13368, _T_13345) @[exu_mul_ctl.scala 137:112] + node _T_13370 = add(_T_13369, _T_13346) @[exu_mul_ctl.scala 137:112] + node _T_13371 = add(_T_13370, _T_13347) @[exu_mul_ctl.scala 137:112] + node _T_13372 = add(_T_13371, _T_13348) @[exu_mul_ctl.scala 137:112] + node _T_13373 = add(_T_13372, _T_13349) @[exu_mul_ctl.scala 137:112] + node _T_13374 = add(_T_13373, _T_13350) @[exu_mul_ctl.scala 137:112] + node _T_13375 = add(_T_13374, _T_13351) @[exu_mul_ctl.scala 137:112] + node _T_13376 = add(_T_13375, _T_13352) @[exu_mul_ctl.scala 137:112] + node _T_13377 = add(_T_13376, _T_13353) @[exu_mul_ctl.scala 137:112] + node _T_13378 = add(_T_13377, _T_13354) @[exu_mul_ctl.scala 137:112] + node _T_13379 = add(_T_13378, _T_13355) @[exu_mul_ctl.scala 137:112] + node _T_13380 = add(_T_13379, _T_13356) @[exu_mul_ctl.scala 137:112] + node _T_13381 = add(_T_13380, _T_13357) @[exu_mul_ctl.scala 137:112] + node _T_13382 = add(_T_13381, _T_13358) @[exu_mul_ctl.scala 137:112] + node _T_13383 = add(_T_13382, _T_13359) @[exu_mul_ctl.scala 137:112] + node _T_13384 = eq(_T_13383, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13385 = bits(_T_13384, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13386 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_13387 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13388 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13389 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13390 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13391 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13392 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13393 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13394 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13395 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13396 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13397 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13398 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13399 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13400 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13401 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13402 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13403 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13404 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13405 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13406 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13407 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13408 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13409 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13410 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13411 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13412 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13413 = add(_T_13387, _T_13388) @[exu_mul_ctl.scala 137:112] + node _T_13414 = add(_T_13413, _T_13389) @[exu_mul_ctl.scala 137:112] + node _T_13415 = add(_T_13414, _T_13390) @[exu_mul_ctl.scala 137:112] + node _T_13416 = add(_T_13415, _T_13391) @[exu_mul_ctl.scala 137:112] + node _T_13417 = add(_T_13416, _T_13392) @[exu_mul_ctl.scala 137:112] + node _T_13418 = add(_T_13417, _T_13393) @[exu_mul_ctl.scala 137:112] + node _T_13419 = add(_T_13418, _T_13394) @[exu_mul_ctl.scala 137:112] + node _T_13420 = add(_T_13419, _T_13395) @[exu_mul_ctl.scala 137:112] + node _T_13421 = add(_T_13420, _T_13396) @[exu_mul_ctl.scala 137:112] + node _T_13422 = add(_T_13421, _T_13397) @[exu_mul_ctl.scala 137:112] + node _T_13423 = add(_T_13422, _T_13398) @[exu_mul_ctl.scala 137:112] + node _T_13424 = add(_T_13423, _T_13399) @[exu_mul_ctl.scala 137:112] + node _T_13425 = add(_T_13424, _T_13400) @[exu_mul_ctl.scala 137:112] + node _T_13426 = add(_T_13425, _T_13401) @[exu_mul_ctl.scala 137:112] + node _T_13427 = add(_T_13426, _T_13402) @[exu_mul_ctl.scala 137:112] + node _T_13428 = add(_T_13427, _T_13403) @[exu_mul_ctl.scala 137:112] + node _T_13429 = add(_T_13428, _T_13404) @[exu_mul_ctl.scala 137:112] + node _T_13430 = add(_T_13429, _T_13405) @[exu_mul_ctl.scala 137:112] + node _T_13431 = add(_T_13430, _T_13406) @[exu_mul_ctl.scala 137:112] + node _T_13432 = add(_T_13431, _T_13407) @[exu_mul_ctl.scala 137:112] + node _T_13433 = add(_T_13432, _T_13408) @[exu_mul_ctl.scala 137:112] + node _T_13434 = add(_T_13433, _T_13409) @[exu_mul_ctl.scala 137:112] + node _T_13435 = add(_T_13434, _T_13410) @[exu_mul_ctl.scala 137:112] + node _T_13436 = add(_T_13435, _T_13411) @[exu_mul_ctl.scala 137:112] + node _T_13437 = add(_T_13436, _T_13412) @[exu_mul_ctl.scala 137:112] + node _T_13438 = eq(_T_13437, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13439 = bits(_T_13438, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13440 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_13441 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13442 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13443 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13444 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13445 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13446 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13447 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13448 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13449 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13450 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13451 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13452 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13453 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13454 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13455 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13456 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13457 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13458 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13459 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13460 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13461 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13462 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13463 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13464 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13465 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13466 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13467 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13468 = add(_T_13441, _T_13442) @[exu_mul_ctl.scala 137:112] + node _T_13469 = add(_T_13468, _T_13443) @[exu_mul_ctl.scala 137:112] + node _T_13470 = add(_T_13469, _T_13444) @[exu_mul_ctl.scala 137:112] + node _T_13471 = add(_T_13470, _T_13445) @[exu_mul_ctl.scala 137:112] + node _T_13472 = add(_T_13471, _T_13446) @[exu_mul_ctl.scala 137:112] + node _T_13473 = add(_T_13472, _T_13447) @[exu_mul_ctl.scala 137:112] + node _T_13474 = add(_T_13473, _T_13448) @[exu_mul_ctl.scala 137:112] + node _T_13475 = add(_T_13474, _T_13449) @[exu_mul_ctl.scala 137:112] + node _T_13476 = add(_T_13475, _T_13450) @[exu_mul_ctl.scala 137:112] + node _T_13477 = add(_T_13476, _T_13451) @[exu_mul_ctl.scala 137:112] + node _T_13478 = add(_T_13477, _T_13452) @[exu_mul_ctl.scala 137:112] + node _T_13479 = add(_T_13478, _T_13453) @[exu_mul_ctl.scala 137:112] + node _T_13480 = add(_T_13479, _T_13454) @[exu_mul_ctl.scala 137:112] + node _T_13481 = add(_T_13480, _T_13455) @[exu_mul_ctl.scala 137:112] + node _T_13482 = add(_T_13481, _T_13456) @[exu_mul_ctl.scala 137:112] + node _T_13483 = add(_T_13482, _T_13457) @[exu_mul_ctl.scala 137:112] + node _T_13484 = add(_T_13483, _T_13458) @[exu_mul_ctl.scala 137:112] + node _T_13485 = add(_T_13484, _T_13459) @[exu_mul_ctl.scala 137:112] + node _T_13486 = add(_T_13485, _T_13460) @[exu_mul_ctl.scala 137:112] + node _T_13487 = add(_T_13486, _T_13461) @[exu_mul_ctl.scala 137:112] + node _T_13488 = add(_T_13487, _T_13462) @[exu_mul_ctl.scala 137:112] + node _T_13489 = add(_T_13488, _T_13463) @[exu_mul_ctl.scala 137:112] + node _T_13490 = add(_T_13489, _T_13464) @[exu_mul_ctl.scala 137:112] + node _T_13491 = add(_T_13490, _T_13465) @[exu_mul_ctl.scala 137:112] + node _T_13492 = add(_T_13491, _T_13466) @[exu_mul_ctl.scala 137:112] + node _T_13493 = add(_T_13492, _T_13467) @[exu_mul_ctl.scala 137:112] + node _T_13494 = eq(_T_13493, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13495 = bits(_T_13494, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13496 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_13497 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13498 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13499 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13500 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13501 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13502 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13503 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13504 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13505 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13506 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13507 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13508 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13509 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13510 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13511 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13512 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13513 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13514 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13515 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13516 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13517 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13518 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13519 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13520 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13521 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13522 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13523 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13524 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_13525 = add(_T_13497, _T_13498) @[exu_mul_ctl.scala 137:112] + node _T_13526 = add(_T_13525, _T_13499) @[exu_mul_ctl.scala 137:112] + node _T_13527 = add(_T_13526, _T_13500) @[exu_mul_ctl.scala 137:112] + node _T_13528 = add(_T_13527, _T_13501) @[exu_mul_ctl.scala 137:112] + node _T_13529 = add(_T_13528, _T_13502) @[exu_mul_ctl.scala 137:112] + node _T_13530 = add(_T_13529, _T_13503) @[exu_mul_ctl.scala 137:112] + node _T_13531 = add(_T_13530, _T_13504) @[exu_mul_ctl.scala 137:112] + node _T_13532 = add(_T_13531, _T_13505) @[exu_mul_ctl.scala 137:112] + node _T_13533 = add(_T_13532, _T_13506) @[exu_mul_ctl.scala 137:112] + node _T_13534 = add(_T_13533, _T_13507) @[exu_mul_ctl.scala 137:112] + node _T_13535 = add(_T_13534, _T_13508) @[exu_mul_ctl.scala 137:112] + node _T_13536 = add(_T_13535, _T_13509) @[exu_mul_ctl.scala 137:112] + node _T_13537 = add(_T_13536, _T_13510) @[exu_mul_ctl.scala 137:112] + node _T_13538 = add(_T_13537, _T_13511) @[exu_mul_ctl.scala 137:112] + node _T_13539 = add(_T_13538, _T_13512) @[exu_mul_ctl.scala 137:112] + node _T_13540 = add(_T_13539, _T_13513) @[exu_mul_ctl.scala 137:112] + node _T_13541 = add(_T_13540, _T_13514) @[exu_mul_ctl.scala 137:112] + node _T_13542 = add(_T_13541, _T_13515) @[exu_mul_ctl.scala 137:112] + node _T_13543 = add(_T_13542, _T_13516) @[exu_mul_ctl.scala 137:112] + node _T_13544 = add(_T_13543, _T_13517) @[exu_mul_ctl.scala 137:112] + node _T_13545 = add(_T_13544, _T_13518) @[exu_mul_ctl.scala 137:112] + node _T_13546 = add(_T_13545, _T_13519) @[exu_mul_ctl.scala 137:112] + node _T_13547 = add(_T_13546, _T_13520) @[exu_mul_ctl.scala 137:112] + node _T_13548 = add(_T_13547, _T_13521) @[exu_mul_ctl.scala 137:112] + node _T_13549 = add(_T_13548, _T_13522) @[exu_mul_ctl.scala 137:112] + node _T_13550 = add(_T_13549, _T_13523) @[exu_mul_ctl.scala 137:112] + node _T_13551 = add(_T_13550, _T_13524) @[exu_mul_ctl.scala 137:112] + node _T_13552 = eq(_T_13551, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13553 = bits(_T_13552, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13554 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_13555 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13556 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13557 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13558 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13559 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13560 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13561 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13562 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13563 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13564 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13565 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13566 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13567 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13568 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13569 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13570 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13571 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13572 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13573 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13574 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13575 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13576 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13577 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13578 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13579 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13580 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13581 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13582 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_13583 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_13584 = add(_T_13555, _T_13556) @[exu_mul_ctl.scala 137:112] + node _T_13585 = add(_T_13584, _T_13557) @[exu_mul_ctl.scala 137:112] + node _T_13586 = add(_T_13585, _T_13558) @[exu_mul_ctl.scala 137:112] + node _T_13587 = add(_T_13586, _T_13559) @[exu_mul_ctl.scala 137:112] + node _T_13588 = add(_T_13587, _T_13560) @[exu_mul_ctl.scala 137:112] + node _T_13589 = add(_T_13588, _T_13561) @[exu_mul_ctl.scala 137:112] + node _T_13590 = add(_T_13589, _T_13562) @[exu_mul_ctl.scala 137:112] + node _T_13591 = add(_T_13590, _T_13563) @[exu_mul_ctl.scala 137:112] + node _T_13592 = add(_T_13591, _T_13564) @[exu_mul_ctl.scala 137:112] + node _T_13593 = add(_T_13592, _T_13565) @[exu_mul_ctl.scala 137:112] + node _T_13594 = add(_T_13593, _T_13566) @[exu_mul_ctl.scala 137:112] + node _T_13595 = add(_T_13594, _T_13567) @[exu_mul_ctl.scala 137:112] + node _T_13596 = add(_T_13595, _T_13568) @[exu_mul_ctl.scala 137:112] + node _T_13597 = add(_T_13596, _T_13569) @[exu_mul_ctl.scala 137:112] + node _T_13598 = add(_T_13597, _T_13570) @[exu_mul_ctl.scala 137:112] + node _T_13599 = add(_T_13598, _T_13571) @[exu_mul_ctl.scala 137:112] + node _T_13600 = add(_T_13599, _T_13572) @[exu_mul_ctl.scala 137:112] + node _T_13601 = add(_T_13600, _T_13573) @[exu_mul_ctl.scala 137:112] + node _T_13602 = add(_T_13601, _T_13574) @[exu_mul_ctl.scala 137:112] + node _T_13603 = add(_T_13602, _T_13575) @[exu_mul_ctl.scala 137:112] + node _T_13604 = add(_T_13603, _T_13576) @[exu_mul_ctl.scala 137:112] + node _T_13605 = add(_T_13604, _T_13577) @[exu_mul_ctl.scala 137:112] + node _T_13606 = add(_T_13605, _T_13578) @[exu_mul_ctl.scala 137:112] + node _T_13607 = add(_T_13606, _T_13579) @[exu_mul_ctl.scala 137:112] + node _T_13608 = add(_T_13607, _T_13580) @[exu_mul_ctl.scala 137:112] + node _T_13609 = add(_T_13608, _T_13581) @[exu_mul_ctl.scala 137:112] + node _T_13610 = add(_T_13609, _T_13582) @[exu_mul_ctl.scala 137:112] + node _T_13611 = add(_T_13610, _T_13583) @[exu_mul_ctl.scala 137:112] + node _T_13612 = eq(_T_13611, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13613 = bits(_T_13612, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13614 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_13615 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13616 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13617 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13618 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13619 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13620 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13621 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13622 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13623 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13624 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13625 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13626 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13627 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13628 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13629 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13630 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13631 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13632 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13633 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13634 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13635 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13636 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13637 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13638 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13639 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13640 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13641 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13642 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_13643 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_13644 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_13645 = add(_T_13615, _T_13616) @[exu_mul_ctl.scala 137:112] + node _T_13646 = add(_T_13645, _T_13617) @[exu_mul_ctl.scala 137:112] + node _T_13647 = add(_T_13646, _T_13618) @[exu_mul_ctl.scala 137:112] + node _T_13648 = add(_T_13647, _T_13619) @[exu_mul_ctl.scala 137:112] + node _T_13649 = add(_T_13648, _T_13620) @[exu_mul_ctl.scala 137:112] + node _T_13650 = add(_T_13649, _T_13621) @[exu_mul_ctl.scala 137:112] + node _T_13651 = add(_T_13650, _T_13622) @[exu_mul_ctl.scala 137:112] + node _T_13652 = add(_T_13651, _T_13623) @[exu_mul_ctl.scala 137:112] + node _T_13653 = add(_T_13652, _T_13624) @[exu_mul_ctl.scala 137:112] + node _T_13654 = add(_T_13653, _T_13625) @[exu_mul_ctl.scala 137:112] + node _T_13655 = add(_T_13654, _T_13626) @[exu_mul_ctl.scala 137:112] + node _T_13656 = add(_T_13655, _T_13627) @[exu_mul_ctl.scala 137:112] + node _T_13657 = add(_T_13656, _T_13628) @[exu_mul_ctl.scala 137:112] + node _T_13658 = add(_T_13657, _T_13629) @[exu_mul_ctl.scala 137:112] + node _T_13659 = add(_T_13658, _T_13630) @[exu_mul_ctl.scala 137:112] + node _T_13660 = add(_T_13659, _T_13631) @[exu_mul_ctl.scala 137:112] + node _T_13661 = add(_T_13660, _T_13632) @[exu_mul_ctl.scala 137:112] + node _T_13662 = add(_T_13661, _T_13633) @[exu_mul_ctl.scala 137:112] + node _T_13663 = add(_T_13662, _T_13634) @[exu_mul_ctl.scala 137:112] + node _T_13664 = add(_T_13663, _T_13635) @[exu_mul_ctl.scala 137:112] + node _T_13665 = add(_T_13664, _T_13636) @[exu_mul_ctl.scala 137:112] + node _T_13666 = add(_T_13665, _T_13637) @[exu_mul_ctl.scala 137:112] + node _T_13667 = add(_T_13666, _T_13638) @[exu_mul_ctl.scala 137:112] + node _T_13668 = add(_T_13667, _T_13639) @[exu_mul_ctl.scala 137:112] + node _T_13669 = add(_T_13668, _T_13640) @[exu_mul_ctl.scala 137:112] + node _T_13670 = add(_T_13669, _T_13641) @[exu_mul_ctl.scala 137:112] + node _T_13671 = add(_T_13670, _T_13642) @[exu_mul_ctl.scala 137:112] + node _T_13672 = add(_T_13671, _T_13643) @[exu_mul_ctl.scala 137:112] + node _T_13673 = add(_T_13672, _T_13644) @[exu_mul_ctl.scala 137:112] + node _T_13674 = eq(_T_13673, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13675 = bits(_T_13674, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13676 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_13677 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13678 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13679 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13680 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13681 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13682 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13683 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13684 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13685 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13686 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13687 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13688 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13689 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13690 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13691 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13692 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13693 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13694 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13695 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13696 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13697 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13698 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13699 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13700 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13701 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13702 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13703 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13704 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_13705 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_13706 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_13707 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_13708 = add(_T_13677, _T_13678) @[exu_mul_ctl.scala 137:112] + node _T_13709 = add(_T_13708, _T_13679) @[exu_mul_ctl.scala 137:112] + node _T_13710 = add(_T_13709, _T_13680) @[exu_mul_ctl.scala 137:112] + node _T_13711 = add(_T_13710, _T_13681) @[exu_mul_ctl.scala 137:112] + node _T_13712 = add(_T_13711, _T_13682) @[exu_mul_ctl.scala 137:112] + node _T_13713 = add(_T_13712, _T_13683) @[exu_mul_ctl.scala 137:112] + node _T_13714 = add(_T_13713, _T_13684) @[exu_mul_ctl.scala 137:112] + node _T_13715 = add(_T_13714, _T_13685) @[exu_mul_ctl.scala 137:112] + node _T_13716 = add(_T_13715, _T_13686) @[exu_mul_ctl.scala 137:112] + node _T_13717 = add(_T_13716, _T_13687) @[exu_mul_ctl.scala 137:112] + node _T_13718 = add(_T_13717, _T_13688) @[exu_mul_ctl.scala 137:112] + node _T_13719 = add(_T_13718, _T_13689) @[exu_mul_ctl.scala 137:112] + node _T_13720 = add(_T_13719, _T_13690) @[exu_mul_ctl.scala 137:112] + node _T_13721 = add(_T_13720, _T_13691) @[exu_mul_ctl.scala 137:112] + node _T_13722 = add(_T_13721, _T_13692) @[exu_mul_ctl.scala 137:112] + node _T_13723 = add(_T_13722, _T_13693) @[exu_mul_ctl.scala 137:112] + node _T_13724 = add(_T_13723, _T_13694) @[exu_mul_ctl.scala 137:112] + node _T_13725 = add(_T_13724, _T_13695) @[exu_mul_ctl.scala 137:112] + node _T_13726 = add(_T_13725, _T_13696) @[exu_mul_ctl.scala 137:112] + node _T_13727 = add(_T_13726, _T_13697) @[exu_mul_ctl.scala 137:112] + node _T_13728 = add(_T_13727, _T_13698) @[exu_mul_ctl.scala 137:112] + node _T_13729 = add(_T_13728, _T_13699) @[exu_mul_ctl.scala 137:112] + node _T_13730 = add(_T_13729, _T_13700) @[exu_mul_ctl.scala 137:112] + node _T_13731 = add(_T_13730, _T_13701) @[exu_mul_ctl.scala 137:112] + node _T_13732 = add(_T_13731, _T_13702) @[exu_mul_ctl.scala 137:112] + node _T_13733 = add(_T_13732, _T_13703) @[exu_mul_ctl.scala 137:112] + node _T_13734 = add(_T_13733, _T_13704) @[exu_mul_ctl.scala 137:112] + node _T_13735 = add(_T_13734, _T_13705) @[exu_mul_ctl.scala 137:112] + node _T_13736 = add(_T_13735, _T_13706) @[exu_mul_ctl.scala 137:112] + node _T_13737 = add(_T_13736, _T_13707) @[exu_mul_ctl.scala 137:112] + node _T_13738 = eq(_T_13737, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13739 = bits(_T_13738, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13740 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_13741 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13742 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13743 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13744 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13745 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13746 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13747 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13748 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13749 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13750 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13751 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13752 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13753 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13754 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13755 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13756 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13757 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13758 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13759 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13760 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13761 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13762 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13763 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13764 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13765 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13766 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13767 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13768 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_13769 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_13770 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_13771 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_13772 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_13773 = add(_T_13741, _T_13742) @[exu_mul_ctl.scala 137:112] + node _T_13774 = add(_T_13773, _T_13743) @[exu_mul_ctl.scala 137:112] + node _T_13775 = add(_T_13774, _T_13744) @[exu_mul_ctl.scala 137:112] + node _T_13776 = add(_T_13775, _T_13745) @[exu_mul_ctl.scala 137:112] + node _T_13777 = add(_T_13776, _T_13746) @[exu_mul_ctl.scala 137:112] + node _T_13778 = add(_T_13777, _T_13747) @[exu_mul_ctl.scala 137:112] + node _T_13779 = add(_T_13778, _T_13748) @[exu_mul_ctl.scala 137:112] + node _T_13780 = add(_T_13779, _T_13749) @[exu_mul_ctl.scala 137:112] + node _T_13781 = add(_T_13780, _T_13750) @[exu_mul_ctl.scala 137:112] + node _T_13782 = add(_T_13781, _T_13751) @[exu_mul_ctl.scala 137:112] + node _T_13783 = add(_T_13782, _T_13752) @[exu_mul_ctl.scala 137:112] + node _T_13784 = add(_T_13783, _T_13753) @[exu_mul_ctl.scala 137:112] + node _T_13785 = add(_T_13784, _T_13754) @[exu_mul_ctl.scala 137:112] + node _T_13786 = add(_T_13785, _T_13755) @[exu_mul_ctl.scala 137:112] + node _T_13787 = add(_T_13786, _T_13756) @[exu_mul_ctl.scala 137:112] + node _T_13788 = add(_T_13787, _T_13757) @[exu_mul_ctl.scala 137:112] + node _T_13789 = add(_T_13788, _T_13758) @[exu_mul_ctl.scala 137:112] + node _T_13790 = add(_T_13789, _T_13759) @[exu_mul_ctl.scala 137:112] + node _T_13791 = add(_T_13790, _T_13760) @[exu_mul_ctl.scala 137:112] + node _T_13792 = add(_T_13791, _T_13761) @[exu_mul_ctl.scala 137:112] + node _T_13793 = add(_T_13792, _T_13762) @[exu_mul_ctl.scala 137:112] + node _T_13794 = add(_T_13793, _T_13763) @[exu_mul_ctl.scala 137:112] + node _T_13795 = add(_T_13794, _T_13764) @[exu_mul_ctl.scala 137:112] + node _T_13796 = add(_T_13795, _T_13765) @[exu_mul_ctl.scala 137:112] + node _T_13797 = add(_T_13796, _T_13766) @[exu_mul_ctl.scala 137:112] + node _T_13798 = add(_T_13797, _T_13767) @[exu_mul_ctl.scala 137:112] + node _T_13799 = add(_T_13798, _T_13768) @[exu_mul_ctl.scala 137:112] + node _T_13800 = add(_T_13799, _T_13769) @[exu_mul_ctl.scala 137:112] + node _T_13801 = add(_T_13800, _T_13770) @[exu_mul_ctl.scala 137:112] + node _T_13802 = add(_T_13801, _T_13771) @[exu_mul_ctl.scala 137:112] + node _T_13803 = add(_T_13802, _T_13772) @[exu_mul_ctl.scala 137:112] + node _T_13804 = eq(_T_13803, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13805 = bits(_T_13804, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13806 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_13807 = mux(_T_13805, _T_13806, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_13808 = mux(_T_13739, _T_13740, _T_13807) @[Mux.scala 98:16] + node _T_13809 = mux(_T_13675, _T_13676, _T_13808) @[Mux.scala 98:16] + node _T_13810 = mux(_T_13613, _T_13614, _T_13809) @[Mux.scala 98:16] + node _T_13811 = mux(_T_13553, _T_13554, _T_13810) @[Mux.scala 98:16] + node _T_13812 = mux(_T_13495, _T_13496, _T_13811) @[Mux.scala 98:16] + node _T_13813 = mux(_T_13439, _T_13440, _T_13812) @[Mux.scala 98:16] + node _T_13814 = mux(_T_13385, _T_13386, _T_13813) @[Mux.scala 98:16] + node _T_13815 = mux(_T_13333, _T_13334, _T_13814) @[Mux.scala 98:16] + node _T_13816 = mux(_T_13283, _T_13284, _T_13815) @[Mux.scala 98:16] + node _T_13817 = mux(_T_13235, _T_13236, _T_13816) @[Mux.scala 98:16] + node _T_13818 = mux(_T_13189, _T_13190, _T_13817) @[Mux.scala 98:16] + node _T_13819 = mux(_T_13145, _T_13146, _T_13818) @[Mux.scala 98:16] + node _T_13820 = mux(_T_13103, _T_13104, _T_13819) @[Mux.scala 98:16] + node _T_13821 = mux(_T_13063, _T_13064, _T_13820) @[Mux.scala 98:16] + node _T_13822 = mux(_T_13025, _T_13026, _T_13821) @[Mux.scala 98:16] + node _T_13823 = mux(_T_12989, _T_12990, _T_13822) @[Mux.scala 98:16] + node _T_13824 = mux(_T_12955, _T_12956, _T_13823) @[Mux.scala 98:16] + node _T_13825 = mux(_T_12923, _T_12924, _T_13824) @[Mux.scala 98:16] + node _T_13826 = mux(_T_12893, _T_12894, _T_13825) @[Mux.scala 98:16] + node _T_13827 = mux(_T_12865, _T_12866, _T_13826) @[Mux.scala 98:16] + node _T_13828 = mux(_T_12839, _T_12840, _T_13827) @[Mux.scala 98:16] + node _T_13829 = mux(_T_12815, _T_12816, _T_13828) @[Mux.scala 98:16] + node _T_13830 = mux(_T_12793, _T_12794, _T_13829) @[Mux.scala 98:16] + node _T_13831 = mux(_T_12773, _T_12774, _T_13830) @[Mux.scala 98:16] + node _T_13832 = mux(_T_12755, _T_12756, _T_13831) @[Mux.scala 98:16] + node _T_13833 = mux(_T_12739, _T_12740, _T_13832) @[Mux.scala 98:16] + node _T_13834 = mux(_T_12725, _T_12726, _T_13833) @[Mux.scala 98:16] + node _T_13835 = mux(_T_12713, _T_12714, _T_13834) @[Mux.scala 98:16] + node _T_13836 = mux(_T_12703, _T_12704, _T_13835) @[Mux.scala 98:16] + node _T_13837 = mux(_T_12695, _T_12696, _T_13836) @[Mux.scala 98:16] + node _T_13838 = mux(_T_12689, _T_12690, _T_13837) @[Mux.scala 98:16] + node _T_13839 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_13840 = eq(_T_13839, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13841 = bits(_T_13840, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13842 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_13843 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13844 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13845 = add(_T_13843, _T_13844) @[exu_mul_ctl.scala 137:112] + node _T_13846 = eq(_T_13845, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13847 = bits(_T_13846, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13848 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_13849 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13850 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13851 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13852 = add(_T_13849, _T_13850) @[exu_mul_ctl.scala 137:112] + node _T_13853 = add(_T_13852, _T_13851) @[exu_mul_ctl.scala 137:112] + node _T_13854 = eq(_T_13853, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13855 = bits(_T_13854, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13856 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_13857 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13858 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13859 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13860 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13861 = add(_T_13857, _T_13858) @[exu_mul_ctl.scala 137:112] + node _T_13862 = add(_T_13861, _T_13859) @[exu_mul_ctl.scala 137:112] + node _T_13863 = add(_T_13862, _T_13860) @[exu_mul_ctl.scala 137:112] + node _T_13864 = eq(_T_13863, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13865 = bits(_T_13864, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13866 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_13867 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13868 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13869 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13870 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13871 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13872 = add(_T_13867, _T_13868) @[exu_mul_ctl.scala 137:112] + node _T_13873 = add(_T_13872, _T_13869) @[exu_mul_ctl.scala 137:112] + node _T_13874 = add(_T_13873, _T_13870) @[exu_mul_ctl.scala 137:112] + node _T_13875 = add(_T_13874, _T_13871) @[exu_mul_ctl.scala 137:112] + node _T_13876 = eq(_T_13875, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13877 = bits(_T_13876, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13878 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_13879 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13880 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13881 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13882 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13883 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13884 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13885 = add(_T_13879, _T_13880) @[exu_mul_ctl.scala 137:112] + node _T_13886 = add(_T_13885, _T_13881) @[exu_mul_ctl.scala 137:112] + node _T_13887 = add(_T_13886, _T_13882) @[exu_mul_ctl.scala 137:112] + node _T_13888 = add(_T_13887, _T_13883) @[exu_mul_ctl.scala 137:112] + node _T_13889 = add(_T_13888, _T_13884) @[exu_mul_ctl.scala 137:112] + node _T_13890 = eq(_T_13889, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13891 = bits(_T_13890, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13892 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_13893 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13894 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13895 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13896 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13897 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13898 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13899 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13900 = add(_T_13893, _T_13894) @[exu_mul_ctl.scala 137:112] + node _T_13901 = add(_T_13900, _T_13895) @[exu_mul_ctl.scala 137:112] + node _T_13902 = add(_T_13901, _T_13896) @[exu_mul_ctl.scala 137:112] + node _T_13903 = add(_T_13902, _T_13897) @[exu_mul_ctl.scala 137:112] + node _T_13904 = add(_T_13903, _T_13898) @[exu_mul_ctl.scala 137:112] + node _T_13905 = add(_T_13904, _T_13899) @[exu_mul_ctl.scala 137:112] + node _T_13906 = eq(_T_13905, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13907 = bits(_T_13906, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13908 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_13909 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13910 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13911 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13912 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13913 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13914 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13915 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13916 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13917 = add(_T_13909, _T_13910) @[exu_mul_ctl.scala 137:112] + node _T_13918 = add(_T_13917, _T_13911) @[exu_mul_ctl.scala 137:112] + node _T_13919 = add(_T_13918, _T_13912) @[exu_mul_ctl.scala 137:112] + node _T_13920 = add(_T_13919, _T_13913) @[exu_mul_ctl.scala 137:112] + node _T_13921 = add(_T_13920, _T_13914) @[exu_mul_ctl.scala 137:112] + node _T_13922 = add(_T_13921, _T_13915) @[exu_mul_ctl.scala 137:112] + node _T_13923 = add(_T_13922, _T_13916) @[exu_mul_ctl.scala 137:112] + node _T_13924 = eq(_T_13923, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13925 = bits(_T_13924, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13926 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_13927 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13928 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13929 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13930 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13931 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13932 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13933 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13934 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13935 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13936 = add(_T_13927, _T_13928) @[exu_mul_ctl.scala 137:112] + node _T_13937 = add(_T_13936, _T_13929) @[exu_mul_ctl.scala 137:112] + node _T_13938 = add(_T_13937, _T_13930) @[exu_mul_ctl.scala 137:112] + node _T_13939 = add(_T_13938, _T_13931) @[exu_mul_ctl.scala 137:112] + node _T_13940 = add(_T_13939, _T_13932) @[exu_mul_ctl.scala 137:112] + node _T_13941 = add(_T_13940, _T_13933) @[exu_mul_ctl.scala 137:112] + node _T_13942 = add(_T_13941, _T_13934) @[exu_mul_ctl.scala 137:112] + node _T_13943 = add(_T_13942, _T_13935) @[exu_mul_ctl.scala 137:112] + node _T_13944 = eq(_T_13943, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13945 = bits(_T_13944, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13946 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_13947 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13948 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13949 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13950 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13951 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13952 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13953 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13954 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13955 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13956 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13957 = add(_T_13947, _T_13948) @[exu_mul_ctl.scala 137:112] + node _T_13958 = add(_T_13957, _T_13949) @[exu_mul_ctl.scala 137:112] + node _T_13959 = add(_T_13958, _T_13950) @[exu_mul_ctl.scala 137:112] + node _T_13960 = add(_T_13959, _T_13951) @[exu_mul_ctl.scala 137:112] + node _T_13961 = add(_T_13960, _T_13952) @[exu_mul_ctl.scala 137:112] + node _T_13962 = add(_T_13961, _T_13953) @[exu_mul_ctl.scala 137:112] + node _T_13963 = add(_T_13962, _T_13954) @[exu_mul_ctl.scala 137:112] + node _T_13964 = add(_T_13963, _T_13955) @[exu_mul_ctl.scala 137:112] + node _T_13965 = add(_T_13964, _T_13956) @[exu_mul_ctl.scala 137:112] + node _T_13966 = eq(_T_13965, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13967 = bits(_T_13966, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13968 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_13969 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13970 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13971 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13972 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13973 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13974 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13975 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13976 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13977 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13978 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13979 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13980 = add(_T_13969, _T_13970) @[exu_mul_ctl.scala 137:112] + node _T_13981 = add(_T_13980, _T_13971) @[exu_mul_ctl.scala 137:112] + node _T_13982 = add(_T_13981, _T_13972) @[exu_mul_ctl.scala 137:112] + node _T_13983 = add(_T_13982, _T_13973) @[exu_mul_ctl.scala 137:112] + node _T_13984 = add(_T_13983, _T_13974) @[exu_mul_ctl.scala 137:112] + node _T_13985 = add(_T_13984, _T_13975) @[exu_mul_ctl.scala 137:112] + node _T_13986 = add(_T_13985, _T_13976) @[exu_mul_ctl.scala 137:112] + node _T_13987 = add(_T_13986, _T_13977) @[exu_mul_ctl.scala 137:112] + node _T_13988 = add(_T_13987, _T_13978) @[exu_mul_ctl.scala 137:112] + node _T_13989 = add(_T_13988, _T_13979) @[exu_mul_ctl.scala 137:112] + node _T_13990 = eq(_T_13989, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13991 = bits(_T_13990, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13992 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_13993 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13994 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13995 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13996 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13997 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13998 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13999 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14000 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14001 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14002 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14003 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14004 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14005 = add(_T_13993, _T_13994) @[exu_mul_ctl.scala 137:112] + node _T_14006 = add(_T_14005, _T_13995) @[exu_mul_ctl.scala 137:112] + node _T_14007 = add(_T_14006, _T_13996) @[exu_mul_ctl.scala 137:112] + node _T_14008 = add(_T_14007, _T_13997) @[exu_mul_ctl.scala 137:112] + node _T_14009 = add(_T_14008, _T_13998) @[exu_mul_ctl.scala 137:112] + node _T_14010 = add(_T_14009, _T_13999) @[exu_mul_ctl.scala 137:112] + node _T_14011 = add(_T_14010, _T_14000) @[exu_mul_ctl.scala 137:112] + node _T_14012 = add(_T_14011, _T_14001) @[exu_mul_ctl.scala 137:112] + node _T_14013 = add(_T_14012, _T_14002) @[exu_mul_ctl.scala 137:112] + node _T_14014 = add(_T_14013, _T_14003) @[exu_mul_ctl.scala 137:112] + node _T_14015 = add(_T_14014, _T_14004) @[exu_mul_ctl.scala 137:112] + node _T_14016 = eq(_T_14015, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14017 = bits(_T_14016, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14018 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_14019 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14020 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14021 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14022 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14023 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14024 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14025 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14026 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14027 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14028 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14029 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14030 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14031 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14032 = add(_T_14019, _T_14020) @[exu_mul_ctl.scala 137:112] + node _T_14033 = add(_T_14032, _T_14021) @[exu_mul_ctl.scala 137:112] + node _T_14034 = add(_T_14033, _T_14022) @[exu_mul_ctl.scala 137:112] + node _T_14035 = add(_T_14034, _T_14023) @[exu_mul_ctl.scala 137:112] + node _T_14036 = add(_T_14035, _T_14024) @[exu_mul_ctl.scala 137:112] + node _T_14037 = add(_T_14036, _T_14025) @[exu_mul_ctl.scala 137:112] + node _T_14038 = add(_T_14037, _T_14026) @[exu_mul_ctl.scala 137:112] + node _T_14039 = add(_T_14038, _T_14027) @[exu_mul_ctl.scala 137:112] + node _T_14040 = add(_T_14039, _T_14028) @[exu_mul_ctl.scala 137:112] + node _T_14041 = add(_T_14040, _T_14029) @[exu_mul_ctl.scala 137:112] + node _T_14042 = add(_T_14041, _T_14030) @[exu_mul_ctl.scala 137:112] + node _T_14043 = add(_T_14042, _T_14031) @[exu_mul_ctl.scala 137:112] + node _T_14044 = eq(_T_14043, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14045 = bits(_T_14044, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14046 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_14047 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14048 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14049 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14050 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14051 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14052 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14053 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14054 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14055 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14056 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14057 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14058 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14059 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14060 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14061 = add(_T_14047, _T_14048) @[exu_mul_ctl.scala 137:112] + node _T_14062 = add(_T_14061, _T_14049) @[exu_mul_ctl.scala 137:112] + node _T_14063 = add(_T_14062, _T_14050) @[exu_mul_ctl.scala 137:112] + node _T_14064 = add(_T_14063, _T_14051) @[exu_mul_ctl.scala 137:112] + node _T_14065 = add(_T_14064, _T_14052) @[exu_mul_ctl.scala 137:112] + node _T_14066 = add(_T_14065, _T_14053) @[exu_mul_ctl.scala 137:112] + node _T_14067 = add(_T_14066, _T_14054) @[exu_mul_ctl.scala 137:112] + node _T_14068 = add(_T_14067, _T_14055) @[exu_mul_ctl.scala 137:112] + node _T_14069 = add(_T_14068, _T_14056) @[exu_mul_ctl.scala 137:112] + node _T_14070 = add(_T_14069, _T_14057) @[exu_mul_ctl.scala 137:112] + node _T_14071 = add(_T_14070, _T_14058) @[exu_mul_ctl.scala 137:112] + node _T_14072 = add(_T_14071, _T_14059) @[exu_mul_ctl.scala 137:112] + node _T_14073 = add(_T_14072, _T_14060) @[exu_mul_ctl.scala 137:112] + node _T_14074 = eq(_T_14073, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14075 = bits(_T_14074, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14076 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_14077 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14078 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14079 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14080 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14081 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14082 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14083 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14084 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14085 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14086 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14087 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14088 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14089 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14090 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14091 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14092 = add(_T_14077, _T_14078) @[exu_mul_ctl.scala 137:112] + node _T_14093 = add(_T_14092, _T_14079) @[exu_mul_ctl.scala 137:112] + node _T_14094 = add(_T_14093, _T_14080) @[exu_mul_ctl.scala 137:112] + node _T_14095 = add(_T_14094, _T_14081) @[exu_mul_ctl.scala 137:112] + node _T_14096 = add(_T_14095, _T_14082) @[exu_mul_ctl.scala 137:112] + node _T_14097 = add(_T_14096, _T_14083) @[exu_mul_ctl.scala 137:112] + node _T_14098 = add(_T_14097, _T_14084) @[exu_mul_ctl.scala 137:112] + node _T_14099 = add(_T_14098, _T_14085) @[exu_mul_ctl.scala 137:112] + node _T_14100 = add(_T_14099, _T_14086) @[exu_mul_ctl.scala 137:112] + node _T_14101 = add(_T_14100, _T_14087) @[exu_mul_ctl.scala 137:112] + node _T_14102 = add(_T_14101, _T_14088) @[exu_mul_ctl.scala 137:112] + node _T_14103 = add(_T_14102, _T_14089) @[exu_mul_ctl.scala 137:112] + node _T_14104 = add(_T_14103, _T_14090) @[exu_mul_ctl.scala 137:112] + node _T_14105 = add(_T_14104, _T_14091) @[exu_mul_ctl.scala 137:112] + node _T_14106 = eq(_T_14105, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14107 = bits(_T_14106, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14108 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_14109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14116 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14117 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14118 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14119 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14120 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14121 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14122 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14123 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14124 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14125 = add(_T_14109, _T_14110) @[exu_mul_ctl.scala 137:112] + node _T_14126 = add(_T_14125, _T_14111) @[exu_mul_ctl.scala 137:112] + node _T_14127 = add(_T_14126, _T_14112) @[exu_mul_ctl.scala 137:112] + node _T_14128 = add(_T_14127, _T_14113) @[exu_mul_ctl.scala 137:112] + node _T_14129 = add(_T_14128, _T_14114) @[exu_mul_ctl.scala 137:112] + node _T_14130 = add(_T_14129, _T_14115) @[exu_mul_ctl.scala 137:112] + node _T_14131 = add(_T_14130, _T_14116) @[exu_mul_ctl.scala 137:112] + node _T_14132 = add(_T_14131, _T_14117) @[exu_mul_ctl.scala 137:112] + node _T_14133 = add(_T_14132, _T_14118) @[exu_mul_ctl.scala 137:112] + node _T_14134 = add(_T_14133, _T_14119) @[exu_mul_ctl.scala 137:112] + node _T_14135 = add(_T_14134, _T_14120) @[exu_mul_ctl.scala 137:112] + node _T_14136 = add(_T_14135, _T_14121) @[exu_mul_ctl.scala 137:112] + node _T_14137 = add(_T_14136, _T_14122) @[exu_mul_ctl.scala 137:112] + node _T_14138 = add(_T_14137, _T_14123) @[exu_mul_ctl.scala 137:112] + node _T_14139 = add(_T_14138, _T_14124) @[exu_mul_ctl.scala 137:112] + node _T_14140 = eq(_T_14139, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14141 = bits(_T_14140, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14142 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_14143 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14144 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14145 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14146 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14147 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14148 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14149 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14150 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14151 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14152 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14153 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14154 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14155 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14156 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14157 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14158 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14159 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14160 = add(_T_14143, _T_14144) @[exu_mul_ctl.scala 137:112] + node _T_14161 = add(_T_14160, _T_14145) @[exu_mul_ctl.scala 137:112] + node _T_14162 = add(_T_14161, _T_14146) @[exu_mul_ctl.scala 137:112] + node _T_14163 = add(_T_14162, _T_14147) @[exu_mul_ctl.scala 137:112] + node _T_14164 = add(_T_14163, _T_14148) @[exu_mul_ctl.scala 137:112] + node _T_14165 = add(_T_14164, _T_14149) @[exu_mul_ctl.scala 137:112] + node _T_14166 = add(_T_14165, _T_14150) @[exu_mul_ctl.scala 137:112] + node _T_14167 = add(_T_14166, _T_14151) @[exu_mul_ctl.scala 137:112] + node _T_14168 = add(_T_14167, _T_14152) @[exu_mul_ctl.scala 137:112] + node _T_14169 = add(_T_14168, _T_14153) @[exu_mul_ctl.scala 137:112] + node _T_14170 = add(_T_14169, _T_14154) @[exu_mul_ctl.scala 137:112] + node _T_14171 = add(_T_14170, _T_14155) @[exu_mul_ctl.scala 137:112] + node _T_14172 = add(_T_14171, _T_14156) @[exu_mul_ctl.scala 137:112] + node _T_14173 = add(_T_14172, _T_14157) @[exu_mul_ctl.scala 137:112] + node _T_14174 = add(_T_14173, _T_14158) @[exu_mul_ctl.scala 137:112] + node _T_14175 = add(_T_14174, _T_14159) @[exu_mul_ctl.scala 137:112] + node _T_14176 = eq(_T_14175, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14177 = bits(_T_14176, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14178 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_14179 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14180 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14181 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14182 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14183 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14184 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14185 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14186 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14187 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14188 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14189 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14190 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14191 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14192 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14193 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14194 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14195 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14196 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14197 = add(_T_14179, _T_14180) @[exu_mul_ctl.scala 137:112] + node _T_14198 = add(_T_14197, _T_14181) @[exu_mul_ctl.scala 137:112] + node _T_14199 = add(_T_14198, _T_14182) @[exu_mul_ctl.scala 137:112] + node _T_14200 = add(_T_14199, _T_14183) @[exu_mul_ctl.scala 137:112] + node _T_14201 = add(_T_14200, _T_14184) @[exu_mul_ctl.scala 137:112] + node _T_14202 = add(_T_14201, _T_14185) @[exu_mul_ctl.scala 137:112] + node _T_14203 = add(_T_14202, _T_14186) @[exu_mul_ctl.scala 137:112] + node _T_14204 = add(_T_14203, _T_14187) @[exu_mul_ctl.scala 137:112] + node _T_14205 = add(_T_14204, _T_14188) @[exu_mul_ctl.scala 137:112] + node _T_14206 = add(_T_14205, _T_14189) @[exu_mul_ctl.scala 137:112] + node _T_14207 = add(_T_14206, _T_14190) @[exu_mul_ctl.scala 137:112] + node _T_14208 = add(_T_14207, _T_14191) @[exu_mul_ctl.scala 137:112] + node _T_14209 = add(_T_14208, _T_14192) @[exu_mul_ctl.scala 137:112] + node _T_14210 = add(_T_14209, _T_14193) @[exu_mul_ctl.scala 137:112] + node _T_14211 = add(_T_14210, _T_14194) @[exu_mul_ctl.scala 137:112] + node _T_14212 = add(_T_14211, _T_14195) @[exu_mul_ctl.scala 137:112] + node _T_14213 = add(_T_14212, _T_14196) @[exu_mul_ctl.scala 137:112] + node _T_14214 = eq(_T_14213, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14215 = bits(_T_14214, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14216 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_14217 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14218 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14219 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14220 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14221 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14222 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14223 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14224 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14225 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14226 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14227 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14228 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14229 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14230 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14231 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14232 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14233 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14234 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14235 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14236 = add(_T_14217, _T_14218) @[exu_mul_ctl.scala 137:112] + node _T_14237 = add(_T_14236, _T_14219) @[exu_mul_ctl.scala 137:112] + node _T_14238 = add(_T_14237, _T_14220) @[exu_mul_ctl.scala 137:112] + node _T_14239 = add(_T_14238, _T_14221) @[exu_mul_ctl.scala 137:112] + node _T_14240 = add(_T_14239, _T_14222) @[exu_mul_ctl.scala 137:112] + node _T_14241 = add(_T_14240, _T_14223) @[exu_mul_ctl.scala 137:112] + node _T_14242 = add(_T_14241, _T_14224) @[exu_mul_ctl.scala 137:112] + node _T_14243 = add(_T_14242, _T_14225) @[exu_mul_ctl.scala 137:112] + node _T_14244 = add(_T_14243, _T_14226) @[exu_mul_ctl.scala 137:112] + node _T_14245 = add(_T_14244, _T_14227) @[exu_mul_ctl.scala 137:112] + node _T_14246 = add(_T_14245, _T_14228) @[exu_mul_ctl.scala 137:112] + node _T_14247 = add(_T_14246, _T_14229) @[exu_mul_ctl.scala 137:112] + node _T_14248 = add(_T_14247, _T_14230) @[exu_mul_ctl.scala 137:112] + node _T_14249 = add(_T_14248, _T_14231) @[exu_mul_ctl.scala 137:112] + node _T_14250 = add(_T_14249, _T_14232) @[exu_mul_ctl.scala 137:112] + node _T_14251 = add(_T_14250, _T_14233) @[exu_mul_ctl.scala 137:112] + node _T_14252 = add(_T_14251, _T_14234) @[exu_mul_ctl.scala 137:112] + node _T_14253 = add(_T_14252, _T_14235) @[exu_mul_ctl.scala 137:112] + node _T_14254 = eq(_T_14253, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14255 = bits(_T_14254, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14256 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_14257 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14258 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14259 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14260 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14261 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14262 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14263 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14264 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14265 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14266 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14267 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14268 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14269 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14270 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14271 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14272 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14273 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14274 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14275 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14276 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14277 = add(_T_14257, _T_14258) @[exu_mul_ctl.scala 137:112] + node _T_14278 = add(_T_14277, _T_14259) @[exu_mul_ctl.scala 137:112] + node _T_14279 = add(_T_14278, _T_14260) @[exu_mul_ctl.scala 137:112] + node _T_14280 = add(_T_14279, _T_14261) @[exu_mul_ctl.scala 137:112] + node _T_14281 = add(_T_14280, _T_14262) @[exu_mul_ctl.scala 137:112] + node _T_14282 = add(_T_14281, _T_14263) @[exu_mul_ctl.scala 137:112] + node _T_14283 = add(_T_14282, _T_14264) @[exu_mul_ctl.scala 137:112] + node _T_14284 = add(_T_14283, _T_14265) @[exu_mul_ctl.scala 137:112] + node _T_14285 = add(_T_14284, _T_14266) @[exu_mul_ctl.scala 137:112] + node _T_14286 = add(_T_14285, _T_14267) @[exu_mul_ctl.scala 137:112] + node _T_14287 = add(_T_14286, _T_14268) @[exu_mul_ctl.scala 137:112] + node _T_14288 = add(_T_14287, _T_14269) @[exu_mul_ctl.scala 137:112] + node _T_14289 = add(_T_14288, _T_14270) @[exu_mul_ctl.scala 137:112] + node _T_14290 = add(_T_14289, _T_14271) @[exu_mul_ctl.scala 137:112] + node _T_14291 = add(_T_14290, _T_14272) @[exu_mul_ctl.scala 137:112] + node _T_14292 = add(_T_14291, _T_14273) @[exu_mul_ctl.scala 137:112] + node _T_14293 = add(_T_14292, _T_14274) @[exu_mul_ctl.scala 137:112] + node _T_14294 = add(_T_14293, _T_14275) @[exu_mul_ctl.scala 137:112] + node _T_14295 = add(_T_14294, _T_14276) @[exu_mul_ctl.scala 137:112] + node _T_14296 = eq(_T_14295, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14297 = bits(_T_14296, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14298 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_14299 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14300 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14301 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14302 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14303 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14304 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14305 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14306 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14307 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14308 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14309 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14310 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14311 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14312 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14313 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14314 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14315 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14316 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14317 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14318 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14319 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14320 = add(_T_14299, _T_14300) @[exu_mul_ctl.scala 137:112] + node _T_14321 = add(_T_14320, _T_14301) @[exu_mul_ctl.scala 137:112] + node _T_14322 = add(_T_14321, _T_14302) @[exu_mul_ctl.scala 137:112] + node _T_14323 = add(_T_14322, _T_14303) @[exu_mul_ctl.scala 137:112] + node _T_14324 = add(_T_14323, _T_14304) @[exu_mul_ctl.scala 137:112] + node _T_14325 = add(_T_14324, _T_14305) @[exu_mul_ctl.scala 137:112] + node _T_14326 = add(_T_14325, _T_14306) @[exu_mul_ctl.scala 137:112] + node _T_14327 = add(_T_14326, _T_14307) @[exu_mul_ctl.scala 137:112] + node _T_14328 = add(_T_14327, _T_14308) @[exu_mul_ctl.scala 137:112] + node _T_14329 = add(_T_14328, _T_14309) @[exu_mul_ctl.scala 137:112] + node _T_14330 = add(_T_14329, _T_14310) @[exu_mul_ctl.scala 137:112] + node _T_14331 = add(_T_14330, _T_14311) @[exu_mul_ctl.scala 137:112] + node _T_14332 = add(_T_14331, _T_14312) @[exu_mul_ctl.scala 137:112] + node _T_14333 = add(_T_14332, _T_14313) @[exu_mul_ctl.scala 137:112] + node _T_14334 = add(_T_14333, _T_14314) @[exu_mul_ctl.scala 137:112] + node _T_14335 = add(_T_14334, _T_14315) @[exu_mul_ctl.scala 137:112] + node _T_14336 = add(_T_14335, _T_14316) @[exu_mul_ctl.scala 137:112] + node _T_14337 = add(_T_14336, _T_14317) @[exu_mul_ctl.scala 137:112] + node _T_14338 = add(_T_14337, _T_14318) @[exu_mul_ctl.scala 137:112] + node _T_14339 = add(_T_14338, _T_14319) @[exu_mul_ctl.scala 137:112] + node _T_14340 = eq(_T_14339, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14341 = bits(_T_14340, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14342 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_14343 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14344 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14345 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14346 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14347 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14348 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14349 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14350 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14351 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14352 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14353 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14354 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14355 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14356 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14357 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14358 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14359 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14360 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14361 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14362 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14363 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14364 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14365 = add(_T_14343, _T_14344) @[exu_mul_ctl.scala 137:112] + node _T_14366 = add(_T_14365, _T_14345) @[exu_mul_ctl.scala 137:112] + node _T_14367 = add(_T_14366, _T_14346) @[exu_mul_ctl.scala 137:112] + node _T_14368 = add(_T_14367, _T_14347) @[exu_mul_ctl.scala 137:112] + node _T_14369 = add(_T_14368, _T_14348) @[exu_mul_ctl.scala 137:112] + node _T_14370 = add(_T_14369, _T_14349) @[exu_mul_ctl.scala 137:112] + node _T_14371 = add(_T_14370, _T_14350) @[exu_mul_ctl.scala 137:112] + node _T_14372 = add(_T_14371, _T_14351) @[exu_mul_ctl.scala 137:112] + node _T_14373 = add(_T_14372, _T_14352) @[exu_mul_ctl.scala 137:112] + node _T_14374 = add(_T_14373, _T_14353) @[exu_mul_ctl.scala 137:112] + node _T_14375 = add(_T_14374, _T_14354) @[exu_mul_ctl.scala 137:112] + node _T_14376 = add(_T_14375, _T_14355) @[exu_mul_ctl.scala 137:112] + node _T_14377 = add(_T_14376, _T_14356) @[exu_mul_ctl.scala 137:112] + node _T_14378 = add(_T_14377, _T_14357) @[exu_mul_ctl.scala 137:112] + node _T_14379 = add(_T_14378, _T_14358) @[exu_mul_ctl.scala 137:112] + node _T_14380 = add(_T_14379, _T_14359) @[exu_mul_ctl.scala 137:112] + node _T_14381 = add(_T_14380, _T_14360) @[exu_mul_ctl.scala 137:112] + node _T_14382 = add(_T_14381, _T_14361) @[exu_mul_ctl.scala 137:112] + node _T_14383 = add(_T_14382, _T_14362) @[exu_mul_ctl.scala 137:112] + node _T_14384 = add(_T_14383, _T_14363) @[exu_mul_ctl.scala 137:112] + node _T_14385 = add(_T_14384, _T_14364) @[exu_mul_ctl.scala 137:112] + node _T_14386 = eq(_T_14385, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14387 = bits(_T_14386, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14388 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_14389 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14390 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14391 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14392 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14393 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14394 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14395 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14396 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14397 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14398 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14399 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14400 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14401 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14402 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14403 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14404 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14405 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14406 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14407 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14408 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14409 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14410 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14411 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14412 = add(_T_14389, _T_14390) @[exu_mul_ctl.scala 137:112] + node _T_14413 = add(_T_14412, _T_14391) @[exu_mul_ctl.scala 137:112] + node _T_14414 = add(_T_14413, _T_14392) @[exu_mul_ctl.scala 137:112] + node _T_14415 = add(_T_14414, _T_14393) @[exu_mul_ctl.scala 137:112] + node _T_14416 = add(_T_14415, _T_14394) @[exu_mul_ctl.scala 137:112] + node _T_14417 = add(_T_14416, _T_14395) @[exu_mul_ctl.scala 137:112] + node _T_14418 = add(_T_14417, _T_14396) @[exu_mul_ctl.scala 137:112] + node _T_14419 = add(_T_14418, _T_14397) @[exu_mul_ctl.scala 137:112] + node _T_14420 = add(_T_14419, _T_14398) @[exu_mul_ctl.scala 137:112] + node _T_14421 = add(_T_14420, _T_14399) @[exu_mul_ctl.scala 137:112] + node _T_14422 = add(_T_14421, _T_14400) @[exu_mul_ctl.scala 137:112] + node _T_14423 = add(_T_14422, _T_14401) @[exu_mul_ctl.scala 137:112] + node _T_14424 = add(_T_14423, _T_14402) @[exu_mul_ctl.scala 137:112] + node _T_14425 = add(_T_14424, _T_14403) @[exu_mul_ctl.scala 137:112] + node _T_14426 = add(_T_14425, _T_14404) @[exu_mul_ctl.scala 137:112] + node _T_14427 = add(_T_14426, _T_14405) @[exu_mul_ctl.scala 137:112] + node _T_14428 = add(_T_14427, _T_14406) @[exu_mul_ctl.scala 137:112] + node _T_14429 = add(_T_14428, _T_14407) @[exu_mul_ctl.scala 137:112] + node _T_14430 = add(_T_14429, _T_14408) @[exu_mul_ctl.scala 137:112] + node _T_14431 = add(_T_14430, _T_14409) @[exu_mul_ctl.scala 137:112] + node _T_14432 = add(_T_14431, _T_14410) @[exu_mul_ctl.scala 137:112] + node _T_14433 = add(_T_14432, _T_14411) @[exu_mul_ctl.scala 137:112] + node _T_14434 = eq(_T_14433, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14435 = bits(_T_14434, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14436 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_14437 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14438 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14439 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14440 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14441 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14442 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14443 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14444 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14445 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14446 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14447 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14448 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14449 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14450 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14451 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14452 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14453 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14454 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14455 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14456 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14457 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14458 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14459 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14460 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14461 = add(_T_14437, _T_14438) @[exu_mul_ctl.scala 137:112] + node _T_14462 = add(_T_14461, _T_14439) @[exu_mul_ctl.scala 137:112] + node _T_14463 = add(_T_14462, _T_14440) @[exu_mul_ctl.scala 137:112] + node _T_14464 = add(_T_14463, _T_14441) @[exu_mul_ctl.scala 137:112] + node _T_14465 = add(_T_14464, _T_14442) @[exu_mul_ctl.scala 137:112] + node _T_14466 = add(_T_14465, _T_14443) @[exu_mul_ctl.scala 137:112] + node _T_14467 = add(_T_14466, _T_14444) @[exu_mul_ctl.scala 137:112] + node _T_14468 = add(_T_14467, _T_14445) @[exu_mul_ctl.scala 137:112] + node _T_14469 = add(_T_14468, _T_14446) @[exu_mul_ctl.scala 137:112] + node _T_14470 = add(_T_14469, _T_14447) @[exu_mul_ctl.scala 137:112] + node _T_14471 = add(_T_14470, _T_14448) @[exu_mul_ctl.scala 137:112] + node _T_14472 = add(_T_14471, _T_14449) @[exu_mul_ctl.scala 137:112] + node _T_14473 = add(_T_14472, _T_14450) @[exu_mul_ctl.scala 137:112] + node _T_14474 = add(_T_14473, _T_14451) @[exu_mul_ctl.scala 137:112] + node _T_14475 = add(_T_14474, _T_14452) @[exu_mul_ctl.scala 137:112] + node _T_14476 = add(_T_14475, _T_14453) @[exu_mul_ctl.scala 137:112] + node _T_14477 = add(_T_14476, _T_14454) @[exu_mul_ctl.scala 137:112] + node _T_14478 = add(_T_14477, _T_14455) @[exu_mul_ctl.scala 137:112] + node _T_14479 = add(_T_14478, _T_14456) @[exu_mul_ctl.scala 137:112] + node _T_14480 = add(_T_14479, _T_14457) @[exu_mul_ctl.scala 137:112] + node _T_14481 = add(_T_14480, _T_14458) @[exu_mul_ctl.scala 137:112] + node _T_14482 = add(_T_14481, _T_14459) @[exu_mul_ctl.scala 137:112] + node _T_14483 = add(_T_14482, _T_14460) @[exu_mul_ctl.scala 137:112] + node _T_14484 = eq(_T_14483, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14485 = bits(_T_14484, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14486 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_14487 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14488 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14489 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14490 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14491 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14492 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14493 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14494 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14495 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14496 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14497 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14498 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14499 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14500 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14501 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14502 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14503 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14504 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14505 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14506 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14507 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14508 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14509 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14510 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14511 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14512 = add(_T_14487, _T_14488) @[exu_mul_ctl.scala 137:112] + node _T_14513 = add(_T_14512, _T_14489) @[exu_mul_ctl.scala 137:112] + node _T_14514 = add(_T_14513, _T_14490) @[exu_mul_ctl.scala 137:112] + node _T_14515 = add(_T_14514, _T_14491) @[exu_mul_ctl.scala 137:112] + node _T_14516 = add(_T_14515, _T_14492) @[exu_mul_ctl.scala 137:112] + node _T_14517 = add(_T_14516, _T_14493) @[exu_mul_ctl.scala 137:112] + node _T_14518 = add(_T_14517, _T_14494) @[exu_mul_ctl.scala 137:112] + node _T_14519 = add(_T_14518, _T_14495) @[exu_mul_ctl.scala 137:112] + node _T_14520 = add(_T_14519, _T_14496) @[exu_mul_ctl.scala 137:112] + node _T_14521 = add(_T_14520, _T_14497) @[exu_mul_ctl.scala 137:112] + node _T_14522 = add(_T_14521, _T_14498) @[exu_mul_ctl.scala 137:112] + node _T_14523 = add(_T_14522, _T_14499) @[exu_mul_ctl.scala 137:112] + node _T_14524 = add(_T_14523, _T_14500) @[exu_mul_ctl.scala 137:112] + node _T_14525 = add(_T_14524, _T_14501) @[exu_mul_ctl.scala 137:112] + node _T_14526 = add(_T_14525, _T_14502) @[exu_mul_ctl.scala 137:112] + node _T_14527 = add(_T_14526, _T_14503) @[exu_mul_ctl.scala 137:112] + node _T_14528 = add(_T_14527, _T_14504) @[exu_mul_ctl.scala 137:112] + node _T_14529 = add(_T_14528, _T_14505) @[exu_mul_ctl.scala 137:112] + node _T_14530 = add(_T_14529, _T_14506) @[exu_mul_ctl.scala 137:112] + node _T_14531 = add(_T_14530, _T_14507) @[exu_mul_ctl.scala 137:112] + node _T_14532 = add(_T_14531, _T_14508) @[exu_mul_ctl.scala 137:112] + node _T_14533 = add(_T_14532, _T_14509) @[exu_mul_ctl.scala 137:112] + node _T_14534 = add(_T_14533, _T_14510) @[exu_mul_ctl.scala 137:112] + node _T_14535 = add(_T_14534, _T_14511) @[exu_mul_ctl.scala 137:112] + node _T_14536 = eq(_T_14535, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14537 = bits(_T_14536, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14538 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_14539 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14540 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14541 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14542 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14543 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14544 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14545 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14546 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14547 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14548 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14549 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14550 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14551 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14552 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14553 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14554 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14555 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14556 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14557 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14558 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14559 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14560 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14561 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14562 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14563 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14564 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14565 = add(_T_14539, _T_14540) @[exu_mul_ctl.scala 137:112] + node _T_14566 = add(_T_14565, _T_14541) @[exu_mul_ctl.scala 137:112] + node _T_14567 = add(_T_14566, _T_14542) @[exu_mul_ctl.scala 137:112] + node _T_14568 = add(_T_14567, _T_14543) @[exu_mul_ctl.scala 137:112] + node _T_14569 = add(_T_14568, _T_14544) @[exu_mul_ctl.scala 137:112] + node _T_14570 = add(_T_14569, _T_14545) @[exu_mul_ctl.scala 137:112] + node _T_14571 = add(_T_14570, _T_14546) @[exu_mul_ctl.scala 137:112] + node _T_14572 = add(_T_14571, _T_14547) @[exu_mul_ctl.scala 137:112] + node _T_14573 = add(_T_14572, _T_14548) @[exu_mul_ctl.scala 137:112] + node _T_14574 = add(_T_14573, _T_14549) @[exu_mul_ctl.scala 137:112] + node _T_14575 = add(_T_14574, _T_14550) @[exu_mul_ctl.scala 137:112] + node _T_14576 = add(_T_14575, _T_14551) @[exu_mul_ctl.scala 137:112] + node _T_14577 = add(_T_14576, _T_14552) @[exu_mul_ctl.scala 137:112] + node _T_14578 = add(_T_14577, _T_14553) @[exu_mul_ctl.scala 137:112] + node _T_14579 = add(_T_14578, _T_14554) @[exu_mul_ctl.scala 137:112] + node _T_14580 = add(_T_14579, _T_14555) @[exu_mul_ctl.scala 137:112] + node _T_14581 = add(_T_14580, _T_14556) @[exu_mul_ctl.scala 137:112] + node _T_14582 = add(_T_14581, _T_14557) @[exu_mul_ctl.scala 137:112] + node _T_14583 = add(_T_14582, _T_14558) @[exu_mul_ctl.scala 137:112] + node _T_14584 = add(_T_14583, _T_14559) @[exu_mul_ctl.scala 137:112] + node _T_14585 = add(_T_14584, _T_14560) @[exu_mul_ctl.scala 137:112] + node _T_14586 = add(_T_14585, _T_14561) @[exu_mul_ctl.scala 137:112] + node _T_14587 = add(_T_14586, _T_14562) @[exu_mul_ctl.scala 137:112] + node _T_14588 = add(_T_14587, _T_14563) @[exu_mul_ctl.scala 137:112] + node _T_14589 = add(_T_14588, _T_14564) @[exu_mul_ctl.scala 137:112] + node _T_14590 = eq(_T_14589, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14591 = bits(_T_14590, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14592 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_14593 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14594 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14595 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14596 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14597 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14598 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14599 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14600 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14601 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14602 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14603 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14604 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14605 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14606 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14607 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14608 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14609 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14610 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14611 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14612 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14613 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14614 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14615 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14616 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14617 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14618 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14619 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14620 = add(_T_14593, _T_14594) @[exu_mul_ctl.scala 137:112] + node _T_14621 = add(_T_14620, _T_14595) @[exu_mul_ctl.scala 137:112] + node _T_14622 = add(_T_14621, _T_14596) @[exu_mul_ctl.scala 137:112] + node _T_14623 = add(_T_14622, _T_14597) @[exu_mul_ctl.scala 137:112] + node _T_14624 = add(_T_14623, _T_14598) @[exu_mul_ctl.scala 137:112] + node _T_14625 = add(_T_14624, _T_14599) @[exu_mul_ctl.scala 137:112] + node _T_14626 = add(_T_14625, _T_14600) @[exu_mul_ctl.scala 137:112] + node _T_14627 = add(_T_14626, _T_14601) @[exu_mul_ctl.scala 137:112] + node _T_14628 = add(_T_14627, _T_14602) @[exu_mul_ctl.scala 137:112] + node _T_14629 = add(_T_14628, _T_14603) @[exu_mul_ctl.scala 137:112] + node _T_14630 = add(_T_14629, _T_14604) @[exu_mul_ctl.scala 137:112] + node _T_14631 = add(_T_14630, _T_14605) @[exu_mul_ctl.scala 137:112] + node _T_14632 = add(_T_14631, _T_14606) @[exu_mul_ctl.scala 137:112] + node _T_14633 = add(_T_14632, _T_14607) @[exu_mul_ctl.scala 137:112] + node _T_14634 = add(_T_14633, _T_14608) @[exu_mul_ctl.scala 137:112] + node _T_14635 = add(_T_14634, _T_14609) @[exu_mul_ctl.scala 137:112] + node _T_14636 = add(_T_14635, _T_14610) @[exu_mul_ctl.scala 137:112] + node _T_14637 = add(_T_14636, _T_14611) @[exu_mul_ctl.scala 137:112] + node _T_14638 = add(_T_14637, _T_14612) @[exu_mul_ctl.scala 137:112] + node _T_14639 = add(_T_14638, _T_14613) @[exu_mul_ctl.scala 137:112] + node _T_14640 = add(_T_14639, _T_14614) @[exu_mul_ctl.scala 137:112] + node _T_14641 = add(_T_14640, _T_14615) @[exu_mul_ctl.scala 137:112] + node _T_14642 = add(_T_14641, _T_14616) @[exu_mul_ctl.scala 137:112] + node _T_14643 = add(_T_14642, _T_14617) @[exu_mul_ctl.scala 137:112] + node _T_14644 = add(_T_14643, _T_14618) @[exu_mul_ctl.scala 137:112] + node _T_14645 = add(_T_14644, _T_14619) @[exu_mul_ctl.scala 137:112] + node _T_14646 = eq(_T_14645, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14647 = bits(_T_14646, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14648 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_14649 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14650 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14651 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14652 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14653 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14654 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14655 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14656 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14657 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14658 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14659 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14660 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14661 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14662 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14663 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14664 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14665 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14666 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14667 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14668 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14669 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14670 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14671 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14672 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14673 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14674 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14675 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14676 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_14677 = add(_T_14649, _T_14650) @[exu_mul_ctl.scala 137:112] + node _T_14678 = add(_T_14677, _T_14651) @[exu_mul_ctl.scala 137:112] + node _T_14679 = add(_T_14678, _T_14652) @[exu_mul_ctl.scala 137:112] + node _T_14680 = add(_T_14679, _T_14653) @[exu_mul_ctl.scala 137:112] + node _T_14681 = add(_T_14680, _T_14654) @[exu_mul_ctl.scala 137:112] + node _T_14682 = add(_T_14681, _T_14655) @[exu_mul_ctl.scala 137:112] + node _T_14683 = add(_T_14682, _T_14656) @[exu_mul_ctl.scala 137:112] + node _T_14684 = add(_T_14683, _T_14657) @[exu_mul_ctl.scala 137:112] + node _T_14685 = add(_T_14684, _T_14658) @[exu_mul_ctl.scala 137:112] + node _T_14686 = add(_T_14685, _T_14659) @[exu_mul_ctl.scala 137:112] + node _T_14687 = add(_T_14686, _T_14660) @[exu_mul_ctl.scala 137:112] + node _T_14688 = add(_T_14687, _T_14661) @[exu_mul_ctl.scala 137:112] + node _T_14689 = add(_T_14688, _T_14662) @[exu_mul_ctl.scala 137:112] + node _T_14690 = add(_T_14689, _T_14663) @[exu_mul_ctl.scala 137:112] + node _T_14691 = add(_T_14690, _T_14664) @[exu_mul_ctl.scala 137:112] + node _T_14692 = add(_T_14691, _T_14665) @[exu_mul_ctl.scala 137:112] + node _T_14693 = add(_T_14692, _T_14666) @[exu_mul_ctl.scala 137:112] + node _T_14694 = add(_T_14693, _T_14667) @[exu_mul_ctl.scala 137:112] + node _T_14695 = add(_T_14694, _T_14668) @[exu_mul_ctl.scala 137:112] + node _T_14696 = add(_T_14695, _T_14669) @[exu_mul_ctl.scala 137:112] + node _T_14697 = add(_T_14696, _T_14670) @[exu_mul_ctl.scala 137:112] + node _T_14698 = add(_T_14697, _T_14671) @[exu_mul_ctl.scala 137:112] + node _T_14699 = add(_T_14698, _T_14672) @[exu_mul_ctl.scala 137:112] + node _T_14700 = add(_T_14699, _T_14673) @[exu_mul_ctl.scala 137:112] + node _T_14701 = add(_T_14700, _T_14674) @[exu_mul_ctl.scala 137:112] + node _T_14702 = add(_T_14701, _T_14675) @[exu_mul_ctl.scala 137:112] + node _T_14703 = add(_T_14702, _T_14676) @[exu_mul_ctl.scala 137:112] + node _T_14704 = eq(_T_14703, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14705 = bits(_T_14704, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14706 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_14707 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14708 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14709 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14710 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14711 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14712 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14713 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14714 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14715 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14716 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14717 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14718 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14719 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14720 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14721 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14722 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14723 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14724 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14725 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14726 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14727 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14728 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14729 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14730 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14731 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14732 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14733 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14734 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_14735 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_14736 = add(_T_14707, _T_14708) @[exu_mul_ctl.scala 137:112] + node _T_14737 = add(_T_14736, _T_14709) @[exu_mul_ctl.scala 137:112] + node _T_14738 = add(_T_14737, _T_14710) @[exu_mul_ctl.scala 137:112] + node _T_14739 = add(_T_14738, _T_14711) @[exu_mul_ctl.scala 137:112] + node _T_14740 = add(_T_14739, _T_14712) @[exu_mul_ctl.scala 137:112] + node _T_14741 = add(_T_14740, _T_14713) @[exu_mul_ctl.scala 137:112] + node _T_14742 = add(_T_14741, _T_14714) @[exu_mul_ctl.scala 137:112] + node _T_14743 = add(_T_14742, _T_14715) @[exu_mul_ctl.scala 137:112] + node _T_14744 = add(_T_14743, _T_14716) @[exu_mul_ctl.scala 137:112] + node _T_14745 = add(_T_14744, _T_14717) @[exu_mul_ctl.scala 137:112] + node _T_14746 = add(_T_14745, _T_14718) @[exu_mul_ctl.scala 137:112] + node _T_14747 = add(_T_14746, _T_14719) @[exu_mul_ctl.scala 137:112] + node _T_14748 = add(_T_14747, _T_14720) @[exu_mul_ctl.scala 137:112] + node _T_14749 = add(_T_14748, _T_14721) @[exu_mul_ctl.scala 137:112] + node _T_14750 = add(_T_14749, _T_14722) @[exu_mul_ctl.scala 137:112] + node _T_14751 = add(_T_14750, _T_14723) @[exu_mul_ctl.scala 137:112] + node _T_14752 = add(_T_14751, _T_14724) @[exu_mul_ctl.scala 137:112] + node _T_14753 = add(_T_14752, _T_14725) @[exu_mul_ctl.scala 137:112] + node _T_14754 = add(_T_14753, _T_14726) @[exu_mul_ctl.scala 137:112] + node _T_14755 = add(_T_14754, _T_14727) @[exu_mul_ctl.scala 137:112] + node _T_14756 = add(_T_14755, _T_14728) @[exu_mul_ctl.scala 137:112] + node _T_14757 = add(_T_14756, _T_14729) @[exu_mul_ctl.scala 137:112] + node _T_14758 = add(_T_14757, _T_14730) @[exu_mul_ctl.scala 137:112] + node _T_14759 = add(_T_14758, _T_14731) @[exu_mul_ctl.scala 137:112] + node _T_14760 = add(_T_14759, _T_14732) @[exu_mul_ctl.scala 137:112] + node _T_14761 = add(_T_14760, _T_14733) @[exu_mul_ctl.scala 137:112] + node _T_14762 = add(_T_14761, _T_14734) @[exu_mul_ctl.scala 137:112] + node _T_14763 = add(_T_14762, _T_14735) @[exu_mul_ctl.scala 137:112] + node _T_14764 = eq(_T_14763, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14765 = bits(_T_14764, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14766 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_14767 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14768 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14769 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14770 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14771 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14772 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14773 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14774 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14775 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14776 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14777 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14778 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14779 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14780 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14781 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14782 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14783 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14784 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14785 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14786 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14787 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14788 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14789 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14790 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14791 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14792 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14793 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14794 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_14795 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_14796 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_14797 = add(_T_14767, _T_14768) @[exu_mul_ctl.scala 137:112] + node _T_14798 = add(_T_14797, _T_14769) @[exu_mul_ctl.scala 137:112] + node _T_14799 = add(_T_14798, _T_14770) @[exu_mul_ctl.scala 137:112] + node _T_14800 = add(_T_14799, _T_14771) @[exu_mul_ctl.scala 137:112] + node _T_14801 = add(_T_14800, _T_14772) @[exu_mul_ctl.scala 137:112] + node _T_14802 = add(_T_14801, _T_14773) @[exu_mul_ctl.scala 137:112] + node _T_14803 = add(_T_14802, _T_14774) @[exu_mul_ctl.scala 137:112] + node _T_14804 = add(_T_14803, _T_14775) @[exu_mul_ctl.scala 137:112] + node _T_14805 = add(_T_14804, _T_14776) @[exu_mul_ctl.scala 137:112] + node _T_14806 = add(_T_14805, _T_14777) @[exu_mul_ctl.scala 137:112] + node _T_14807 = add(_T_14806, _T_14778) @[exu_mul_ctl.scala 137:112] + node _T_14808 = add(_T_14807, _T_14779) @[exu_mul_ctl.scala 137:112] + node _T_14809 = add(_T_14808, _T_14780) @[exu_mul_ctl.scala 137:112] + node _T_14810 = add(_T_14809, _T_14781) @[exu_mul_ctl.scala 137:112] + node _T_14811 = add(_T_14810, _T_14782) @[exu_mul_ctl.scala 137:112] + node _T_14812 = add(_T_14811, _T_14783) @[exu_mul_ctl.scala 137:112] + node _T_14813 = add(_T_14812, _T_14784) @[exu_mul_ctl.scala 137:112] + node _T_14814 = add(_T_14813, _T_14785) @[exu_mul_ctl.scala 137:112] + node _T_14815 = add(_T_14814, _T_14786) @[exu_mul_ctl.scala 137:112] + node _T_14816 = add(_T_14815, _T_14787) @[exu_mul_ctl.scala 137:112] + node _T_14817 = add(_T_14816, _T_14788) @[exu_mul_ctl.scala 137:112] + node _T_14818 = add(_T_14817, _T_14789) @[exu_mul_ctl.scala 137:112] + node _T_14819 = add(_T_14818, _T_14790) @[exu_mul_ctl.scala 137:112] + node _T_14820 = add(_T_14819, _T_14791) @[exu_mul_ctl.scala 137:112] + node _T_14821 = add(_T_14820, _T_14792) @[exu_mul_ctl.scala 137:112] + node _T_14822 = add(_T_14821, _T_14793) @[exu_mul_ctl.scala 137:112] + node _T_14823 = add(_T_14822, _T_14794) @[exu_mul_ctl.scala 137:112] + node _T_14824 = add(_T_14823, _T_14795) @[exu_mul_ctl.scala 137:112] + node _T_14825 = add(_T_14824, _T_14796) @[exu_mul_ctl.scala 137:112] + node _T_14826 = eq(_T_14825, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14827 = bits(_T_14826, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14828 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_14829 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14830 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14831 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14832 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14833 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14834 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14835 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14836 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14837 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14838 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14839 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14840 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14841 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14842 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14843 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14844 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14845 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14846 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14847 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14848 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14849 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14850 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14851 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14852 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14853 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14854 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14855 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14856 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_14857 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_14858 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_14859 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_14860 = add(_T_14829, _T_14830) @[exu_mul_ctl.scala 137:112] + node _T_14861 = add(_T_14860, _T_14831) @[exu_mul_ctl.scala 137:112] + node _T_14862 = add(_T_14861, _T_14832) @[exu_mul_ctl.scala 137:112] + node _T_14863 = add(_T_14862, _T_14833) @[exu_mul_ctl.scala 137:112] + node _T_14864 = add(_T_14863, _T_14834) @[exu_mul_ctl.scala 137:112] + node _T_14865 = add(_T_14864, _T_14835) @[exu_mul_ctl.scala 137:112] + node _T_14866 = add(_T_14865, _T_14836) @[exu_mul_ctl.scala 137:112] + node _T_14867 = add(_T_14866, _T_14837) @[exu_mul_ctl.scala 137:112] + node _T_14868 = add(_T_14867, _T_14838) @[exu_mul_ctl.scala 137:112] + node _T_14869 = add(_T_14868, _T_14839) @[exu_mul_ctl.scala 137:112] + node _T_14870 = add(_T_14869, _T_14840) @[exu_mul_ctl.scala 137:112] + node _T_14871 = add(_T_14870, _T_14841) @[exu_mul_ctl.scala 137:112] + node _T_14872 = add(_T_14871, _T_14842) @[exu_mul_ctl.scala 137:112] + node _T_14873 = add(_T_14872, _T_14843) @[exu_mul_ctl.scala 137:112] + node _T_14874 = add(_T_14873, _T_14844) @[exu_mul_ctl.scala 137:112] + node _T_14875 = add(_T_14874, _T_14845) @[exu_mul_ctl.scala 137:112] + node _T_14876 = add(_T_14875, _T_14846) @[exu_mul_ctl.scala 137:112] + node _T_14877 = add(_T_14876, _T_14847) @[exu_mul_ctl.scala 137:112] + node _T_14878 = add(_T_14877, _T_14848) @[exu_mul_ctl.scala 137:112] + node _T_14879 = add(_T_14878, _T_14849) @[exu_mul_ctl.scala 137:112] + node _T_14880 = add(_T_14879, _T_14850) @[exu_mul_ctl.scala 137:112] + node _T_14881 = add(_T_14880, _T_14851) @[exu_mul_ctl.scala 137:112] + node _T_14882 = add(_T_14881, _T_14852) @[exu_mul_ctl.scala 137:112] + node _T_14883 = add(_T_14882, _T_14853) @[exu_mul_ctl.scala 137:112] + node _T_14884 = add(_T_14883, _T_14854) @[exu_mul_ctl.scala 137:112] + node _T_14885 = add(_T_14884, _T_14855) @[exu_mul_ctl.scala 137:112] + node _T_14886 = add(_T_14885, _T_14856) @[exu_mul_ctl.scala 137:112] + node _T_14887 = add(_T_14886, _T_14857) @[exu_mul_ctl.scala 137:112] + node _T_14888 = add(_T_14887, _T_14858) @[exu_mul_ctl.scala 137:112] + node _T_14889 = add(_T_14888, _T_14859) @[exu_mul_ctl.scala 137:112] + node _T_14890 = eq(_T_14889, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14891 = bits(_T_14890, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14892 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_14893 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14894 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14895 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14896 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14897 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14898 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14899 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14900 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14901 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14902 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14903 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14904 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14905 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14906 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14907 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14908 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14909 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14910 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14911 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14912 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14913 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14914 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14915 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14916 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14917 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14918 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14919 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14920 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_14921 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_14922 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_14923 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_14924 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_14925 = add(_T_14893, _T_14894) @[exu_mul_ctl.scala 137:112] + node _T_14926 = add(_T_14925, _T_14895) @[exu_mul_ctl.scala 137:112] + node _T_14927 = add(_T_14926, _T_14896) @[exu_mul_ctl.scala 137:112] + node _T_14928 = add(_T_14927, _T_14897) @[exu_mul_ctl.scala 137:112] + node _T_14929 = add(_T_14928, _T_14898) @[exu_mul_ctl.scala 137:112] + node _T_14930 = add(_T_14929, _T_14899) @[exu_mul_ctl.scala 137:112] + node _T_14931 = add(_T_14930, _T_14900) @[exu_mul_ctl.scala 137:112] + node _T_14932 = add(_T_14931, _T_14901) @[exu_mul_ctl.scala 137:112] + node _T_14933 = add(_T_14932, _T_14902) @[exu_mul_ctl.scala 137:112] + node _T_14934 = add(_T_14933, _T_14903) @[exu_mul_ctl.scala 137:112] + node _T_14935 = add(_T_14934, _T_14904) @[exu_mul_ctl.scala 137:112] + node _T_14936 = add(_T_14935, _T_14905) @[exu_mul_ctl.scala 137:112] + node _T_14937 = add(_T_14936, _T_14906) @[exu_mul_ctl.scala 137:112] + node _T_14938 = add(_T_14937, _T_14907) @[exu_mul_ctl.scala 137:112] + node _T_14939 = add(_T_14938, _T_14908) @[exu_mul_ctl.scala 137:112] + node _T_14940 = add(_T_14939, _T_14909) @[exu_mul_ctl.scala 137:112] + node _T_14941 = add(_T_14940, _T_14910) @[exu_mul_ctl.scala 137:112] + node _T_14942 = add(_T_14941, _T_14911) @[exu_mul_ctl.scala 137:112] + node _T_14943 = add(_T_14942, _T_14912) @[exu_mul_ctl.scala 137:112] + node _T_14944 = add(_T_14943, _T_14913) @[exu_mul_ctl.scala 137:112] + node _T_14945 = add(_T_14944, _T_14914) @[exu_mul_ctl.scala 137:112] + node _T_14946 = add(_T_14945, _T_14915) @[exu_mul_ctl.scala 137:112] + node _T_14947 = add(_T_14946, _T_14916) @[exu_mul_ctl.scala 137:112] + node _T_14948 = add(_T_14947, _T_14917) @[exu_mul_ctl.scala 137:112] + node _T_14949 = add(_T_14948, _T_14918) @[exu_mul_ctl.scala 137:112] + node _T_14950 = add(_T_14949, _T_14919) @[exu_mul_ctl.scala 137:112] + node _T_14951 = add(_T_14950, _T_14920) @[exu_mul_ctl.scala 137:112] + node _T_14952 = add(_T_14951, _T_14921) @[exu_mul_ctl.scala 137:112] + node _T_14953 = add(_T_14952, _T_14922) @[exu_mul_ctl.scala 137:112] + node _T_14954 = add(_T_14953, _T_14923) @[exu_mul_ctl.scala 137:112] + node _T_14955 = add(_T_14954, _T_14924) @[exu_mul_ctl.scala 137:112] + node _T_14956 = eq(_T_14955, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14957 = bits(_T_14956, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14958 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_14959 = mux(_T_14957, _T_14958, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_14960 = mux(_T_14891, _T_14892, _T_14959) @[Mux.scala 98:16] + node _T_14961 = mux(_T_14827, _T_14828, _T_14960) @[Mux.scala 98:16] + node _T_14962 = mux(_T_14765, _T_14766, _T_14961) @[Mux.scala 98:16] + node _T_14963 = mux(_T_14705, _T_14706, _T_14962) @[Mux.scala 98:16] + node _T_14964 = mux(_T_14647, _T_14648, _T_14963) @[Mux.scala 98:16] + node _T_14965 = mux(_T_14591, _T_14592, _T_14964) @[Mux.scala 98:16] + node _T_14966 = mux(_T_14537, _T_14538, _T_14965) @[Mux.scala 98:16] + node _T_14967 = mux(_T_14485, _T_14486, _T_14966) @[Mux.scala 98:16] + node _T_14968 = mux(_T_14435, _T_14436, _T_14967) @[Mux.scala 98:16] + node _T_14969 = mux(_T_14387, _T_14388, _T_14968) @[Mux.scala 98:16] + node _T_14970 = mux(_T_14341, _T_14342, _T_14969) @[Mux.scala 98:16] + node _T_14971 = mux(_T_14297, _T_14298, _T_14970) @[Mux.scala 98:16] + node _T_14972 = mux(_T_14255, _T_14256, _T_14971) @[Mux.scala 98:16] + node _T_14973 = mux(_T_14215, _T_14216, _T_14972) @[Mux.scala 98:16] + node _T_14974 = mux(_T_14177, _T_14178, _T_14973) @[Mux.scala 98:16] + node _T_14975 = mux(_T_14141, _T_14142, _T_14974) @[Mux.scala 98:16] + node _T_14976 = mux(_T_14107, _T_14108, _T_14975) @[Mux.scala 98:16] + node _T_14977 = mux(_T_14075, _T_14076, _T_14976) @[Mux.scala 98:16] + node _T_14978 = mux(_T_14045, _T_14046, _T_14977) @[Mux.scala 98:16] + node _T_14979 = mux(_T_14017, _T_14018, _T_14978) @[Mux.scala 98:16] + node _T_14980 = mux(_T_13991, _T_13992, _T_14979) @[Mux.scala 98:16] + node _T_14981 = mux(_T_13967, _T_13968, _T_14980) @[Mux.scala 98:16] + node _T_14982 = mux(_T_13945, _T_13946, _T_14981) @[Mux.scala 98:16] + node _T_14983 = mux(_T_13925, _T_13926, _T_14982) @[Mux.scala 98:16] + node _T_14984 = mux(_T_13907, _T_13908, _T_14983) @[Mux.scala 98:16] + node _T_14985 = mux(_T_13891, _T_13892, _T_14984) @[Mux.scala 98:16] + node _T_14986 = mux(_T_13877, _T_13878, _T_14985) @[Mux.scala 98:16] + node _T_14987 = mux(_T_13865, _T_13866, _T_14986) @[Mux.scala 98:16] + node _T_14988 = mux(_T_13855, _T_13856, _T_14987) @[Mux.scala 98:16] + node _T_14989 = mux(_T_13847, _T_13848, _T_14988) @[Mux.scala 98:16] + node _T_14990 = mux(_T_13841, _T_13842, _T_14989) @[Mux.scala 98:16] + node _T_14991 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_14992 = eq(_T_14991, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_14993 = bits(_T_14992, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14994 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_14995 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14996 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14997 = add(_T_14995, _T_14996) @[exu_mul_ctl.scala 137:112] + node _T_14998 = eq(_T_14997, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_14999 = bits(_T_14998, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15000 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_15001 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15002 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15003 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15004 = add(_T_15001, _T_15002) @[exu_mul_ctl.scala 137:112] + node _T_15005 = add(_T_15004, _T_15003) @[exu_mul_ctl.scala 137:112] + node _T_15006 = eq(_T_15005, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15007 = bits(_T_15006, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15008 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_15009 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15010 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15011 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15012 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15013 = add(_T_15009, _T_15010) @[exu_mul_ctl.scala 137:112] + node _T_15014 = add(_T_15013, _T_15011) @[exu_mul_ctl.scala 137:112] + node _T_15015 = add(_T_15014, _T_15012) @[exu_mul_ctl.scala 137:112] + node _T_15016 = eq(_T_15015, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15017 = bits(_T_15016, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15018 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_15019 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15020 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15021 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15022 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15023 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15024 = add(_T_15019, _T_15020) @[exu_mul_ctl.scala 137:112] + node _T_15025 = add(_T_15024, _T_15021) @[exu_mul_ctl.scala 137:112] + node _T_15026 = add(_T_15025, _T_15022) @[exu_mul_ctl.scala 137:112] + node _T_15027 = add(_T_15026, _T_15023) @[exu_mul_ctl.scala 137:112] + node _T_15028 = eq(_T_15027, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15029 = bits(_T_15028, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15030 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_15031 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15032 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15033 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15034 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15035 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15036 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15037 = add(_T_15031, _T_15032) @[exu_mul_ctl.scala 137:112] + node _T_15038 = add(_T_15037, _T_15033) @[exu_mul_ctl.scala 137:112] + node _T_15039 = add(_T_15038, _T_15034) @[exu_mul_ctl.scala 137:112] + node _T_15040 = add(_T_15039, _T_15035) @[exu_mul_ctl.scala 137:112] + node _T_15041 = add(_T_15040, _T_15036) @[exu_mul_ctl.scala 137:112] + node _T_15042 = eq(_T_15041, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15043 = bits(_T_15042, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15044 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_15045 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15046 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15047 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15048 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15049 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15050 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15051 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15052 = add(_T_15045, _T_15046) @[exu_mul_ctl.scala 137:112] + node _T_15053 = add(_T_15052, _T_15047) @[exu_mul_ctl.scala 137:112] + node _T_15054 = add(_T_15053, _T_15048) @[exu_mul_ctl.scala 137:112] + node _T_15055 = add(_T_15054, _T_15049) @[exu_mul_ctl.scala 137:112] + node _T_15056 = add(_T_15055, _T_15050) @[exu_mul_ctl.scala 137:112] + node _T_15057 = add(_T_15056, _T_15051) @[exu_mul_ctl.scala 137:112] + node _T_15058 = eq(_T_15057, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15059 = bits(_T_15058, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15060 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_15061 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15062 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15063 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15064 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15065 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15066 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15067 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15068 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15069 = add(_T_15061, _T_15062) @[exu_mul_ctl.scala 137:112] + node _T_15070 = add(_T_15069, _T_15063) @[exu_mul_ctl.scala 137:112] + node _T_15071 = add(_T_15070, _T_15064) @[exu_mul_ctl.scala 137:112] + node _T_15072 = add(_T_15071, _T_15065) @[exu_mul_ctl.scala 137:112] + node _T_15073 = add(_T_15072, _T_15066) @[exu_mul_ctl.scala 137:112] + node _T_15074 = add(_T_15073, _T_15067) @[exu_mul_ctl.scala 137:112] + node _T_15075 = add(_T_15074, _T_15068) @[exu_mul_ctl.scala 137:112] + node _T_15076 = eq(_T_15075, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15077 = bits(_T_15076, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15078 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_15079 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15080 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15081 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15082 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15083 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15084 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15085 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15086 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15087 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15088 = add(_T_15079, _T_15080) @[exu_mul_ctl.scala 137:112] + node _T_15089 = add(_T_15088, _T_15081) @[exu_mul_ctl.scala 137:112] + node _T_15090 = add(_T_15089, _T_15082) @[exu_mul_ctl.scala 137:112] + node _T_15091 = add(_T_15090, _T_15083) @[exu_mul_ctl.scala 137:112] + node _T_15092 = add(_T_15091, _T_15084) @[exu_mul_ctl.scala 137:112] + node _T_15093 = add(_T_15092, _T_15085) @[exu_mul_ctl.scala 137:112] + node _T_15094 = add(_T_15093, _T_15086) @[exu_mul_ctl.scala 137:112] + node _T_15095 = add(_T_15094, _T_15087) @[exu_mul_ctl.scala 137:112] + node _T_15096 = eq(_T_15095, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15097 = bits(_T_15096, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15098 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_15099 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15100 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15101 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15102 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15103 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15104 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15105 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15106 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15107 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15108 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15109 = add(_T_15099, _T_15100) @[exu_mul_ctl.scala 137:112] + node _T_15110 = add(_T_15109, _T_15101) @[exu_mul_ctl.scala 137:112] + node _T_15111 = add(_T_15110, _T_15102) @[exu_mul_ctl.scala 137:112] + node _T_15112 = add(_T_15111, _T_15103) @[exu_mul_ctl.scala 137:112] + node _T_15113 = add(_T_15112, _T_15104) @[exu_mul_ctl.scala 137:112] + node _T_15114 = add(_T_15113, _T_15105) @[exu_mul_ctl.scala 137:112] + node _T_15115 = add(_T_15114, _T_15106) @[exu_mul_ctl.scala 137:112] + node _T_15116 = add(_T_15115, _T_15107) @[exu_mul_ctl.scala 137:112] + node _T_15117 = add(_T_15116, _T_15108) @[exu_mul_ctl.scala 137:112] + node _T_15118 = eq(_T_15117, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15119 = bits(_T_15118, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15120 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_15121 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15122 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15123 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15124 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15125 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15126 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15127 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15128 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15129 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15130 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15131 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15132 = add(_T_15121, _T_15122) @[exu_mul_ctl.scala 137:112] + node _T_15133 = add(_T_15132, _T_15123) @[exu_mul_ctl.scala 137:112] + node _T_15134 = add(_T_15133, _T_15124) @[exu_mul_ctl.scala 137:112] + node _T_15135 = add(_T_15134, _T_15125) @[exu_mul_ctl.scala 137:112] + node _T_15136 = add(_T_15135, _T_15126) @[exu_mul_ctl.scala 137:112] + node _T_15137 = add(_T_15136, _T_15127) @[exu_mul_ctl.scala 137:112] + node _T_15138 = add(_T_15137, _T_15128) @[exu_mul_ctl.scala 137:112] + node _T_15139 = add(_T_15138, _T_15129) @[exu_mul_ctl.scala 137:112] + node _T_15140 = add(_T_15139, _T_15130) @[exu_mul_ctl.scala 137:112] + node _T_15141 = add(_T_15140, _T_15131) @[exu_mul_ctl.scala 137:112] + node _T_15142 = eq(_T_15141, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15143 = bits(_T_15142, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15144 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_15145 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15146 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15147 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15148 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15149 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15150 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15151 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15152 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15153 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15154 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15155 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15156 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15157 = add(_T_15145, _T_15146) @[exu_mul_ctl.scala 137:112] + node _T_15158 = add(_T_15157, _T_15147) @[exu_mul_ctl.scala 137:112] + node _T_15159 = add(_T_15158, _T_15148) @[exu_mul_ctl.scala 137:112] + node _T_15160 = add(_T_15159, _T_15149) @[exu_mul_ctl.scala 137:112] + node _T_15161 = add(_T_15160, _T_15150) @[exu_mul_ctl.scala 137:112] + node _T_15162 = add(_T_15161, _T_15151) @[exu_mul_ctl.scala 137:112] + node _T_15163 = add(_T_15162, _T_15152) @[exu_mul_ctl.scala 137:112] + node _T_15164 = add(_T_15163, _T_15153) @[exu_mul_ctl.scala 137:112] + node _T_15165 = add(_T_15164, _T_15154) @[exu_mul_ctl.scala 137:112] + node _T_15166 = add(_T_15165, _T_15155) @[exu_mul_ctl.scala 137:112] + node _T_15167 = add(_T_15166, _T_15156) @[exu_mul_ctl.scala 137:112] + node _T_15168 = eq(_T_15167, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15169 = bits(_T_15168, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15170 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_15171 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15172 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15173 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15174 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15175 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15176 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15177 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15178 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15179 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15180 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15181 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15182 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15183 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15184 = add(_T_15171, _T_15172) @[exu_mul_ctl.scala 137:112] + node _T_15185 = add(_T_15184, _T_15173) @[exu_mul_ctl.scala 137:112] + node _T_15186 = add(_T_15185, _T_15174) @[exu_mul_ctl.scala 137:112] + node _T_15187 = add(_T_15186, _T_15175) @[exu_mul_ctl.scala 137:112] + node _T_15188 = add(_T_15187, _T_15176) @[exu_mul_ctl.scala 137:112] + node _T_15189 = add(_T_15188, _T_15177) @[exu_mul_ctl.scala 137:112] + node _T_15190 = add(_T_15189, _T_15178) @[exu_mul_ctl.scala 137:112] + node _T_15191 = add(_T_15190, _T_15179) @[exu_mul_ctl.scala 137:112] + node _T_15192 = add(_T_15191, _T_15180) @[exu_mul_ctl.scala 137:112] + node _T_15193 = add(_T_15192, _T_15181) @[exu_mul_ctl.scala 137:112] + node _T_15194 = add(_T_15193, _T_15182) @[exu_mul_ctl.scala 137:112] + node _T_15195 = add(_T_15194, _T_15183) @[exu_mul_ctl.scala 137:112] + node _T_15196 = eq(_T_15195, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15197 = bits(_T_15196, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15198 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_15199 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15200 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15201 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15202 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15203 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15204 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15205 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15206 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15207 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15208 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15209 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15210 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15211 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15212 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15213 = add(_T_15199, _T_15200) @[exu_mul_ctl.scala 137:112] + node _T_15214 = add(_T_15213, _T_15201) @[exu_mul_ctl.scala 137:112] + node _T_15215 = add(_T_15214, _T_15202) @[exu_mul_ctl.scala 137:112] + node _T_15216 = add(_T_15215, _T_15203) @[exu_mul_ctl.scala 137:112] + node _T_15217 = add(_T_15216, _T_15204) @[exu_mul_ctl.scala 137:112] + node _T_15218 = add(_T_15217, _T_15205) @[exu_mul_ctl.scala 137:112] + node _T_15219 = add(_T_15218, _T_15206) @[exu_mul_ctl.scala 137:112] + node _T_15220 = add(_T_15219, _T_15207) @[exu_mul_ctl.scala 137:112] + node _T_15221 = add(_T_15220, _T_15208) @[exu_mul_ctl.scala 137:112] + node _T_15222 = add(_T_15221, _T_15209) @[exu_mul_ctl.scala 137:112] + node _T_15223 = add(_T_15222, _T_15210) @[exu_mul_ctl.scala 137:112] + node _T_15224 = add(_T_15223, _T_15211) @[exu_mul_ctl.scala 137:112] + node _T_15225 = add(_T_15224, _T_15212) @[exu_mul_ctl.scala 137:112] + node _T_15226 = eq(_T_15225, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15227 = bits(_T_15226, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15228 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_15229 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15230 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15231 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15232 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15233 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15234 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15235 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15236 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15237 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15238 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15239 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15240 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15241 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15242 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15243 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15244 = add(_T_15229, _T_15230) @[exu_mul_ctl.scala 137:112] + node _T_15245 = add(_T_15244, _T_15231) @[exu_mul_ctl.scala 137:112] + node _T_15246 = add(_T_15245, _T_15232) @[exu_mul_ctl.scala 137:112] + node _T_15247 = add(_T_15246, _T_15233) @[exu_mul_ctl.scala 137:112] + node _T_15248 = add(_T_15247, _T_15234) @[exu_mul_ctl.scala 137:112] + node _T_15249 = add(_T_15248, _T_15235) @[exu_mul_ctl.scala 137:112] + node _T_15250 = add(_T_15249, _T_15236) @[exu_mul_ctl.scala 137:112] + node _T_15251 = add(_T_15250, _T_15237) @[exu_mul_ctl.scala 137:112] + node _T_15252 = add(_T_15251, _T_15238) @[exu_mul_ctl.scala 137:112] + node _T_15253 = add(_T_15252, _T_15239) @[exu_mul_ctl.scala 137:112] + node _T_15254 = add(_T_15253, _T_15240) @[exu_mul_ctl.scala 137:112] + node _T_15255 = add(_T_15254, _T_15241) @[exu_mul_ctl.scala 137:112] + node _T_15256 = add(_T_15255, _T_15242) @[exu_mul_ctl.scala 137:112] + node _T_15257 = add(_T_15256, _T_15243) @[exu_mul_ctl.scala 137:112] + node _T_15258 = eq(_T_15257, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15259 = bits(_T_15258, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15260 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_15261 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15262 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15263 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15264 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15265 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15266 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15267 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15268 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15269 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15270 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15271 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15272 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15273 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15274 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15275 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15276 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15277 = add(_T_15261, _T_15262) @[exu_mul_ctl.scala 137:112] + node _T_15278 = add(_T_15277, _T_15263) @[exu_mul_ctl.scala 137:112] + node _T_15279 = add(_T_15278, _T_15264) @[exu_mul_ctl.scala 137:112] + node _T_15280 = add(_T_15279, _T_15265) @[exu_mul_ctl.scala 137:112] + node _T_15281 = add(_T_15280, _T_15266) @[exu_mul_ctl.scala 137:112] + node _T_15282 = add(_T_15281, _T_15267) @[exu_mul_ctl.scala 137:112] + node _T_15283 = add(_T_15282, _T_15268) @[exu_mul_ctl.scala 137:112] + node _T_15284 = add(_T_15283, _T_15269) @[exu_mul_ctl.scala 137:112] + node _T_15285 = add(_T_15284, _T_15270) @[exu_mul_ctl.scala 137:112] + node _T_15286 = add(_T_15285, _T_15271) @[exu_mul_ctl.scala 137:112] + node _T_15287 = add(_T_15286, _T_15272) @[exu_mul_ctl.scala 137:112] + node _T_15288 = add(_T_15287, _T_15273) @[exu_mul_ctl.scala 137:112] + node _T_15289 = add(_T_15288, _T_15274) @[exu_mul_ctl.scala 137:112] + node _T_15290 = add(_T_15289, _T_15275) @[exu_mul_ctl.scala 137:112] + node _T_15291 = add(_T_15290, _T_15276) @[exu_mul_ctl.scala 137:112] + node _T_15292 = eq(_T_15291, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15293 = bits(_T_15292, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15294 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_15295 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15296 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15297 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15298 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15299 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15300 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15301 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15302 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15303 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15304 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15305 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15306 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15307 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15308 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15309 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15310 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15311 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15312 = add(_T_15295, _T_15296) @[exu_mul_ctl.scala 137:112] + node _T_15313 = add(_T_15312, _T_15297) @[exu_mul_ctl.scala 137:112] + node _T_15314 = add(_T_15313, _T_15298) @[exu_mul_ctl.scala 137:112] + node _T_15315 = add(_T_15314, _T_15299) @[exu_mul_ctl.scala 137:112] + node _T_15316 = add(_T_15315, _T_15300) @[exu_mul_ctl.scala 137:112] + node _T_15317 = add(_T_15316, _T_15301) @[exu_mul_ctl.scala 137:112] + node _T_15318 = add(_T_15317, _T_15302) @[exu_mul_ctl.scala 137:112] + node _T_15319 = add(_T_15318, _T_15303) @[exu_mul_ctl.scala 137:112] + node _T_15320 = add(_T_15319, _T_15304) @[exu_mul_ctl.scala 137:112] + node _T_15321 = add(_T_15320, _T_15305) @[exu_mul_ctl.scala 137:112] + node _T_15322 = add(_T_15321, _T_15306) @[exu_mul_ctl.scala 137:112] + node _T_15323 = add(_T_15322, _T_15307) @[exu_mul_ctl.scala 137:112] + node _T_15324 = add(_T_15323, _T_15308) @[exu_mul_ctl.scala 137:112] + node _T_15325 = add(_T_15324, _T_15309) @[exu_mul_ctl.scala 137:112] + node _T_15326 = add(_T_15325, _T_15310) @[exu_mul_ctl.scala 137:112] + node _T_15327 = add(_T_15326, _T_15311) @[exu_mul_ctl.scala 137:112] + node _T_15328 = eq(_T_15327, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15329 = bits(_T_15328, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15330 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_15331 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15332 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15333 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15334 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15335 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15336 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15337 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15338 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15339 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15340 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15341 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15342 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15343 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15344 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15345 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15346 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15347 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15348 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15349 = add(_T_15331, _T_15332) @[exu_mul_ctl.scala 137:112] + node _T_15350 = add(_T_15349, _T_15333) @[exu_mul_ctl.scala 137:112] + node _T_15351 = add(_T_15350, _T_15334) @[exu_mul_ctl.scala 137:112] + node _T_15352 = add(_T_15351, _T_15335) @[exu_mul_ctl.scala 137:112] + node _T_15353 = add(_T_15352, _T_15336) @[exu_mul_ctl.scala 137:112] + node _T_15354 = add(_T_15353, _T_15337) @[exu_mul_ctl.scala 137:112] + node _T_15355 = add(_T_15354, _T_15338) @[exu_mul_ctl.scala 137:112] + node _T_15356 = add(_T_15355, _T_15339) @[exu_mul_ctl.scala 137:112] + node _T_15357 = add(_T_15356, _T_15340) @[exu_mul_ctl.scala 137:112] + node _T_15358 = add(_T_15357, _T_15341) @[exu_mul_ctl.scala 137:112] + node _T_15359 = add(_T_15358, _T_15342) @[exu_mul_ctl.scala 137:112] + node _T_15360 = add(_T_15359, _T_15343) @[exu_mul_ctl.scala 137:112] + node _T_15361 = add(_T_15360, _T_15344) @[exu_mul_ctl.scala 137:112] + node _T_15362 = add(_T_15361, _T_15345) @[exu_mul_ctl.scala 137:112] + node _T_15363 = add(_T_15362, _T_15346) @[exu_mul_ctl.scala 137:112] + node _T_15364 = add(_T_15363, _T_15347) @[exu_mul_ctl.scala 137:112] + node _T_15365 = add(_T_15364, _T_15348) @[exu_mul_ctl.scala 137:112] + node _T_15366 = eq(_T_15365, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15367 = bits(_T_15366, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15368 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_15369 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15370 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15371 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15372 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15373 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15374 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15375 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15376 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15377 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15378 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15379 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15380 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15381 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15382 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15383 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15384 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15385 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15386 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15387 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15388 = add(_T_15369, _T_15370) @[exu_mul_ctl.scala 137:112] + node _T_15389 = add(_T_15388, _T_15371) @[exu_mul_ctl.scala 137:112] + node _T_15390 = add(_T_15389, _T_15372) @[exu_mul_ctl.scala 137:112] + node _T_15391 = add(_T_15390, _T_15373) @[exu_mul_ctl.scala 137:112] + node _T_15392 = add(_T_15391, _T_15374) @[exu_mul_ctl.scala 137:112] + node _T_15393 = add(_T_15392, _T_15375) @[exu_mul_ctl.scala 137:112] + node _T_15394 = add(_T_15393, _T_15376) @[exu_mul_ctl.scala 137:112] + node _T_15395 = add(_T_15394, _T_15377) @[exu_mul_ctl.scala 137:112] + node _T_15396 = add(_T_15395, _T_15378) @[exu_mul_ctl.scala 137:112] + node _T_15397 = add(_T_15396, _T_15379) @[exu_mul_ctl.scala 137:112] + node _T_15398 = add(_T_15397, _T_15380) @[exu_mul_ctl.scala 137:112] + node _T_15399 = add(_T_15398, _T_15381) @[exu_mul_ctl.scala 137:112] + node _T_15400 = add(_T_15399, _T_15382) @[exu_mul_ctl.scala 137:112] + node _T_15401 = add(_T_15400, _T_15383) @[exu_mul_ctl.scala 137:112] + node _T_15402 = add(_T_15401, _T_15384) @[exu_mul_ctl.scala 137:112] + node _T_15403 = add(_T_15402, _T_15385) @[exu_mul_ctl.scala 137:112] + node _T_15404 = add(_T_15403, _T_15386) @[exu_mul_ctl.scala 137:112] + node _T_15405 = add(_T_15404, _T_15387) @[exu_mul_ctl.scala 137:112] + node _T_15406 = eq(_T_15405, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15407 = bits(_T_15406, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15408 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_15409 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15410 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15411 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15412 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15413 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15414 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15415 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15416 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15417 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15418 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15419 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15420 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15421 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15422 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15423 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15424 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15425 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15426 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15427 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15428 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15429 = add(_T_15409, _T_15410) @[exu_mul_ctl.scala 137:112] + node _T_15430 = add(_T_15429, _T_15411) @[exu_mul_ctl.scala 137:112] + node _T_15431 = add(_T_15430, _T_15412) @[exu_mul_ctl.scala 137:112] + node _T_15432 = add(_T_15431, _T_15413) @[exu_mul_ctl.scala 137:112] + node _T_15433 = add(_T_15432, _T_15414) @[exu_mul_ctl.scala 137:112] + node _T_15434 = add(_T_15433, _T_15415) @[exu_mul_ctl.scala 137:112] + node _T_15435 = add(_T_15434, _T_15416) @[exu_mul_ctl.scala 137:112] + node _T_15436 = add(_T_15435, _T_15417) @[exu_mul_ctl.scala 137:112] + node _T_15437 = add(_T_15436, _T_15418) @[exu_mul_ctl.scala 137:112] + node _T_15438 = add(_T_15437, _T_15419) @[exu_mul_ctl.scala 137:112] + node _T_15439 = add(_T_15438, _T_15420) @[exu_mul_ctl.scala 137:112] + node _T_15440 = add(_T_15439, _T_15421) @[exu_mul_ctl.scala 137:112] + node _T_15441 = add(_T_15440, _T_15422) @[exu_mul_ctl.scala 137:112] + node _T_15442 = add(_T_15441, _T_15423) @[exu_mul_ctl.scala 137:112] + node _T_15443 = add(_T_15442, _T_15424) @[exu_mul_ctl.scala 137:112] + node _T_15444 = add(_T_15443, _T_15425) @[exu_mul_ctl.scala 137:112] + node _T_15445 = add(_T_15444, _T_15426) @[exu_mul_ctl.scala 137:112] + node _T_15446 = add(_T_15445, _T_15427) @[exu_mul_ctl.scala 137:112] + node _T_15447 = add(_T_15446, _T_15428) @[exu_mul_ctl.scala 137:112] + node _T_15448 = eq(_T_15447, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15449 = bits(_T_15448, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15450 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_15451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15453 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15454 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15455 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15456 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15457 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15458 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15459 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15460 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15461 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15462 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15463 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15464 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15465 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15466 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15467 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15468 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15469 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15470 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15471 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15472 = add(_T_15451, _T_15452) @[exu_mul_ctl.scala 137:112] + node _T_15473 = add(_T_15472, _T_15453) @[exu_mul_ctl.scala 137:112] + node _T_15474 = add(_T_15473, _T_15454) @[exu_mul_ctl.scala 137:112] + node _T_15475 = add(_T_15474, _T_15455) @[exu_mul_ctl.scala 137:112] + node _T_15476 = add(_T_15475, _T_15456) @[exu_mul_ctl.scala 137:112] + node _T_15477 = add(_T_15476, _T_15457) @[exu_mul_ctl.scala 137:112] + node _T_15478 = add(_T_15477, _T_15458) @[exu_mul_ctl.scala 137:112] + node _T_15479 = add(_T_15478, _T_15459) @[exu_mul_ctl.scala 137:112] + node _T_15480 = add(_T_15479, _T_15460) @[exu_mul_ctl.scala 137:112] + node _T_15481 = add(_T_15480, _T_15461) @[exu_mul_ctl.scala 137:112] + node _T_15482 = add(_T_15481, _T_15462) @[exu_mul_ctl.scala 137:112] + node _T_15483 = add(_T_15482, _T_15463) @[exu_mul_ctl.scala 137:112] + node _T_15484 = add(_T_15483, _T_15464) @[exu_mul_ctl.scala 137:112] + node _T_15485 = add(_T_15484, _T_15465) @[exu_mul_ctl.scala 137:112] + node _T_15486 = add(_T_15485, _T_15466) @[exu_mul_ctl.scala 137:112] + node _T_15487 = add(_T_15486, _T_15467) @[exu_mul_ctl.scala 137:112] + node _T_15488 = add(_T_15487, _T_15468) @[exu_mul_ctl.scala 137:112] + node _T_15489 = add(_T_15488, _T_15469) @[exu_mul_ctl.scala 137:112] + node _T_15490 = add(_T_15489, _T_15470) @[exu_mul_ctl.scala 137:112] + node _T_15491 = add(_T_15490, _T_15471) @[exu_mul_ctl.scala 137:112] + node _T_15492 = eq(_T_15491, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15493 = bits(_T_15492, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15494 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_15495 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15496 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15497 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15498 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15499 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15500 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15501 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15502 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15503 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15504 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15505 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15506 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15507 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15508 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15509 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15510 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15511 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15512 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15513 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15514 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15515 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15516 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15517 = add(_T_15495, _T_15496) @[exu_mul_ctl.scala 137:112] + node _T_15518 = add(_T_15517, _T_15497) @[exu_mul_ctl.scala 137:112] + node _T_15519 = add(_T_15518, _T_15498) @[exu_mul_ctl.scala 137:112] + node _T_15520 = add(_T_15519, _T_15499) @[exu_mul_ctl.scala 137:112] + node _T_15521 = add(_T_15520, _T_15500) @[exu_mul_ctl.scala 137:112] + node _T_15522 = add(_T_15521, _T_15501) @[exu_mul_ctl.scala 137:112] + node _T_15523 = add(_T_15522, _T_15502) @[exu_mul_ctl.scala 137:112] + node _T_15524 = add(_T_15523, _T_15503) @[exu_mul_ctl.scala 137:112] + node _T_15525 = add(_T_15524, _T_15504) @[exu_mul_ctl.scala 137:112] + node _T_15526 = add(_T_15525, _T_15505) @[exu_mul_ctl.scala 137:112] + node _T_15527 = add(_T_15526, _T_15506) @[exu_mul_ctl.scala 137:112] + node _T_15528 = add(_T_15527, _T_15507) @[exu_mul_ctl.scala 137:112] + node _T_15529 = add(_T_15528, _T_15508) @[exu_mul_ctl.scala 137:112] + node _T_15530 = add(_T_15529, _T_15509) @[exu_mul_ctl.scala 137:112] + node _T_15531 = add(_T_15530, _T_15510) @[exu_mul_ctl.scala 137:112] + node _T_15532 = add(_T_15531, _T_15511) @[exu_mul_ctl.scala 137:112] + node _T_15533 = add(_T_15532, _T_15512) @[exu_mul_ctl.scala 137:112] + node _T_15534 = add(_T_15533, _T_15513) @[exu_mul_ctl.scala 137:112] + node _T_15535 = add(_T_15534, _T_15514) @[exu_mul_ctl.scala 137:112] + node _T_15536 = add(_T_15535, _T_15515) @[exu_mul_ctl.scala 137:112] + node _T_15537 = add(_T_15536, _T_15516) @[exu_mul_ctl.scala 137:112] + node _T_15538 = eq(_T_15537, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15539 = bits(_T_15538, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15540 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_15541 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15542 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15543 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15544 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15545 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15546 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15547 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15548 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15549 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15550 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15551 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15552 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15553 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15554 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15555 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15556 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15557 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15558 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15559 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15560 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15561 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15562 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15563 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15564 = add(_T_15541, _T_15542) @[exu_mul_ctl.scala 137:112] + node _T_15565 = add(_T_15564, _T_15543) @[exu_mul_ctl.scala 137:112] + node _T_15566 = add(_T_15565, _T_15544) @[exu_mul_ctl.scala 137:112] + node _T_15567 = add(_T_15566, _T_15545) @[exu_mul_ctl.scala 137:112] + node _T_15568 = add(_T_15567, _T_15546) @[exu_mul_ctl.scala 137:112] + node _T_15569 = add(_T_15568, _T_15547) @[exu_mul_ctl.scala 137:112] + node _T_15570 = add(_T_15569, _T_15548) @[exu_mul_ctl.scala 137:112] + node _T_15571 = add(_T_15570, _T_15549) @[exu_mul_ctl.scala 137:112] + node _T_15572 = add(_T_15571, _T_15550) @[exu_mul_ctl.scala 137:112] + node _T_15573 = add(_T_15572, _T_15551) @[exu_mul_ctl.scala 137:112] + node _T_15574 = add(_T_15573, _T_15552) @[exu_mul_ctl.scala 137:112] + node _T_15575 = add(_T_15574, _T_15553) @[exu_mul_ctl.scala 137:112] + node _T_15576 = add(_T_15575, _T_15554) @[exu_mul_ctl.scala 137:112] + node _T_15577 = add(_T_15576, _T_15555) @[exu_mul_ctl.scala 137:112] + node _T_15578 = add(_T_15577, _T_15556) @[exu_mul_ctl.scala 137:112] + node _T_15579 = add(_T_15578, _T_15557) @[exu_mul_ctl.scala 137:112] + node _T_15580 = add(_T_15579, _T_15558) @[exu_mul_ctl.scala 137:112] + node _T_15581 = add(_T_15580, _T_15559) @[exu_mul_ctl.scala 137:112] + node _T_15582 = add(_T_15581, _T_15560) @[exu_mul_ctl.scala 137:112] + node _T_15583 = add(_T_15582, _T_15561) @[exu_mul_ctl.scala 137:112] + node _T_15584 = add(_T_15583, _T_15562) @[exu_mul_ctl.scala 137:112] + node _T_15585 = add(_T_15584, _T_15563) @[exu_mul_ctl.scala 137:112] + node _T_15586 = eq(_T_15585, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15587 = bits(_T_15586, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15588 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_15589 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15590 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15591 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15592 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15593 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15594 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15595 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15596 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15597 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15598 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15599 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15600 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15601 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15602 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15603 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15604 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15605 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15606 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15607 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15608 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15609 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15610 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15611 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15612 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15613 = add(_T_15589, _T_15590) @[exu_mul_ctl.scala 137:112] + node _T_15614 = add(_T_15613, _T_15591) @[exu_mul_ctl.scala 137:112] + node _T_15615 = add(_T_15614, _T_15592) @[exu_mul_ctl.scala 137:112] + node _T_15616 = add(_T_15615, _T_15593) @[exu_mul_ctl.scala 137:112] + node _T_15617 = add(_T_15616, _T_15594) @[exu_mul_ctl.scala 137:112] + node _T_15618 = add(_T_15617, _T_15595) @[exu_mul_ctl.scala 137:112] + node _T_15619 = add(_T_15618, _T_15596) @[exu_mul_ctl.scala 137:112] + node _T_15620 = add(_T_15619, _T_15597) @[exu_mul_ctl.scala 137:112] + node _T_15621 = add(_T_15620, _T_15598) @[exu_mul_ctl.scala 137:112] + node _T_15622 = add(_T_15621, _T_15599) @[exu_mul_ctl.scala 137:112] + node _T_15623 = add(_T_15622, _T_15600) @[exu_mul_ctl.scala 137:112] + node _T_15624 = add(_T_15623, _T_15601) @[exu_mul_ctl.scala 137:112] + node _T_15625 = add(_T_15624, _T_15602) @[exu_mul_ctl.scala 137:112] + node _T_15626 = add(_T_15625, _T_15603) @[exu_mul_ctl.scala 137:112] + node _T_15627 = add(_T_15626, _T_15604) @[exu_mul_ctl.scala 137:112] + node _T_15628 = add(_T_15627, _T_15605) @[exu_mul_ctl.scala 137:112] + node _T_15629 = add(_T_15628, _T_15606) @[exu_mul_ctl.scala 137:112] + node _T_15630 = add(_T_15629, _T_15607) @[exu_mul_ctl.scala 137:112] + node _T_15631 = add(_T_15630, _T_15608) @[exu_mul_ctl.scala 137:112] + node _T_15632 = add(_T_15631, _T_15609) @[exu_mul_ctl.scala 137:112] + node _T_15633 = add(_T_15632, _T_15610) @[exu_mul_ctl.scala 137:112] + node _T_15634 = add(_T_15633, _T_15611) @[exu_mul_ctl.scala 137:112] + node _T_15635 = add(_T_15634, _T_15612) @[exu_mul_ctl.scala 137:112] + node _T_15636 = eq(_T_15635, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15637 = bits(_T_15636, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15638 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_15639 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15640 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15641 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15642 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15643 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15644 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15645 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15646 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15647 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15648 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15649 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15650 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15651 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15652 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15653 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15654 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15655 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15656 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15657 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15658 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15659 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15660 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15661 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15662 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15663 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15664 = add(_T_15639, _T_15640) @[exu_mul_ctl.scala 137:112] + node _T_15665 = add(_T_15664, _T_15641) @[exu_mul_ctl.scala 137:112] + node _T_15666 = add(_T_15665, _T_15642) @[exu_mul_ctl.scala 137:112] + node _T_15667 = add(_T_15666, _T_15643) @[exu_mul_ctl.scala 137:112] + node _T_15668 = add(_T_15667, _T_15644) @[exu_mul_ctl.scala 137:112] + node _T_15669 = add(_T_15668, _T_15645) @[exu_mul_ctl.scala 137:112] + node _T_15670 = add(_T_15669, _T_15646) @[exu_mul_ctl.scala 137:112] + node _T_15671 = add(_T_15670, _T_15647) @[exu_mul_ctl.scala 137:112] + node _T_15672 = add(_T_15671, _T_15648) @[exu_mul_ctl.scala 137:112] + node _T_15673 = add(_T_15672, _T_15649) @[exu_mul_ctl.scala 137:112] + node _T_15674 = add(_T_15673, _T_15650) @[exu_mul_ctl.scala 137:112] + node _T_15675 = add(_T_15674, _T_15651) @[exu_mul_ctl.scala 137:112] + node _T_15676 = add(_T_15675, _T_15652) @[exu_mul_ctl.scala 137:112] + node _T_15677 = add(_T_15676, _T_15653) @[exu_mul_ctl.scala 137:112] + node _T_15678 = add(_T_15677, _T_15654) @[exu_mul_ctl.scala 137:112] + node _T_15679 = add(_T_15678, _T_15655) @[exu_mul_ctl.scala 137:112] + node _T_15680 = add(_T_15679, _T_15656) @[exu_mul_ctl.scala 137:112] + node _T_15681 = add(_T_15680, _T_15657) @[exu_mul_ctl.scala 137:112] + node _T_15682 = add(_T_15681, _T_15658) @[exu_mul_ctl.scala 137:112] + node _T_15683 = add(_T_15682, _T_15659) @[exu_mul_ctl.scala 137:112] + node _T_15684 = add(_T_15683, _T_15660) @[exu_mul_ctl.scala 137:112] + node _T_15685 = add(_T_15684, _T_15661) @[exu_mul_ctl.scala 137:112] + node _T_15686 = add(_T_15685, _T_15662) @[exu_mul_ctl.scala 137:112] + node _T_15687 = add(_T_15686, _T_15663) @[exu_mul_ctl.scala 137:112] + node _T_15688 = eq(_T_15687, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15689 = bits(_T_15688, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15690 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_15691 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15692 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15693 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15694 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15695 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15696 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15697 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15698 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15699 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15700 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15701 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15702 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15703 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15704 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15705 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15706 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15707 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15708 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15709 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15710 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15711 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15712 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15713 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15714 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15715 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15716 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_15717 = add(_T_15691, _T_15692) @[exu_mul_ctl.scala 137:112] + node _T_15718 = add(_T_15717, _T_15693) @[exu_mul_ctl.scala 137:112] + node _T_15719 = add(_T_15718, _T_15694) @[exu_mul_ctl.scala 137:112] + node _T_15720 = add(_T_15719, _T_15695) @[exu_mul_ctl.scala 137:112] + node _T_15721 = add(_T_15720, _T_15696) @[exu_mul_ctl.scala 137:112] + node _T_15722 = add(_T_15721, _T_15697) @[exu_mul_ctl.scala 137:112] + node _T_15723 = add(_T_15722, _T_15698) @[exu_mul_ctl.scala 137:112] + node _T_15724 = add(_T_15723, _T_15699) @[exu_mul_ctl.scala 137:112] + node _T_15725 = add(_T_15724, _T_15700) @[exu_mul_ctl.scala 137:112] + node _T_15726 = add(_T_15725, _T_15701) @[exu_mul_ctl.scala 137:112] + node _T_15727 = add(_T_15726, _T_15702) @[exu_mul_ctl.scala 137:112] + node _T_15728 = add(_T_15727, _T_15703) @[exu_mul_ctl.scala 137:112] + node _T_15729 = add(_T_15728, _T_15704) @[exu_mul_ctl.scala 137:112] + node _T_15730 = add(_T_15729, _T_15705) @[exu_mul_ctl.scala 137:112] + node _T_15731 = add(_T_15730, _T_15706) @[exu_mul_ctl.scala 137:112] + node _T_15732 = add(_T_15731, _T_15707) @[exu_mul_ctl.scala 137:112] + node _T_15733 = add(_T_15732, _T_15708) @[exu_mul_ctl.scala 137:112] + node _T_15734 = add(_T_15733, _T_15709) @[exu_mul_ctl.scala 137:112] + node _T_15735 = add(_T_15734, _T_15710) @[exu_mul_ctl.scala 137:112] + node _T_15736 = add(_T_15735, _T_15711) @[exu_mul_ctl.scala 137:112] + node _T_15737 = add(_T_15736, _T_15712) @[exu_mul_ctl.scala 137:112] + node _T_15738 = add(_T_15737, _T_15713) @[exu_mul_ctl.scala 137:112] + node _T_15739 = add(_T_15738, _T_15714) @[exu_mul_ctl.scala 137:112] + node _T_15740 = add(_T_15739, _T_15715) @[exu_mul_ctl.scala 137:112] + node _T_15741 = add(_T_15740, _T_15716) @[exu_mul_ctl.scala 137:112] + node _T_15742 = eq(_T_15741, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15743 = bits(_T_15742, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15744 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_15745 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15746 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15747 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15748 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15749 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15750 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15751 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15752 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15753 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15754 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15755 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15756 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15757 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15758 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15759 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15760 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15761 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15762 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15763 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15764 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15765 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15766 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15767 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15768 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15769 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15770 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_15771 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_15772 = add(_T_15745, _T_15746) @[exu_mul_ctl.scala 137:112] + node _T_15773 = add(_T_15772, _T_15747) @[exu_mul_ctl.scala 137:112] + node _T_15774 = add(_T_15773, _T_15748) @[exu_mul_ctl.scala 137:112] + node _T_15775 = add(_T_15774, _T_15749) @[exu_mul_ctl.scala 137:112] + node _T_15776 = add(_T_15775, _T_15750) @[exu_mul_ctl.scala 137:112] + node _T_15777 = add(_T_15776, _T_15751) @[exu_mul_ctl.scala 137:112] + node _T_15778 = add(_T_15777, _T_15752) @[exu_mul_ctl.scala 137:112] + node _T_15779 = add(_T_15778, _T_15753) @[exu_mul_ctl.scala 137:112] + node _T_15780 = add(_T_15779, _T_15754) @[exu_mul_ctl.scala 137:112] + node _T_15781 = add(_T_15780, _T_15755) @[exu_mul_ctl.scala 137:112] + node _T_15782 = add(_T_15781, _T_15756) @[exu_mul_ctl.scala 137:112] + node _T_15783 = add(_T_15782, _T_15757) @[exu_mul_ctl.scala 137:112] + node _T_15784 = add(_T_15783, _T_15758) @[exu_mul_ctl.scala 137:112] + node _T_15785 = add(_T_15784, _T_15759) @[exu_mul_ctl.scala 137:112] + node _T_15786 = add(_T_15785, _T_15760) @[exu_mul_ctl.scala 137:112] + node _T_15787 = add(_T_15786, _T_15761) @[exu_mul_ctl.scala 137:112] + node _T_15788 = add(_T_15787, _T_15762) @[exu_mul_ctl.scala 137:112] + node _T_15789 = add(_T_15788, _T_15763) @[exu_mul_ctl.scala 137:112] + node _T_15790 = add(_T_15789, _T_15764) @[exu_mul_ctl.scala 137:112] + node _T_15791 = add(_T_15790, _T_15765) @[exu_mul_ctl.scala 137:112] + node _T_15792 = add(_T_15791, _T_15766) @[exu_mul_ctl.scala 137:112] + node _T_15793 = add(_T_15792, _T_15767) @[exu_mul_ctl.scala 137:112] + node _T_15794 = add(_T_15793, _T_15768) @[exu_mul_ctl.scala 137:112] + node _T_15795 = add(_T_15794, _T_15769) @[exu_mul_ctl.scala 137:112] + node _T_15796 = add(_T_15795, _T_15770) @[exu_mul_ctl.scala 137:112] + node _T_15797 = add(_T_15796, _T_15771) @[exu_mul_ctl.scala 137:112] + node _T_15798 = eq(_T_15797, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15799 = bits(_T_15798, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15800 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_15801 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15802 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15803 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15804 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15805 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15806 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15807 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15808 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15809 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15810 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15811 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15812 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15813 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15814 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15815 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15816 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15817 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15818 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15819 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15820 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15821 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15822 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15823 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15824 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15825 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15826 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_15827 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_15828 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_15829 = add(_T_15801, _T_15802) @[exu_mul_ctl.scala 137:112] + node _T_15830 = add(_T_15829, _T_15803) @[exu_mul_ctl.scala 137:112] + node _T_15831 = add(_T_15830, _T_15804) @[exu_mul_ctl.scala 137:112] + node _T_15832 = add(_T_15831, _T_15805) @[exu_mul_ctl.scala 137:112] + node _T_15833 = add(_T_15832, _T_15806) @[exu_mul_ctl.scala 137:112] + node _T_15834 = add(_T_15833, _T_15807) @[exu_mul_ctl.scala 137:112] + node _T_15835 = add(_T_15834, _T_15808) @[exu_mul_ctl.scala 137:112] + node _T_15836 = add(_T_15835, _T_15809) @[exu_mul_ctl.scala 137:112] + node _T_15837 = add(_T_15836, _T_15810) @[exu_mul_ctl.scala 137:112] + node _T_15838 = add(_T_15837, _T_15811) @[exu_mul_ctl.scala 137:112] + node _T_15839 = add(_T_15838, _T_15812) @[exu_mul_ctl.scala 137:112] + node _T_15840 = add(_T_15839, _T_15813) @[exu_mul_ctl.scala 137:112] + node _T_15841 = add(_T_15840, _T_15814) @[exu_mul_ctl.scala 137:112] + node _T_15842 = add(_T_15841, _T_15815) @[exu_mul_ctl.scala 137:112] + node _T_15843 = add(_T_15842, _T_15816) @[exu_mul_ctl.scala 137:112] + node _T_15844 = add(_T_15843, _T_15817) @[exu_mul_ctl.scala 137:112] + node _T_15845 = add(_T_15844, _T_15818) @[exu_mul_ctl.scala 137:112] + node _T_15846 = add(_T_15845, _T_15819) @[exu_mul_ctl.scala 137:112] + node _T_15847 = add(_T_15846, _T_15820) @[exu_mul_ctl.scala 137:112] + node _T_15848 = add(_T_15847, _T_15821) @[exu_mul_ctl.scala 137:112] + node _T_15849 = add(_T_15848, _T_15822) @[exu_mul_ctl.scala 137:112] + node _T_15850 = add(_T_15849, _T_15823) @[exu_mul_ctl.scala 137:112] + node _T_15851 = add(_T_15850, _T_15824) @[exu_mul_ctl.scala 137:112] + node _T_15852 = add(_T_15851, _T_15825) @[exu_mul_ctl.scala 137:112] + node _T_15853 = add(_T_15852, _T_15826) @[exu_mul_ctl.scala 137:112] + node _T_15854 = add(_T_15853, _T_15827) @[exu_mul_ctl.scala 137:112] + node _T_15855 = add(_T_15854, _T_15828) @[exu_mul_ctl.scala 137:112] + node _T_15856 = eq(_T_15855, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15857 = bits(_T_15856, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15858 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_15859 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15860 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15861 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15862 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15863 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15864 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15865 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15866 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15867 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15868 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15869 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15870 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15871 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15872 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15873 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15874 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15875 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15876 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15877 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15878 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15879 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15880 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15881 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15882 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15883 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15884 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_15885 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_15886 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_15887 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_15888 = add(_T_15859, _T_15860) @[exu_mul_ctl.scala 137:112] + node _T_15889 = add(_T_15888, _T_15861) @[exu_mul_ctl.scala 137:112] + node _T_15890 = add(_T_15889, _T_15862) @[exu_mul_ctl.scala 137:112] + node _T_15891 = add(_T_15890, _T_15863) @[exu_mul_ctl.scala 137:112] + node _T_15892 = add(_T_15891, _T_15864) @[exu_mul_ctl.scala 137:112] + node _T_15893 = add(_T_15892, _T_15865) @[exu_mul_ctl.scala 137:112] + node _T_15894 = add(_T_15893, _T_15866) @[exu_mul_ctl.scala 137:112] + node _T_15895 = add(_T_15894, _T_15867) @[exu_mul_ctl.scala 137:112] + node _T_15896 = add(_T_15895, _T_15868) @[exu_mul_ctl.scala 137:112] + node _T_15897 = add(_T_15896, _T_15869) @[exu_mul_ctl.scala 137:112] + node _T_15898 = add(_T_15897, _T_15870) @[exu_mul_ctl.scala 137:112] + node _T_15899 = add(_T_15898, _T_15871) @[exu_mul_ctl.scala 137:112] + node _T_15900 = add(_T_15899, _T_15872) @[exu_mul_ctl.scala 137:112] + node _T_15901 = add(_T_15900, _T_15873) @[exu_mul_ctl.scala 137:112] + node _T_15902 = add(_T_15901, _T_15874) @[exu_mul_ctl.scala 137:112] + node _T_15903 = add(_T_15902, _T_15875) @[exu_mul_ctl.scala 137:112] + node _T_15904 = add(_T_15903, _T_15876) @[exu_mul_ctl.scala 137:112] + node _T_15905 = add(_T_15904, _T_15877) @[exu_mul_ctl.scala 137:112] + node _T_15906 = add(_T_15905, _T_15878) @[exu_mul_ctl.scala 137:112] + node _T_15907 = add(_T_15906, _T_15879) @[exu_mul_ctl.scala 137:112] + node _T_15908 = add(_T_15907, _T_15880) @[exu_mul_ctl.scala 137:112] + node _T_15909 = add(_T_15908, _T_15881) @[exu_mul_ctl.scala 137:112] + node _T_15910 = add(_T_15909, _T_15882) @[exu_mul_ctl.scala 137:112] + node _T_15911 = add(_T_15910, _T_15883) @[exu_mul_ctl.scala 137:112] + node _T_15912 = add(_T_15911, _T_15884) @[exu_mul_ctl.scala 137:112] + node _T_15913 = add(_T_15912, _T_15885) @[exu_mul_ctl.scala 137:112] + node _T_15914 = add(_T_15913, _T_15886) @[exu_mul_ctl.scala 137:112] + node _T_15915 = add(_T_15914, _T_15887) @[exu_mul_ctl.scala 137:112] + node _T_15916 = eq(_T_15915, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15917 = bits(_T_15916, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15918 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_15919 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15920 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15921 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15922 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15923 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15924 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15925 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15926 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15927 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15928 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15929 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15930 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15931 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15932 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15933 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15934 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15935 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15936 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15937 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15938 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15939 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15940 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15941 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15942 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15943 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15944 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_15945 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_15946 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_15947 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_15948 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_15949 = add(_T_15919, _T_15920) @[exu_mul_ctl.scala 137:112] + node _T_15950 = add(_T_15949, _T_15921) @[exu_mul_ctl.scala 137:112] + node _T_15951 = add(_T_15950, _T_15922) @[exu_mul_ctl.scala 137:112] + node _T_15952 = add(_T_15951, _T_15923) @[exu_mul_ctl.scala 137:112] + node _T_15953 = add(_T_15952, _T_15924) @[exu_mul_ctl.scala 137:112] + node _T_15954 = add(_T_15953, _T_15925) @[exu_mul_ctl.scala 137:112] + node _T_15955 = add(_T_15954, _T_15926) @[exu_mul_ctl.scala 137:112] + node _T_15956 = add(_T_15955, _T_15927) @[exu_mul_ctl.scala 137:112] + node _T_15957 = add(_T_15956, _T_15928) @[exu_mul_ctl.scala 137:112] + node _T_15958 = add(_T_15957, _T_15929) @[exu_mul_ctl.scala 137:112] + node _T_15959 = add(_T_15958, _T_15930) @[exu_mul_ctl.scala 137:112] + node _T_15960 = add(_T_15959, _T_15931) @[exu_mul_ctl.scala 137:112] + node _T_15961 = add(_T_15960, _T_15932) @[exu_mul_ctl.scala 137:112] + node _T_15962 = add(_T_15961, _T_15933) @[exu_mul_ctl.scala 137:112] + node _T_15963 = add(_T_15962, _T_15934) @[exu_mul_ctl.scala 137:112] + node _T_15964 = add(_T_15963, _T_15935) @[exu_mul_ctl.scala 137:112] + node _T_15965 = add(_T_15964, _T_15936) @[exu_mul_ctl.scala 137:112] + node _T_15966 = add(_T_15965, _T_15937) @[exu_mul_ctl.scala 137:112] + node _T_15967 = add(_T_15966, _T_15938) @[exu_mul_ctl.scala 137:112] + node _T_15968 = add(_T_15967, _T_15939) @[exu_mul_ctl.scala 137:112] + node _T_15969 = add(_T_15968, _T_15940) @[exu_mul_ctl.scala 137:112] + node _T_15970 = add(_T_15969, _T_15941) @[exu_mul_ctl.scala 137:112] + node _T_15971 = add(_T_15970, _T_15942) @[exu_mul_ctl.scala 137:112] + node _T_15972 = add(_T_15971, _T_15943) @[exu_mul_ctl.scala 137:112] + node _T_15973 = add(_T_15972, _T_15944) @[exu_mul_ctl.scala 137:112] + node _T_15974 = add(_T_15973, _T_15945) @[exu_mul_ctl.scala 137:112] + node _T_15975 = add(_T_15974, _T_15946) @[exu_mul_ctl.scala 137:112] + node _T_15976 = add(_T_15975, _T_15947) @[exu_mul_ctl.scala 137:112] + node _T_15977 = add(_T_15976, _T_15948) @[exu_mul_ctl.scala 137:112] + node _T_15978 = eq(_T_15977, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15979 = bits(_T_15978, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15980 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_15981 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15982 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15983 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15984 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15985 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15986 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15987 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15988 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15989 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15990 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15991 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15992 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15993 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15994 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15995 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15996 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15997 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15998 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15999 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16000 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16001 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16002 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16003 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16004 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16005 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16006 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_16007 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_16008 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_16009 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_16010 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_16011 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_16012 = add(_T_15981, _T_15982) @[exu_mul_ctl.scala 137:112] + node _T_16013 = add(_T_16012, _T_15983) @[exu_mul_ctl.scala 137:112] + node _T_16014 = add(_T_16013, _T_15984) @[exu_mul_ctl.scala 137:112] + node _T_16015 = add(_T_16014, _T_15985) @[exu_mul_ctl.scala 137:112] + node _T_16016 = add(_T_16015, _T_15986) @[exu_mul_ctl.scala 137:112] + node _T_16017 = add(_T_16016, _T_15987) @[exu_mul_ctl.scala 137:112] + node _T_16018 = add(_T_16017, _T_15988) @[exu_mul_ctl.scala 137:112] + node _T_16019 = add(_T_16018, _T_15989) @[exu_mul_ctl.scala 137:112] + node _T_16020 = add(_T_16019, _T_15990) @[exu_mul_ctl.scala 137:112] + node _T_16021 = add(_T_16020, _T_15991) @[exu_mul_ctl.scala 137:112] + node _T_16022 = add(_T_16021, _T_15992) @[exu_mul_ctl.scala 137:112] + node _T_16023 = add(_T_16022, _T_15993) @[exu_mul_ctl.scala 137:112] + node _T_16024 = add(_T_16023, _T_15994) @[exu_mul_ctl.scala 137:112] + node _T_16025 = add(_T_16024, _T_15995) @[exu_mul_ctl.scala 137:112] + node _T_16026 = add(_T_16025, _T_15996) @[exu_mul_ctl.scala 137:112] + node _T_16027 = add(_T_16026, _T_15997) @[exu_mul_ctl.scala 137:112] + node _T_16028 = add(_T_16027, _T_15998) @[exu_mul_ctl.scala 137:112] + node _T_16029 = add(_T_16028, _T_15999) @[exu_mul_ctl.scala 137:112] + node _T_16030 = add(_T_16029, _T_16000) @[exu_mul_ctl.scala 137:112] + node _T_16031 = add(_T_16030, _T_16001) @[exu_mul_ctl.scala 137:112] + node _T_16032 = add(_T_16031, _T_16002) @[exu_mul_ctl.scala 137:112] + node _T_16033 = add(_T_16032, _T_16003) @[exu_mul_ctl.scala 137:112] + node _T_16034 = add(_T_16033, _T_16004) @[exu_mul_ctl.scala 137:112] + node _T_16035 = add(_T_16034, _T_16005) @[exu_mul_ctl.scala 137:112] + node _T_16036 = add(_T_16035, _T_16006) @[exu_mul_ctl.scala 137:112] + node _T_16037 = add(_T_16036, _T_16007) @[exu_mul_ctl.scala 137:112] + node _T_16038 = add(_T_16037, _T_16008) @[exu_mul_ctl.scala 137:112] + node _T_16039 = add(_T_16038, _T_16009) @[exu_mul_ctl.scala 137:112] + node _T_16040 = add(_T_16039, _T_16010) @[exu_mul_ctl.scala 137:112] + node _T_16041 = add(_T_16040, _T_16011) @[exu_mul_ctl.scala 137:112] + node _T_16042 = eq(_T_16041, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_16043 = bits(_T_16042, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16044 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_16045 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16046 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16047 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16048 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16049 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16050 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16051 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16052 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16053 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16054 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16055 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16056 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16057 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16058 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16059 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16060 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16061 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16062 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16063 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16064 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16065 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16066 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16067 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16068 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16069 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16070 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_16071 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_16072 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_16073 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_16074 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_16075 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_16076 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_16077 = add(_T_16045, _T_16046) @[exu_mul_ctl.scala 137:112] + node _T_16078 = add(_T_16077, _T_16047) @[exu_mul_ctl.scala 137:112] + node _T_16079 = add(_T_16078, _T_16048) @[exu_mul_ctl.scala 137:112] + node _T_16080 = add(_T_16079, _T_16049) @[exu_mul_ctl.scala 137:112] + node _T_16081 = add(_T_16080, _T_16050) @[exu_mul_ctl.scala 137:112] + node _T_16082 = add(_T_16081, _T_16051) @[exu_mul_ctl.scala 137:112] + node _T_16083 = add(_T_16082, _T_16052) @[exu_mul_ctl.scala 137:112] + node _T_16084 = add(_T_16083, _T_16053) @[exu_mul_ctl.scala 137:112] + node _T_16085 = add(_T_16084, _T_16054) @[exu_mul_ctl.scala 137:112] + node _T_16086 = add(_T_16085, _T_16055) @[exu_mul_ctl.scala 137:112] + node _T_16087 = add(_T_16086, _T_16056) @[exu_mul_ctl.scala 137:112] + node _T_16088 = add(_T_16087, _T_16057) @[exu_mul_ctl.scala 137:112] + node _T_16089 = add(_T_16088, _T_16058) @[exu_mul_ctl.scala 137:112] + node _T_16090 = add(_T_16089, _T_16059) @[exu_mul_ctl.scala 137:112] + node _T_16091 = add(_T_16090, _T_16060) @[exu_mul_ctl.scala 137:112] + node _T_16092 = add(_T_16091, _T_16061) @[exu_mul_ctl.scala 137:112] + node _T_16093 = add(_T_16092, _T_16062) @[exu_mul_ctl.scala 137:112] + node _T_16094 = add(_T_16093, _T_16063) @[exu_mul_ctl.scala 137:112] + node _T_16095 = add(_T_16094, _T_16064) @[exu_mul_ctl.scala 137:112] + node _T_16096 = add(_T_16095, _T_16065) @[exu_mul_ctl.scala 137:112] + node _T_16097 = add(_T_16096, _T_16066) @[exu_mul_ctl.scala 137:112] + node _T_16098 = add(_T_16097, _T_16067) @[exu_mul_ctl.scala 137:112] + node _T_16099 = add(_T_16098, _T_16068) @[exu_mul_ctl.scala 137:112] + node _T_16100 = add(_T_16099, _T_16069) @[exu_mul_ctl.scala 137:112] + node _T_16101 = add(_T_16100, _T_16070) @[exu_mul_ctl.scala 137:112] + node _T_16102 = add(_T_16101, _T_16071) @[exu_mul_ctl.scala 137:112] + node _T_16103 = add(_T_16102, _T_16072) @[exu_mul_ctl.scala 137:112] + node _T_16104 = add(_T_16103, _T_16073) @[exu_mul_ctl.scala 137:112] + node _T_16105 = add(_T_16104, _T_16074) @[exu_mul_ctl.scala 137:112] + node _T_16106 = add(_T_16105, _T_16075) @[exu_mul_ctl.scala 137:112] + node _T_16107 = add(_T_16106, _T_16076) @[exu_mul_ctl.scala 137:112] + node _T_16108 = eq(_T_16107, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_16109 = bits(_T_16108, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16110 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_16111 = mux(_T_16109, _T_16110, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_16112 = mux(_T_16043, _T_16044, _T_16111) @[Mux.scala 98:16] + node _T_16113 = mux(_T_15979, _T_15980, _T_16112) @[Mux.scala 98:16] + node _T_16114 = mux(_T_15917, _T_15918, _T_16113) @[Mux.scala 98:16] + node _T_16115 = mux(_T_15857, _T_15858, _T_16114) @[Mux.scala 98:16] + node _T_16116 = mux(_T_15799, _T_15800, _T_16115) @[Mux.scala 98:16] + node _T_16117 = mux(_T_15743, _T_15744, _T_16116) @[Mux.scala 98:16] + node _T_16118 = mux(_T_15689, _T_15690, _T_16117) @[Mux.scala 98:16] + node _T_16119 = mux(_T_15637, _T_15638, _T_16118) @[Mux.scala 98:16] + node _T_16120 = mux(_T_15587, _T_15588, _T_16119) @[Mux.scala 98:16] + node _T_16121 = mux(_T_15539, _T_15540, _T_16120) @[Mux.scala 98:16] + node _T_16122 = mux(_T_15493, _T_15494, _T_16121) @[Mux.scala 98:16] + node _T_16123 = mux(_T_15449, _T_15450, _T_16122) @[Mux.scala 98:16] + node _T_16124 = mux(_T_15407, _T_15408, _T_16123) @[Mux.scala 98:16] + node _T_16125 = mux(_T_15367, _T_15368, _T_16124) @[Mux.scala 98:16] + node _T_16126 = mux(_T_15329, _T_15330, _T_16125) @[Mux.scala 98:16] + node _T_16127 = mux(_T_15293, _T_15294, _T_16126) @[Mux.scala 98:16] + node _T_16128 = mux(_T_15259, _T_15260, _T_16127) @[Mux.scala 98:16] + node _T_16129 = mux(_T_15227, _T_15228, _T_16128) @[Mux.scala 98:16] + node _T_16130 = mux(_T_15197, _T_15198, _T_16129) @[Mux.scala 98:16] + node _T_16131 = mux(_T_15169, _T_15170, _T_16130) @[Mux.scala 98:16] + node _T_16132 = mux(_T_15143, _T_15144, _T_16131) @[Mux.scala 98:16] + node _T_16133 = mux(_T_15119, _T_15120, _T_16132) @[Mux.scala 98:16] + node _T_16134 = mux(_T_15097, _T_15098, _T_16133) @[Mux.scala 98:16] + node _T_16135 = mux(_T_15077, _T_15078, _T_16134) @[Mux.scala 98:16] + node _T_16136 = mux(_T_15059, _T_15060, _T_16135) @[Mux.scala 98:16] + node _T_16137 = mux(_T_15043, _T_15044, _T_16136) @[Mux.scala 98:16] + node _T_16138 = mux(_T_15029, _T_15030, _T_16137) @[Mux.scala 98:16] + node _T_16139 = mux(_T_15017, _T_15018, _T_16138) @[Mux.scala 98:16] + node _T_16140 = mux(_T_15007, _T_15008, _T_16139) @[Mux.scala 98:16] + node _T_16141 = mux(_T_14999, _T_15000, _T_16140) @[Mux.scala 98:16] + node _T_16142 = mux(_T_14993, _T_14994, _T_16141) @[Mux.scala 98:16] + node _T_16143 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_16144 = eq(_T_16143, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16145 = bits(_T_16144, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16146 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_16147 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16148 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16149 = add(_T_16147, _T_16148) @[exu_mul_ctl.scala 137:112] + node _T_16150 = eq(_T_16149, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16151 = bits(_T_16150, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16152 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_16153 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16154 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16155 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16156 = add(_T_16153, _T_16154) @[exu_mul_ctl.scala 137:112] + node _T_16157 = add(_T_16156, _T_16155) @[exu_mul_ctl.scala 137:112] + node _T_16158 = eq(_T_16157, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16159 = bits(_T_16158, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16160 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_16161 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16162 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16163 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16164 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16165 = add(_T_16161, _T_16162) @[exu_mul_ctl.scala 137:112] + node _T_16166 = add(_T_16165, _T_16163) @[exu_mul_ctl.scala 137:112] + node _T_16167 = add(_T_16166, _T_16164) @[exu_mul_ctl.scala 137:112] + node _T_16168 = eq(_T_16167, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16169 = bits(_T_16168, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16170 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_16171 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16172 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16173 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16174 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16175 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16176 = add(_T_16171, _T_16172) @[exu_mul_ctl.scala 137:112] + node _T_16177 = add(_T_16176, _T_16173) @[exu_mul_ctl.scala 137:112] + node _T_16178 = add(_T_16177, _T_16174) @[exu_mul_ctl.scala 137:112] + node _T_16179 = add(_T_16178, _T_16175) @[exu_mul_ctl.scala 137:112] + node _T_16180 = eq(_T_16179, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16181 = bits(_T_16180, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16182 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_16183 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16184 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16185 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16186 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16187 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16188 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16189 = add(_T_16183, _T_16184) @[exu_mul_ctl.scala 137:112] + node _T_16190 = add(_T_16189, _T_16185) @[exu_mul_ctl.scala 137:112] + node _T_16191 = add(_T_16190, _T_16186) @[exu_mul_ctl.scala 137:112] + node _T_16192 = add(_T_16191, _T_16187) @[exu_mul_ctl.scala 137:112] + node _T_16193 = add(_T_16192, _T_16188) @[exu_mul_ctl.scala 137:112] + node _T_16194 = eq(_T_16193, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16195 = bits(_T_16194, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16196 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_16197 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16198 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16199 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16200 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16201 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16202 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16203 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16204 = add(_T_16197, _T_16198) @[exu_mul_ctl.scala 137:112] + node _T_16205 = add(_T_16204, _T_16199) @[exu_mul_ctl.scala 137:112] + node _T_16206 = add(_T_16205, _T_16200) @[exu_mul_ctl.scala 137:112] + node _T_16207 = add(_T_16206, _T_16201) @[exu_mul_ctl.scala 137:112] + node _T_16208 = add(_T_16207, _T_16202) @[exu_mul_ctl.scala 137:112] + node _T_16209 = add(_T_16208, _T_16203) @[exu_mul_ctl.scala 137:112] + node _T_16210 = eq(_T_16209, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16211 = bits(_T_16210, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16212 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_16213 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16214 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16215 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16216 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16217 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16218 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16219 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16220 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16221 = add(_T_16213, _T_16214) @[exu_mul_ctl.scala 137:112] + node _T_16222 = add(_T_16221, _T_16215) @[exu_mul_ctl.scala 137:112] + node _T_16223 = add(_T_16222, _T_16216) @[exu_mul_ctl.scala 137:112] + node _T_16224 = add(_T_16223, _T_16217) @[exu_mul_ctl.scala 137:112] + node _T_16225 = add(_T_16224, _T_16218) @[exu_mul_ctl.scala 137:112] + node _T_16226 = add(_T_16225, _T_16219) @[exu_mul_ctl.scala 137:112] + node _T_16227 = add(_T_16226, _T_16220) @[exu_mul_ctl.scala 137:112] + node _T_16228 = eq(_T_16227, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16229 = bits(_T_16228, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16230 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_16231 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16232 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16233 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16234 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16235 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16236 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16237 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16238 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16239 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16240 = add(_T_16231, _T_16232) @[exu_mul_ctl.scala 137:112] + node _T_16241 = add(_T_16240, _T_16233) @[exu_mul_ctl.scala 137:112] + node _T_16242 = add(_T_16241, _T_16234) @[exu_mul_ctl.scala 137:112] + node _T_16243 = add(_T_16242, _T_16235) @[exu_mul_ctl.scala 137:112] + node _T_16244 = add(_T_16243, _T_16236) @[exu_mul_ctl.scala 137:112] + node _T_16245 = add(_T_16244, _T_16237) @[exu_mul_ctl.scala 137:112] + node _T_16246 = add(_T_16245, _T_16238) @[exu_mul_ctl.scala 137:112] + node _T_16247 = add(_T_16246, _T_16239) @[exu_mul_ctl.scala 137:112] + node _T_16248 = eq(_T_16247, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16249 = bits(_T_16248, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16250 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_16251 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16252 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16253 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16254 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16255 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16256 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16257 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16258 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16259 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16260 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16261 = add(_T_16251, _T_16252) @[exu_mul_ctl.scala 137:112] + node _T_16262 = add(_T_16261, _T_16253) @[exu_mul_ctl.scala 137:112] + node _T_16263 = add(_T_16262, _T_16254) @[exu_mul_ctl.scala 137:112] + node _T_16264 = add(_T_16263, _T_16255) @[exu_mul_ctl.scala 137:112] + node _T_16265 = add(_T_16264, _T_16256) @[exu_mul_ctl.scala 137:112] + node _T_16266 = add(_T_16265, _T_16257) @[exu_mul_ctl.scala 137:112] + node _T_16267 = add(_T_16266, _T_16258) @[exu_mul_ctl.scala 137:112] + node _T_16268 = add(_T_16267, _T_16259) @[exu_mul_ctl.scala 137:112] + node _T_16269 = add(_T_16268, _T_16260) @[exu_mul_ctl.scala 137:112] + node _T_16270 = eq(_T_16269, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16271 = bits(_T_16270, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16272 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_16273 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16274 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16275 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16276 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16277 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16278 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16279 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16280 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16281 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16282 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16283 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16284 = add(_T_16273, _T_16274) @[exu_mul_ctl.scala 137:112] + node _T_16285 = add(_T_16284, _T_16275) @[exu_mul_ctl.scala 137:112] + node _T_16286 = add(_T_16285, _T_16276) @[exu_mul_ctl.scala 137:112] + node _T_16287 = add(_T_16286, _T_16277) @[exu_mul_ctl.scala 137:112] + node _T_16288 = add(_T_16287, _T_16278) @[exu_mul_ctl.scala 137:112] + node _T_16289 = add(_T_16288, _T_16279) @[exu_mul_ctl.scala 137:112] + node _T_16290 = add(_T_16289, _T_16280) @[exu_mul_ctl.scala 137:112] + node _T_16291 = add(_T_16290, _T_16281) @[exu_mul_ctl.scala 137:112] + node _T_16292 = add(_T_16291, _T_16282) @[exu_mul_ctl.scala 137:112] + node _T_16293 = add(_T_16292, _T_16283) @[exu_mul_ctl.scala 137:112] + node _T_16294 = eq(_T_16293, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16295 = bits(_T_16294, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16296 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_16297 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16298 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16299 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16300 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16301 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16302 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16303 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16304 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16305 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16306 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16307 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16308 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16309 = add(_T_16297, _T_16298) @[exu_mul_ctl.scala 137:112] + node _T_16310 = add(_T_16309, _T_16299) @[exu_mul_ctl.scala 137:112] + node _T_16311 = add(_T_16310, _T_16300) @[exu_mul_ctl.scala 137:112] + node _T_16312 = add(_T_16311, _T_16301) @[exu_mul_ctl.scala 137:112] + node _T_16313 = add(_T_16312, _T_16302) @[exu_mul_ctl.scala 137:112] + node _T_16314 = add(_T_16313, _T_16303) @[exu_mul_ctl.scala 137:112] + node _T_16315 = add(_T_16314, _T_16304) @[exu_mul_ctl.scala 137:112] + node _T_16316 = add(_T_16315, _T_16305) @[exu_mul_ctl.scala 137:112] + node _T_16317 = add(_T_16316, _T_16306) @[exu_mul_ctl.scala 137:112] + node _T_16318 = add(_T_16317, _T_16307) @[exu_mul_ctl.scala 137:112] + node _T_16319 = add(_T_16318, _T_16308) @[exu_mul_ctl.scala 137:112] + node _T_16320 = eq(_T_16319, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16321 = bits(_T_16320, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16322 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_16323 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16324 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16325 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16326 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16327 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16328 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16329 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16330 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16331 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16332 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16333 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16334 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16335 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16336 = add(_T_16323, _T_16324) @[exu_mul_ctl.scala 137:112] + node _T_16337 = add(_T_16336, _T_16325) @[exu_mul_ctl.scala 137:112] + node _T_16338 = add(_T_16337, _T_16326) @[exu_mul_ctl.scala 137:112] + node _T_16339 = add(_T_16338, _T_16327) @[exu_mul_ctl.scala 137:112] + node _T_16340 = add(_T_16339, _T_16328) @[exu_mul_ctl.scala 137:112] + node _T_16341 = add(_T_16340, _T_16329) @[exu_mul_ctl.scala 137:112] + node _T_16342 = add(_T_16341, _T_16330) @[exu_mul_ctl.scala 137:112] + node _T_16343 = add(_T_16342, _T_16331) @[exu_mul_ctl.scala 137:112] + node _T_16344 = add(_T_16343, _T_16332) @[exu_mul_ctl.scala 137:112] + node _T_16345 = add(_T_16344, _T_16333) @[exu_mul_ctl.scala 137:112] + node _T_16346 = add(_T_16345, _T_16334) @[exu_mul_ctl.scala 137:112] + node _T_16347 = add(_T_16346, _T_16335) @[exu_mul_ctl.scala 137:112] + node _T_16348 = eq(_T_16347, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16349 = bits(_T_16348, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16350 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_16351 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16352 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16353 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16354 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16355 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16356 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16357 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16358 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16359 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16360 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16361 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16362 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16363 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16364 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16365 = add(_T_16351, _T_16352) @[exu_mul_ctl.scala 137:112] + node _T_16366 = add(_T_16365, _T_16353) @[exu_mul_ctl.scala 137:112] + node _T_16367 = add(_T_16366, _T_16354) @[exu_mul_ctl.scala 137:112] + node _T_16368 = add(_T_16367, _T_16355) @[exu_mul_ctl.scala 137:112] + node _T_16369 = add(_T_16368, _T_16356) @[exu_mul_ctl.scala 137:112] + node _T_16370 = add(_T_16369, _T_16357) @[exu_mul_ctl.scala 137:112] + node _T_16371 = add(_T_16370, _T_16358) @[exu_mul_ctl.scala 137:112] + node _T_16372 = add(_T_16371, _T_16359) @[exu_mul_ctl.scala 137:112] + node _T_16373 = add(_T_16372, _T_16360) @[exu_mul_ctl.scala 137:112] + node _T_16374 = add(_T_16373, _T_16361) @[exu_mul_ctl.scala 137:112] + node _T_16375 = add(_T_16374, _T_16362) @[exu_mul_ctl.scala 137:112] + node _T_16376 = add(_T_16375, _T_16363) @[exu_mul_ctl.scala 137:112] + node _T_16377 = add(_T_16376, _T_16364) @[exu_mul_ctl.scala 137:112] + node _T_16378 = eq(_T_16377, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16379 = bits(_T_16378, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16380 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_16381 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16382 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16383 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16384 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16385 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16386 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16387 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16388 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16389 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16390 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16391 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16392 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16393 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16394 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16395 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16396 = add(_T_16381, _T_16382) @[exu_mul_ctl.scala 137:112] + node _T_16397 = add(_T_16396, _T_16383) @[exu_mul_ctl.scala 137:112] + node _T_16398 = add(_T_16397, _T_16384) @[exu_mul_ctl.scala 137:112] + node _T_16399 = add(_T_16398, _T_16385) @[exu_mul_ctl.scala 137:112] + node _T_16400 = add(_T_16399, _T_16386) @[exu_mul_ctl.scala 137:112] + node _T_16401 = add(_T_16400, _T_16387) @[exu_mul_ctl.scala 137:112] + node _T_16402 = add(_T_16401, _T_16388) @[exu_mul_ctl.scala 137:112] + node _T_16403 = add(_T_16402, _T_16389) @[exu_mul_ctl.scala 137:112] + node _T_16404 = add(_T_16403, _T_16390) @[exu_mul_ctl.scala 137:112] + node _T_16405 = add(_T_16404, _T_16391) @[exu_mul_ctl.scala 137:112] + node _T_16406 = add(_T_16405, _T_16392) @[exu_mul_ctl.scala 137:112] + node _T_16407 = add(_T_16406, _T_16393) @[exu_mul_ctl.scala 137:112] + node _T_16408 = add(_T_16407, _T_16394) @[exu_mul_ctl.scala 137:112] + node _T_16409 = add(_T_16408, _T_16395) @[exu_mul_ctl.scala 137:112] + node _T_16410 = eq(_T_16409, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16411 = bits(_T_16410, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16412 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_16413 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16414 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16415 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16416 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16417 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16418 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16419 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16420 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16421 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16422 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16423 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16424 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16425 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16426 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16427 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16428 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16429 = add(_T_16413, _T_16414) @[exu_mul_ctl.scala 137:112] + node _T_16430 = add(_T_16429, _T_16415) @[exu_mul_ctl.scala 137:112] + node _T_16431 = add(_T_16430, _T_16416) @[exu_mul_ctl.scala 137:112] + node _T_16432 = add(_T_16431, _T_16417) @[exu_mul_ctl.scala 137:112] + node _T_16433 = add(_T_16432, _T_16418) @[exu_mul_ctl.scala 137:112] + node _T_16434 = add(_T_16433, _T_16419) @[exu_mul_ctl.scala 137:112] + node _T_16435 = add(_T_16434, _T_16420) @[exu_mul_ctl.scala 137:112] + node _T_16436 = add(_T_16435, _T_16421) @[exu_mul_ctl.scala 137:112] + node _T_16437 = add(_T_16436, _T_16422) @[exu_mul_ctl.scala 137:112] + node _T_16438 = add(_T_16437, _T_16423) @[exu_mul_ctl.scala 137:112] + node _T_16439 = add(_T_16438, _T_16424) @[exu_mul_ctl.scala 137:112] + node _T_16440 = add(_T_16439, _T_16425) @[exu_mul_ctl.scala 137:112] + node _T_16441 = add(_T_16440, _T_16426) @[exu_mul_ctl.scala 137:112] + node _T_16442 = add(_T_16441, _T_16427) @[exu_mul_ctl.scala 137:112] + node _T_16443 = add(_T_16442, _T_16428) @[exu_mul_ctl.scala 137:112] + node _T_16444 = eq(_T_16443, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16445 = bits(_T_16444, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16446 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_16447 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16448 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16449 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16450 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16451 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16452 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16453 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16454 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16455 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16456 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16457 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16458 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16459 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16460 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16461 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16462 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16463 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16464 = add(_T_16447, _T_16448) @[exu_mul_ctl.scala 137:112] + node _T_16465 = add(_T_16464, _T_16449) @[exu_mul_ctl.scala 137:112] + node _T_16466 = add(_T_16465, _T_16450) @[exu_mul_ctl.scala 137:112] + node _T_16467 = add(_T_16466, _T_16451) @[exu_mul_ctl.scala 137:112] + node _T_16468 = add(_T_16467, _T_16452) @[exu_mul_ctl.scala 137:112] + node _T_16469 = add(_T_16468, _T_16453) @[exu_mul_ctl.scala 137:112] + node _T_16470 = add(_T_16469, _T_16454) @[exu_mul_ctl.scala 137:112] + node _T_16471 = add(_T_16470, _T_16455) @[exu_mul_ctl.scala 137:112] + node _T_16472 = add(_T_16471, _T_16456) @[exu_mul_ctl.scala 137:112] + node _T_16473 = add(_T_16472, _T_16457) @[exu_mul_ctl.scala 137:112] + node _T_16474 = add(_T_16473, _T_16458) @[exu_mul_ctl.scala 137:112] + node _T_16475 = add(_T_16474, _T_16459) @[exu_mul_ctl.scala 137:112] + node _T_16476 = add(_T_16475, _T_16460) @[exu_mul_ctl.scala 137:112] + node _T_16477 = add(_T_16476, _T_16461) @[exu_mul_ctl.scala 137:112] + node _T_16478 = add(_T_16477, _T_16462) @[exu_mul_ctl.scala 137:112] + node _T_16479 = add(_T_16478, _T_16463) @[exu_mul_ctl.scala 137:112] + node _T_16480 = eq(_T_16479, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16481 = bits(_T_16480, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16482 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_16483 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16484 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16485 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16486 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16487 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16488 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16489 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16490 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16491 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16492 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16493 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16494 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16495 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16496 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16497 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16498 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16499 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16500 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16501 = add(_T_16483, _T_16484) @[exu_mul_ctl.scala 137:112] + node _T_16502 = add(_T_16501, _T_16485) @[exu_mul_ctl.scala 137:112] + node _T_16503 = add(_T_16502, _T_16486) @[exu_mul_ctl.scala 137:112] + node _T_16504 = add(_T_16503, _T_16487) @[exu_mul_ctl.scala 137:112] + node _T_16505 = add(_T_16504, _T_16488) @[exu_mul_ctl.scala 137:112] + node _T_16506 = add(_T_16505, _T_16489) @[exu_mul_ctl.scala 137:112] + node _T_16507 = add(_T_16506, _T_16490) @[exu_mul_ctl.scala 137:112] + node _T_16508 = add(_T_16507, _T_16491) @[exu_mul_ctl.scala 137:112] + node _T_16509 = add(_T_16508, _T_16492) @[exu_mul_ctl.scala 137:112] + node _T_16510 = add(_T_16509, _T_16493) @[exu_mul_ctl.scala 137:112] + node _T_16511 = add(_T_16510, _T_16494) @[exu_mul_ctl.scala 137:112] + node _T_16512 = add(_T_16511, _T_16495) @[exu_mul_ctl.scala 137:112] + node _T_16513 = add(_T_16512, _T_16496) @[exu_mul_ctl.scala 137:112] + node _T_16514 = add(_T_16513, _T_16497) @[exu_mul_ctl.scala 137:112] + node _T_16515 = add(_T_16514, _T_16498) @[exu_mul_ctl.scala 137:112] + node _T_16516 = add(_T_16515, _T_16499) @[exu_mul_ctl.scala 137:112] + node _T_16517 = add(_T_16516, _T_16500) @[exu_mul_ctl.scala 137:112] + node _T_16518 = eq(_T_16517, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16519 = bits(_T_16518, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16520 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_16521 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16522 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16523 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16524 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16525 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16526 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16527 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16528 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16529 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16530 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16531 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16532 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16533 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16534 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16535 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16536 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16537 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16538 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16539 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16540 = add(_T_16521, _T_16522) @[exu_mul_ctl.scala 137:112] + node _T_16541 = add(_T_16540, _T_16523) @[exu_mul_ctl.scala 137:112] + node _T_16542 = add(_T_16541, _T_16524) @[exu_mul_ctl.scala 137:112] + node _T_16543 = add(_T_16542, _T_16525) @[exu_mul_ctl.scala 137:112] + node _T_16544 = add(_T_16543, _T_16526) @[exu_mul_ctl.scala 137:112] + node _T_16545 = add(_T_16544, _T_16527) @[exu_mul_ctl.scala 137:112] + node _T_16546 = add(_T_16545, _T_16528) @[exu_mul_ctl.scala 137:112] + node _T_16547 = add(_T_16546, _T_16529) @[exu_mul_ctl.scala 137:112] + node _T_16548 = add(_T_16547, _T_16530) @[exu_mul_ctl.scala 137:112] + node _T_16549 = add(_T_16548, _T_16531) @[exu_mul_ctl.scala 137:112] + node _T_16550 = add(_T_16549, _T_16532) @[exu_mul_ctl.scala 137:112] + node _T_16551 = add(_T_16550, _T_16533) @[exu_mul_ctl.scala 137:112] + node _T_16552 = add(_T_16551, _T_16534) @[exu_mul_ctl.scala 137:112] + node _T_16553 = add(_T_16552, _T_16535) @[exu_mul_ctl.scala 137:112] + node _T_16554 = add(_T_16553, _T_16536) @[exu_mul_ctl.scala 137:112] + node _T_16555 = add(_T_16554, _T_16537) @[exu_mul_ctl.scala 137:112] + node _T_16556 = add(_T_16555, _T_16538) @[exu_mul_ctl.scala 137:112] + node _T_16557 = add(_T_16556, _T_16539) @[exu_mul_ctl.scala 137:112] + node _T_16558 = eq(_T_16557, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16559 = bits(_T_16558, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16560 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_16561 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16562 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16563 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16564 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16565 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16566 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16567 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16568 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16569 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16570 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16571 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16572 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16573 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16574 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16575 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16576 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16577 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16578 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16579 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16580 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16581 = add(_T_16561, _T_16562) @[exu_mul_ctl.scala 137:112] + node _T_16582 = add(_T_16581, _T_16563) @[exu_mul_ctl.scala 137:112] + node _T_16583 = add(_T_16582, _T_16564) @[exu_mul_ctl.scala 137:112] + node _T_16584 = add(_T_16583, _T_16565) @[exu_mul_ctl.scala 137:112] + node _T_16585 = add(_T_16584, _T_16566) @[exu_mul_ctl.scala 137:112] + node _T_16586 = add(_T_16585, _T_16567) @[exu_mul_ctl.scala 137:112] + node _T_16587 = add(_T_16586, _T_16568) @[exu_mul_ctl.scala 137:112] + node _T_16588 = add(_T_16587, _T_16569) @[exu_mul_ctl.scala 137:112] + node _T_16589 = add(_T_16588, _T_16570) @[exu_mul_ctl.scala 137:112] + node _T_16590 = add(_T_16589, _T_16571) @[exu_mul_ctl.scala 137:112] + node _T_16591 = add(_T_16590, _T_16572) @[exu_mul_ctl.scala 137:112] + node _T_16592 = add(_T_16591, _T_16573) @[exu_mul_ctl.scala 137:112] + node _T_16593 = add(_T_16592, _T_16574) @[exu_mul_ctl.scala 137:112] + node _T_16594 = add(_T_16593, _T_16575) @[exu_mul_ctl.scala 137:112] + node _T_16595 = add(_T_16594, _T_16576) @[exu_mul_ctl.scala 137:112] + node _T_16596 = add(_T_16595, _T_16577) @[exu_mul_ctl.scala 137:112] + node _T_16597 = add(_T_16596, _T_16578) @[exu_mul_ctl.scala 137:112] + node _T_16598 = add(_T_16597, _T_16579) @[exu_mul_ctl.scala 137:112] + node _T_16599 = add(_T_16598, _T_16580) @[exu_mul_ctl.scala 137:112] + node _T_16600 = eq(_T_16599, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16601 = bits(_T_16600, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16602 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_16603 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16604 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16605 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16606 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16607 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16608 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16609 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16610 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16611 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16612 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16613 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16614 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16615 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16616 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16617 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16618 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16619 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16620 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16621 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16622 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16623 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16624 = add(_T_16603, _T_16604) @[exu_mul_ctl.scala 137:112] + node _T_16625 = add(_T_16624, _T_16605) @[exu_mul_ctl.scala 137:112] + node _T_16626 = add(_T_16625, _T_16606) @[exu_mul_ctl.scala 137:112] + node _T_16627 = add(_T_16626, _T_16607) @[exu_mul_ctl.scala 137:112] + node _T_16628 = add(_T_16627, _T_16608) @[exu_mul_ctl.scala 137:112] + node _T_16629 = add(_T_16628, _T_16609) @[exu_mul_ctl.scala 137:112] + node _T_16630 = add(_T_16629, _T_16610) @[exu_mul_ctl.scala 137:112] + node _T_16631 = add(_T_16630, _T_16611) @[exu_mul_ctl.scala 137:112] + node _T_16632 = add(_T_16631, _T_16612) @[exu_mul_ctl.scala 137:112] + node _T_16633 = add(_T_16632, _T_16613) @[exu_mul_ctl.scala 137:112] + node _T_16634 = add(_T_16633, _T_16614) @[exu_mul_ctl.scala 137:112] + node _T_16635 = add(_T_16634, _T_16615) @[exu_mul_ctl.scala 137:112] + node _T_16636 = add(_T_16635, _T_16616) @[exu_mul_ctl.scala 137:112] + node _T_16637 = add(_T_16636, _T_16617) @[exu_mul_ctl.scala 137:112] + node _T_16638 = add(_T_16637, _T_16618) @[exu_mul_ctl.scala 137:112] + node _T_16639 = add(_T_16638, _T_16619) @[exu_mul_ctl.scala 137:112] + node _T_16640 = add(_T_16639, _T_16620) @[exu_mul_ctl.scala 137:112] + node _T_16641 = add(_T_16640, _T_16621) @[exu_mul_ctl.scala 137:112] + node _T_16642 = add(_T_16641, _T_16622) @[exu_mul_ctl.scala 137:112] + node _T_16643 = add(_T_16642, _T_16623) @[exu_mul_ctl.scala 137:112] + node _T_16644 = eq(_T_16643, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16645 = bits(_T_16644, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16646 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_16647 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16648 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16649 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16650 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16651 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16652 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16653 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16654 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16655 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16656 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16657 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16658 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16659 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16660 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16661 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16662 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16663 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16664 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16665 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16666 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16667 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16668 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16669 = add(_T_16647, _T_16648) @[exu_mul_ctl.scala 137:112] + node _T_16670 = add(_T_16669, _T_16649) @[exu_mul_ctl.scala 137:112] + node _T_16671 = add(_T_16670, _T_16650) @[exu_mul_ctl.scala 137:112] + node _T_16672 = add(_T_16671, _T_16651) @[exu_mul_ctl.scala 137:112] + node _T_16673 = add(_T_16672, _T_16652) @[exu_mul_ctl.scala 137:112] + node _T_16674 = add(_T_16673, _T_16653) @[exu_mul_ctl.scala 137:112] + node _T_16675 = add(_T_16674, _T_16654) @[exu_mul_ctl.scala 137:112] + node _T_16676 = add(_T_16675, _T_16655) @[exu_mul_ctl.scala 137:112] + node _T_16677 = add(_T_16676, _T_16656) @[exu_mul_ctl.scala 137:112] + node _T_16678 = add(_T_16677, _T_16657) @[exu_mul_ctl.scala 137:112] + node _T_16679 = add(_T_16678, _T_16658) @[exu_mul_ctl.scala 137:112] + node _T_16680 = add(_T_16679, _T_16659) @[exu_mul_ctl.scala 137:112] + node _T_16681 = add(_T_16680, _T_16660) @[exu_mul_ctl.scala 137:112] + node _T_16682 = add(_T_16681, _T_16661) @[exu_mul_ctl.scala 137:112] + node _T_16683 = add(_T_16682, _T_16662) @[exu_mul_ctl.scala 137:112] + node _T_16684 = add(_T_16683, _T_16663) @[exu_mul_ctl.scala 137:112] + node _T_16685 = add(_T_16684, _T_16664) @[exu_mul_ctl.scala 137:112] + node _T_16686 = add(_T_16685, _T_16665) @[exu_mul_ctl.scala 137:112] + node _T_16687 = add(_T_16686, _T_16666) @[exu_mul_ctl.scala 137:112] + node _T_16688 = add(_T_16687, _T_16667) @[exu_mul_ctl.scala 137:112] + node _T_16689 = add(_T_16688, _T_16668) @[exu_mul_ctl.scala 137:112] + node _T_16690 = eq(_T_16689, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16691 = bits(_T_16690, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16692 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_16693 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16694 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16695 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16696 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16697 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16698 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16699 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16700 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16701 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16702 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16703 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16704 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16705 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16706 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16707 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16708 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16709 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16710 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16711 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16712 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16713 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16714 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16715 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16716 = add(_T_16693, _T_16694) @[exu_mul_ctl.scala 137:112] + node _T_16717 = add(_T_16716, _T_16695) @[exu_mul_ctl.scala 137:112] + node _T_16718 = add(_T_16717, _T_16696) @[exu_mul_ctl.scala 137:112] + node _T_16719 = add(_T_16718, _T_16697) @[exu_mul_ctl.scala 137:112] + node _T_16720 = add(_T_16719, _T_16698) @[exu_mul_ctl.scala 137:112] + node _T_16721 = add(_T_16720, _T_16699) @[exu_mul_ctl.scala 137:112] + node _T_16722 = add(_T_16721, _T_16700) @[exu_mul_ctl.scala 137:112] + node _T_16723 = add(_T_16722, _T_16701) @[exu_mul_ctl.scala 137:112] + node _T_16724 = add(_T_16723, _T_16702) @[exu_mul_ctl.scala 137:112] + node _T_16725 = add(_T_16724, _T_16703) @[exu_mul_ctl.scala 137:112] + node _T_16726 = add(_T_16725, _T_16704) @[exu_mul_ctl.scala 137:112] + node _T_16727 = add(_T_16726, _T_16705) @[exu_mul_ctl.scala 137:112] + node _T_16728 = add(_T_16727, _T_16706) @[exu_mul_ctl.scala 137:112] + node _T_16729 = add(_T_16728, _T_16707) @[exu_mul_ctl.scala 137:112] + node _T_16730 = add(_T_16729, _T_16708) @[exu_mul_ctl.scala 137:112] + node _T_16731 = add(_T_16730, _T_16709) @[exu_mul_ctl.scala 137:112] + node _T_16732 = add(_T_16731, _T_16710) @[exu_mul_ctl.scala 137:112] + node _T_16733 = add(_T_16732, _T_16711) @[exu_mul_ctl.scala 137:112] + node _T_16734 = add(_T_16733, _T_16712) @[exu_mul_ctl.scala 137:112] + node _T_16735 = add(_T_16734, _T_16713) @[exu_mul_ctl.scala 137:112] + node _T_16736 = add(_T_16735, _T_16714) @[exu_mul_ctl.scala 137:112] + node _T_16737 = add(_T_16736, _T_16715) @[exu_mul_ctl.scala 137:112] + node _T_16738 = eq(_T_16737, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16739 = bits(_T_16738, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16740 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_16741 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16742 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16743 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16744 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16745 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16746 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16747 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16748 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16749 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16750 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16751 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16752 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16753 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16754 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16755 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16756 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16757 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16758 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16759 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16760 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16761 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16762 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16763 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16764 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16765 = add(_T_16741, _T_16742) @[exu_mul_ctl.scala 137:112] + node _T_16766 = add(_T_16765, _T_16743) @[exu_mul_ctl.scala 137:112] + node _T_16767 = add(_T_16766, _T_16744) @[exu_mul_ctl.scala 137:112] + node _T_16768 = add(_T_16767, _T_16745) @[exu_mul_ctl.scala 137:112] + node _T_16769 = add(_T_16768, _T_16746) @[exu_mul_ctl.scala 137:112] + node _T_16770 = add(_T_16769, _T_16747) @[exu_mul_ctl.scala 137:112] + node _T_16771 = add(_T_16770, _T_16748) @[exu_mul_ctl.scala 137:112] + node _T_16772 = add(_T_16771, _T_16749) @[exu_mul_ctl.scala 137:112] + node _T_16773 = add(_T_16772, _T_16750) @[exu_mul_ctl.scala 137:112] + node _T_16774 = add(_T_16773, _T_16751) @[exu_mul_ctl.scala 137:112] + node _T_16775 = add(_T_16774, _T_16752) @[exu_mul_ctl.scala 137:112] + node _T_16776 = add(_T_16775, _T_16753) @[exu_mul_ctl.scala 137:112] + node _T_16777 = add(_T_16776, _T_16754) @[exu_mul_ctl.scala 137:112] + node _T_16778 = add(_T_16777, _T_16755) @[exu_mul_ctl.scala 137:112] + node _T_16779 = add(_T_16778, _T_16756) @[exu_mul_ctl.scala 137:112] + node _T_16780 = add(_T_16779, _T_16757) @[exu_mul_ctl.scala 137:112] + node _T_16781 = add(_T_16780, _T_16758) @[exu_mul_ctl.scala 137:112] + node _T_16782 = add(_T_16781, _T_16759) @[exu_mul_ctl.scala 137:112] + node _T_16783 = add(_T_16782, _T_16760) @[exu_mul_ctl.scala 137:112] + node _T_16784 = add(_T_16783, _T_16761) @[exu_mul_ctl.scala 137:112] + node _T_16785 = add(_T_16784, _T_16762) @[exu_mul_ctl.scala 137:112] + node _T_16786 = add(_T_16785, _T_16763) @[exu_mul_ctl.scala 137:112] + node _T_16787 = add(_T_16786, _T_16764) @[exu_mul_ctl.scala 137:112] + node _T_16788 = eq(_T_16787, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16789 = bits(_T_16788, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16790 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_16791 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16792 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16793 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16794 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16795 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16796 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16797 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16798 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16799 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16800 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16801 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16802 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16803 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16804 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16805 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16806 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16807 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16808 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16809 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16810 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16811 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16812 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16813 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16814 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16815 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16816 = add(_T_16791, _T_16792) @[exu_mul_ctl.scala 137:112] + node _T_16817 = add(_T_16816, _T_16793) @[exu_mul_ctl.scala 137:112] + node _T_16818 = add(_T_16817, _T_16794) @[exu_mul_ctl.scala 137:112] + node _T_16819 = add(_T_16818, _T_16795) @[exu_mul_ctl.scala 137:112] + node _T_16820 = add(_T_16819, _T_16796) @[exu_mul_ctl.scala 137:112] + node _T_16821 = add(_T_16820, _T_16797) @[exu_mul_ctl.scala 137:112] + node _T_16822 = add(_T_16821, _T_16798) @[exu_mul_ctl.scala 137:112] + node _T_16823 = add(_T_16822, _T_16799) @[exu_mul_ctl.scala 137:112] + node _T_16824 = add(_T_16823, _T_16800) @[exu_mul_ctl.scala 137:112] + node _T_16825 = add(_T_16824, _T_16801) @[exu_mul_ctl.scala 137:112] + node _T_16826 = add(_T_16825, _T_16802) @[exu_mul_ctl.scala 137:112] + node _T_16827 = add(_T_16826, _T_16803) @[exu_mul_ctl.scala 137:112] + node _T_16828 = add(_T_16827, _T_16804) @[exu_mul_ctl.scala 137:112] + node _T_16829 = add(_T_16828, _T_16805) @[exu_mul_ctl.scala 137:112] + node _T_16830 = add(_T_16829, _T_16806) @[exu_mul_ctl.scala 137:112] + node _T_16831 = add(_T_16830, _T_16807) @[exu_mul_ctl.scala 137:112] + node _T_16832 = add(_T_16831, _T_16808) @[exu_mul_ctl.scala 137:112] + node _T_16833 = add(_T_16832, _T_16809) @[exu_mul_ctl.scala 137:112] + node _T_16834 = add(_T_16833, _T_16810) @[exu_mul_ctl.scala 137:112] + node _T_16835 = add(_T_16834, _T_16811) @[exu_mul_ctl.scala 137:112] + node _T_16836 = add(_T_16835, _T_16812) @[exu_mul_ctl.scala 137:112] + node _T_16837 = add(_T_16836, _T_16813) @[exu_mul_ctl.scala 137:112] + node _T_16838 = add(_T_16837, _T_16814) @[exu_mul_ctl.scala 137:112] + node _T_16839 = add(_T_16838, _T_16815) @[exu_mul_ctl.scala 137:112] + node _T_16840 = eq(_T_16839, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16841 = bits(_T_16840, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16842 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_16843 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16844 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16845 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16846 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16847 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16848 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16849 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16850 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16851 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16852 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16853 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16854 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16855 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16856 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16857 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16858 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16859 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16860 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16861 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16862 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16863 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16864 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16865 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16866 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16867 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16868 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_16869 = add(_T_16843, _T_16844) @[exu_mul_ctl.scala 137:112] + node _T_16870 = add(_T_16869, _T_16845) @[exu_mul_ctl.scala 137:112] + node _T_16871 = add(_T_16870, _T_16846) @[exu_mul_ctl.scala 137:112] + node _T_16872 = add(_T_16871, _T_16847) @[exu_mul_ctl.scala 137:112] + node _T_16873 = add(_T_16872, _T_16848) @[exu_mul_ctl.scala 137:112] + node _T_16874 = add(_T_16873, _T_16849) @[exu_mul_ctl.scala 137:112] + node _T_16875 = add(_T_16874, _T_16850) @[exu_mul_ctl.scala 137:112] + node _T_16876 = add(_T_16875, _T_16851) @[exu_mul_ctl.scala 137:112] + node _T_16877 = add(_T_16876, _T_16852) @[exu_mul_ctl.scala 137:112] + node _T_16878 = add(_T_16877, _T_16853) @[exu_mul_ctl.scala 137:112] + node _T_16879 = add(_T_16878, _T_16854) @[exu_mul_ctl.scala 137:112] + node _T_16880 = add(_T_16879, _T_16855) @[exu_mul_ctl.scala 137:112] + node _T_16881 = add(_T_16880, _T_16856) @[exu_mul_ctl.scala 137:112] + node _T_16882 = add(_T_16881, _T_16857) @[exu_mul_ctl.scala 137:112] + node _T_16883 = add(_T_16882, _T_16858) @[exu_mul_ctl.scala 137:112] + node _T_16884 = add(_T_16883, _T_16859) @[exu_mul_ctl.scala 137:112] + node _T_16885 = add(_T_16884, _T_16860) @[exu_mul_ctl.scala 137:112] + node _T_16886 = add(_T_16885, _T_16861) @[exu_mul_ctl.scala 137:112] + node _T_16887 = add(_T_16886, _T_16862) @[exu_mul_ctl.scala 137:112] + node _T_16888 = add(_T_16887, _T_16863) @[exu_mul_ctl.scala 137:112] + node _T_16889 = add(_T_16888, _T_16864) @[exu_mul_ctl.scala 137:112] + node _T_16890 = add(_T_16889, _T_16865) @[exu_mul_ctl.scala 137:112] + node _T_16891 = add(_T_16890, _T_16866) @[exu_mul_ctl.scala 137:112] + node _T_16892 = add(_T_16891, _T_16867) @[exu_mul_ctl.scala 137:112] + node _T_16893 = add(_T_16892, _T_16868) @[exu_mul_ctl.scala 137:112] + node _T_16894 = eq(_T_16893, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16895 = bits(_T_16894, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16896 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_16897 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16898 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16899 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16900 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16901 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16902 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16903 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16904 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16905 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16906 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16907 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16908 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16909 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16910 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16911 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16912 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16913 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16914 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16915 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16916 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16917 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16918 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16919 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16920 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16921 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16922 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_16923 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_16924 = add(_T_16897, _T_16898) @[exu_mul_ctl.scala 137:112] + node _T_16925 = add(_T_16924, _T_16899) @[exu_mul_ctl.scala 137:112] + node _T_16926 = add(_T_16925, _T_16900) @[exu_mul_ctl.scala 137:112] + node _T_16927 = add(_T_16926, _T_16901) @[exu_mul_ctl.scala 137:112] + node _T_16928 = add(_T_16927, _T_16902) @[exu_mul_ctl.scala 137:112] + node _T_16929 = add(_T_16928, _T_16903) @[exu_mul_ctl.scala 137:112] + node _T_16930 = add(_T_16929, _T_16904) @[exu_mul_ctl.scala 137:112] + node _T_16931 = add(_T_16930, _T_16905) @[exu_mul_ctl.scala 137:112] + node _T_16932 = add(_T_16931, _T_16906) @[exu_mul_ctl.scala 137:112] + node _T_16933 = add(_T_16932, _T_16907) @[exu_mul_ctl.scala 137:112] + node _T_16934 = add(_T_16933, _T_16908) @[exu_mul_ctl.scala 137:112] + node _T_16935 = add(_T_16934, _T_16909) @[exu_mul_ctl.scala 137:112] + node _T_16936 = add(_T_16935, _T_16910) @[exu_mul_ctl.scala 137:112] + node _T_16937 = add(_T_16936, _T_16911) @[exu_mul_ctl.scala 137:112] + node _T_16938 = add(_T_16937, _T_16912) @[exu_mul_ctl.scala 137:112] + node _T_16939 = add(_T_16938, _T_16913) @[exu_mul_ctl.scala 137:112] + node _T_16940 = add(_T_16939, _T_16914) @[exu_mul_ctl.scala 137:112] + node _T_16941 = add(_T_16940, _T_16915) @[exu_mul_ctl.scala 137:112] + node _T_16942 = add(_T_16941, _T_16916) @[exu_mul_ctl.scala 137:112] + node _T_16943 = add(_T_16942, _T_16917) @[exu_mul_ctl.scala 137:112] + node _T_16944 = add(_T_16943, _T_16918) @[exu_mul_ctl.scala 137:112] + node _T_16945 = add(_T_16944, _T_16919) @[exu_mul_ctl.scala 137:112] + node _T_16946 = add(_T_16945, _T_16920) @[exu_mul_ctl.scala 137:112] + node _T_16947 = add(_T_16946, _T_16921) @[exu_mul_ctl.scala 137:112] + node _T_16948 = add(_T_16947, _T_16922) @[exu_mul_ctl.scala 137:112] + node _T_16949 = add(_T_16948, _T_16923) @[exu_mul_ctl.scala 137:112] + node _T_16950 = eq(_T_16949, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16951 = bits(_T_16950, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16952 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_16953 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16954 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16955 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16956 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16957 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16958 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16959 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16960 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16961 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16962 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16963 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16964 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16965 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16966 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16967 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16968 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16969 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16970 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16971 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16972 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16973 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16974 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16975 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16976 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16977 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16978 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_16979 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_16980 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_16981 = add(_T_16953, _T_16954) @[exu_mul_ctl.scala 137:112] + node _T_16982 = add(_T_16981, _T_16955) @[exu_mul_ctl.scala 137:112] + node _T_16983 = add(_T_16982, _T_16956) @[exu_mul_ctl.scala 137:112] + node _T_16984 = add(_T_16983, _T_16957) @[exu_mul_ctl.scala 137:112] + node _T_16985 = add(_T_16984, _T_16958) @[exu_mul_ctl.scala 137:112] + node _T_16986 = add(_T_16985, _T_16959) @[exu_mul_ctl.scala 137:112] + node _T_16987 = add(_T_16986, _T_16960) @[exu_mul_ctl.scala 137:112] + node _T_16988 = add(_T_16987, _T_16961) @[exu_mul_ctl.scala 137:112] + node _T_16989 = add(_T_16988, _T_16962) @[exu_mul_ctl.scala 137:112] + node _T_16990 = add(_T_16989, _T_16963) @[exu_mul_ctl.scala 137:112] + node _T_16991 = add(_T_16990, _T_16964) @[exu_mul_ctl.scala 137:112] + node _T_16992 = add(_T_16991, _T_16965) @[exu_mul_ctl.scala 137:112] + node _T_16993 = add(_T_16992, _T_16966) @[exu_mul_ctl.scala 137:112] + node _T_16994 = add(_T_16993, _T_16967) @[exu_mul_ctl.scala 137:112] + node _T_16995 = add(_T_16994, _T_16968) @[exu_mul_ctl.scala 137:112] + node _T_16996 = add(_T_16995, _T_16969) @[exu_mul_ctl.scala 137:112] + node _T_16997 = add(_T_16996, _T_16970) @[exu_mul_ctl.scala 137:112] + node _T_16998 = add(_T_16997, _T_16971) @[exu_mul_ctl.scala 137:112] + node _T_16999 = add(_T_16998, _T_16972) @[exu_mul_ctl.scala 137:112] + node _T_17000 = add(_T_16999, _T_16973) @[exu_mul_ctl.scala 137:112] + node _T_17001 = add(_T_17000, _T_16974) @[exu_mul_ctl.scala 137:112] + node _T_17002 = add(_T_17001, _T_16975) @[exu_mul_ctl.scala 137:112] + node _T_17003 = add(_T_17002, _T_16976) @[exu_mul_ctl.scala 137:112] + node _T_17004 = add(_T_17003, _T_16977) @[exu_mul_ctl.scala 137:112] + node _T_17005 = add(_T_17004, _T_16978) @[exu_mul_ctl.scala 137:112] + node _T_17006 = add(_T_17005, _T_16979) @[exu_mul_ctl.scala 137:112] + node _T_17007 = add(_T_17006, _T_16980) @[exu_mul_ctl.scala 137:112] + node _T_17008 = eq(_T_17007, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_17009 = bits(_T_17008, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17010 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_17011 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17012 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17013 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17014 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17015 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17016 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17017 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17018 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17019 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17020 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17021 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17022 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17023 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17024 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17025 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17026 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17027 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17028 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17029 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17030 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17031 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17032 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17033 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17034 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17035 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_17036 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_17037 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_17038 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_17039 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_17040 = add(_T_17011, _T_17012) @[exu_mul_ctl.scala 137:112] + node _T_17041 = add(_T_17040, _T_17013) @[exu_mul_ctl.scala 137:112] + node _T_17042 = add(_T_17041, _T_17014) @[exu_mul_ctl.scala 137:112] + node _T_17043 = add(_T_17042, _T_17015) @[exu_mul_ctl.scala 137:112] + node _T_17044 = add(_T_17043, _T_17016) @[exu_mul_ctl.scala 137:112] + node _T_17045 = add(_T_17044, _T_17017) @[exu_mul_ctl.scala 137:112] + node _T_17046 = add(_T_17045, _T_17018) @[exu_mul_ctl.scala 137:112] + node _T_17047 = add(_T_17046, _T_17019) @[exu_mul_ctl.scala 137:112] + node _T_17048 = add(_T_17047, _T_17020) @[exu_mul_ctl.scala 137:112] + node _T_17049 = add(_T_17048, _T_17021) @[exu_mul_ctl.scala 137:112] + node _T_17050 = add(_T_17049, _T_17022) @[exu_mul_ctl.scala 137:112] + node _T_17051 = add(_T_17050, _T_17023) @[exu_mul_ctl.scala 137:112] + node _T_17052 = add(_T_17051, _T_17024) @[exu_mul_ctl.scala 137:112] + node _T_17053 = add(_T_17052, _T_17025) @[exu_mul_ctl.scala 137:112] + node _T_17054 = add(_T_17053, _T_17026) @[exu_mul_ctl.scala 137:112] + node _T_17055 = add(_T_17054, _T_17027) @[exu_mul_ctl.scala 137:112] + node _T_17056 = add(_T_17055, _T_17028) @[exu_mul_ctl.scala 137:112] + node _T_17057 = add(_T_17056, _T_17029) @[exu_mul_ctl.scala 137:112] + node _T_17058 = add(_T_17057, _T_17030) @[exu_mul_ctl.scala 137:112] + node _T_17059 = add(_T_17058, _T_17031) @[exu_mul_ctl.scala 137:112] + node _T_17060 = add(_T_17059, _T_17032) @[exu_mul_ctl.scala 137:112] + node _T_17061 = add(_T_17060, _T_17033) @[exu_mul_ctl.scala 137:112] + node _T_17062 = add(_T_17061, _T_17034) @[exu_mul_ctl.scala 137:112] + node _T_17063 = add(_T_17062, _T_17035) @[exu_mul_ctl.scala 137:112] + node _T_17064 = add(_T_17063, _T_17036) @[exu_mul_ctl.scala 137:112] + node _T_17065 = add(_T_17064, _T_17037) @[exu_mul_ctl.scala 137:112] + node _T_17066 = add(_T_17065, _T_17038) @[exu_mul_ctl.scala 137:112] + node _T_17067 = add(_T_17066, _T_17039) @[exu_mul_ctl.scala 137:112] + node _T_17068 = eq(_T_17067, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_17069 = bits(_T_17068, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17070 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_17071 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17072 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17073 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17074 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17075 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17076 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17077 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17078 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17079 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17080 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17081 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17082 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17083 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17084 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17085 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17086 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17087 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17088 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17089 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17090 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17091 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17092 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17093 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17094 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17095 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_17096 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_17097 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_17098 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_17099 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_17100 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_17101 = add(_T_17071, _T_17072) @[exu_mul_ctl.scala 137:112] + node _T_17102 = add(_T_17101, _T_17073) @[exu_mul_ctl.scala 137:112] + node _T_17103 = add(_T_17102, _T_17074) @[exu_mul_ctl.scala 137:112] + node _T_17104 = add(_T_17103, _T_17075) @[exu_mul_ctl.scala 137:112] + node _T_17105 = add(_T_17104, _T_17076) @[exu_mul_ctl.scala 137:112] + node _T_17106 = add(_T_17105, _T_17077) @[exu_mul_ctl.scala 137:112] + node _T_17107 = add(_T_17106, _T_17078) @[exu_mul_ctl.scala 137:112] + node _T_17108 = add(_T_17107, _T_17079) @[exu_mul_ctl.scala 137:112] + node _T_17109 = add(_T_17108, _T_17080) @[exu_mul_ctl.scala 137:112] + node _T_17110 = add(_T_17109, _T_17081) @[exu_mul_ctl.scala 137:112] + node _T_17111 = add(_T_17110, _T_17082) @[exu_mul_ctl.scala 137:112] + node _T_17112 = add(_T_17111, _T_17083) @[exu_mul_ctl.scala 137:112] + node _T_17113 = add(_T_17112, _T_17084) @[exu_mul_ctl.scala 137:112] + node _T_17114 = add(_T_17113, _T_17085) @[exu_mul_ctl.scala 137:112] + node _T_17115 = add(_T_17114, _T_17086) @[exu_mul_ctl.scala 137:112] + node _T_17116 = add(_T_17115, _T_17087) @[exu_mul_ctl.scala 137:112] + node _T_17117 = add(_T_17116, _T_17088) @[exu_mul_ctl.scala 137:112] + node _T_17118 = add(_T_17117, _T_17089) @[exu_mul_ctl.scala 137:112] + node _T_17119 = add(_T_17118, _T_17090) @[exu_mul_ctl.scala 137:112] + node _T_17120 = add(_T_17119, _T_17091) @[exu_mul_ctl.scala 137:112] + node _T_17121 = add(_T_17120, _T_17092) @[exu_mul_ctl.scala 137:112] + node _T_17122 = add(_T_17121, _T_17093) @[exu_mul_ctl.scala 137:112] + node _T_17123 = add(_T_17122, _T_17094) @[exu_mul_ctl.scala 137:112] + node _T_17124 = add(_T_17123, _T_17095) @[exu_mul_ctl.scala 137:112] + node _T_17125 = add(_T_17124, _T_17096) @[exu_mul_ctl.scala 137:112] + node _T_17126 = add(_T_17125, _T_17097) @[exu_mul_ctl.scala 137:112] + node _T_17127 = add(_T_17126, _T_17098) @[exu_mul_ctl.scala 137:112] + node _T_17128 = add(_T_17127, _T_17099) @[exu_mul_ctl.scala 137:112] + node _T_17129 = add(_T_17128, _T_17100) @[exu_mul_ctl.scala 137:112] + node _T_17130 = eq(_T_17129, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_17131 = bits(_T_17130, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17132 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_17133 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17134 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17135 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17136 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17137 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17138 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17139 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17140 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17141 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17142 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17143 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17144 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17145 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17146 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17147 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17148 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17149 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17150 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17151 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17152 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17153 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17154 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17155 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17156 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17157 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_17158 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_17159 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_17160 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_17161 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_17162 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_17163 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_17164 = add(_T_17133, _T_17134) @[exu_mul_ctl.scala 137:112] + node _T_17165 = add(_T_17164, _T_17135) @[exu_mul_ctl.scala 137:112] + node _T_17166 = add(_T_17165, _T_17136) @[exu_mul_ctl.scala 137:112] + node _T_17167 = add(_T_17166, _T_17137) @[exu_mul_ctl.scala 137:112] + node _T_17168 = add(_T_17167, _T_17138) @[exu_mul_ctl.scala 137:112] + node _T_17169 = add(_T_17168, _T_17139) @[exu_mul_ctl.scala 137:112] + node _T_17170 = add(_T_17169, _T_17140) @[exu_mul_ctl.scala 137:112] + node _T_17171 = add(_T_17170, _T_17141) @[exu_mul_ctl.scala 137:112] + node _T_17172 = add(_T_17171, _T_17142) @[exu_mul_ctl.scala 137:112] + node _T_17173 = add(_T_17172, _T_17143) @[exu_mul_ctl.scala 137:112] + node _T_17174 = add(_T_17173, _T_17144) @[exu_mul_ctl.scala 137:112] + node _T_17175 = add(_T_17174, _T_17145) @[exu_mul_ctl.scala 137:112] + node _T_17176 = add(_T_17175, _T_17146) @[exu_mul_ctl.scala 137:112] + node _T_17177 = add(_T_17176, _T_17147) @[exu_mul_ctl.scala 137:112] + node _T_17178 = add(_T_17177, _T_17148) @[exu_mul_ctl.scala 137:112] + node _T_17179 = add(_T_17178, _T_17149) @[exu_mul_ctl.scala 137:112] + node _T_17180 = add(_T_17179, _T_17150) @[exu_mul_ctl.scala 137:112] + node _T_17181 = add(_T_17180, _T_17151) @[exu_mul_ctl.scala 137:112] + node _T_17182 = add(_T_17181, _T_17152) @[exu_mul_ctl.scala 137:112] + node _T_17183 = add(_T_17182, _T_17153) @[exu_mul_ctl.scala 137:112] + node _T_17184 = add(_T_17183, _T_17154) @[exu_mul_ctl.scala 137:112] + node _T_17185 = add(_T_17184, _T_17155) @[exu_mul_ctl.scala 137:112] + node _T_17186 = add(_T_17185, _T_17156) @[exu_mul_ctl.scala 137:112] + node _T_17187 = add(_T_17186, _T_17157) @[exu_mul_ctl.scala 137:112] + node _T_17188 = add(_T_17187, _T_17158) @[exu_mul_ctl.scala 137:112] + node _T_17189 = add(_T_17188, _T_17159) @[exu_mul_ctl.scala 137:112] + node _T_17190 = add(_T_17189, _T_17160) @[exu_mul_ctl.scala 137:112] + node _T_17191 = add(_T_17190, _T_17161) @[exu_mul_ctl.scala 137:112] + node _T_17192 = add(_T_17191, _T_17162) @[exu_mul_ctl.scala 137:112] + node _T_17193 = add(_T_17192, _T_17163) @[exu_mul_ctl.scala 137:112] + node _T_17194 = eq(_T_17193, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_17195 = bits(_T_17194, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17196 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_17197 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17198 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17199 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17200 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17201 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17202 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17203 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17204 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17205 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17206 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17207 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17208 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17209 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17210 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17211 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17212 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17213 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17214 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17215 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17216 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17217 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17218 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17219 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17220 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17221 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_17222 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_17223 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_17224 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_17225 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_17226 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_17227 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_17228 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_17229 = add(_T_17197, _T_17198) @[exu_mul_ctl.scala 137:112] + node _T_17230 = add(_T_17229, _T_17199) @[exu_mul_ctl.scala 137:112] + node _T_17231 = add(_T_17230, _T_17200) @[exu_mul_ctl.scala 137:112] + node _T_17232 = add(_T_17231, _T_17201) @[exu_mul_ctl.scala 137:112] + node _T_17233 = add(_T_17232, _T_17202) @[exu_mul_ctl.scala 137:112] + node _T_17234 = add(_T_17233, _T_17203) @[exu_mul_ctl.scala 137:112] + node _T_17235 = add(_T_17234, _T_17204) @[exu_mul_ctl.scala 137:112] + node _T_17236 = add(_T_17235, _T_17205) @[exu_mul_ctl.scala 137:112] + node _T_17237 = add(_T_17236, _T_17206) @[exu_mul_ctl.scala 137:112] + node _T_17238 = add(_T_17237, _T_17207) @[exu_mul_ctl.scala 137:112] + node _T_17239 = add(_T_17238, _T_17208) @[exu_mul_ctl.scala 137:112] + node _T_17240 = add(_T_17239, _T_17209) @[exu_mul_ctl.scala 137:112] + node _T_17241 = add(_T_17240, _T_17210) @[exu_mul_ctl.scala 137:112] + node _T_17242 = add(_T_17241, _T_17211) @[exu_mul_ctl.scala 137:112] + node _T_17243 = add(_T_17242, _T_17212) @[exu_mul_ctl.scala 137:112] + node _T_17244 = add(_T_17243, _T_17213) @[exu_mul_ctl.scala 137:112] + node _T_17245 = add(_T_17244, _T_17214) @[exu_mul_ctl.scala 137:112] + node _T_17246 = add(_T_17245, _T_17215) @[exu_mul_ctl.scala 137:112] + node _T_17247 = add(_T_17246, _T_17216) @[exu_mul_ctl.scala 137:112] + node _T_17248 = add(_T_17247, _T_17217) @[exu_mul_ctl.scala 137:112] + node _T_17249 = add(_T_17248, _T_17218) @[exu_mul_ctl.scala 137:112] + node _T_17250 = add(_T_17249, _T_17219) @[exu_mul_ctl.scala 137:112] + node _T_17251 = add(_T_17250, _T_17220) @[exu_mul_ctl.scala 137:112] + node _T_17252 = add(_T_17251, _T_17221) @[exu_mul_ctl.scala 137:112] + node _T_17253 = add(_T_17252, _T_17222) @[exu_mul_ctl.scala 137:112] + node _T_17254 = add(_T_17253, _T_17223) @[exu_mul_ctl.scala 137:112] + node _T_17255 = add(_T_17254, _T_17224) @[exu_mul_ctl.scala 137:112] + node _T_17256 = add(_T_17255, _T_17225) @[exu_mul_ctl.scala 137:112] + node _T_17257 = add(_T_17256, _T_17226) @[exu_mul_ctl.scala 137:112] + node _T_17258 = add(_T_17257, _T_17227) @[exu_mul_ctl.scala 137:112] + node _T_17259 = add(_T_17258, _T_17228) @[exu_mul_ctl.scala 137:112] + node _T_17260 = eq(_T_17259, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_17261 = bits(_T_17260, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17262 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_17263 = mux(_T_17261, _T_17262, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_17264 = mux(_T_17195, _T_17196, _T_17263) @[Mux.scala 98:16] + node _T_17265 = mux(_T_17131, _T_17132, _T_17264) @[Mux.scala 98:16] + node _T_17266 = mux(_T_17069, _T_17070, _T_17265) @[Mux.scala 98:16] + node _T_17267 = mux(_T_17009, _T_17010, _T_17266) @[Mux.scala 98:16] + node _T_17268 = mux(_T_16951, _T_16952, _T_17267) @[Mux.scala 98:16] + node _T_17269 = mux(_T_16895, _T_16896, _T_17268) @[Mux.scala 98:16] + node _T_17270 = mux(_T_16841, _T_16842, _T_17269) @[Mux.scala 98:16] + node _T_17271 = mux(_T_16789, _T_16790, _T_17270) @[Mux.scala 98:16] + node _T_17272 = mux(_T_16739, _T_16740, _T_17271) @[Mux.scala 98:16] + node _T_17273 = mux(_T_16691, _T_16692, _T_17272) @[Mux.scala 98:16] + node _T_17274 = mux(_T_16645, _T_16646, _T_17273) @[Mux.scala 98:16] + node _T_17275 = mux(_T_16601, _T_16602, _T_17274) @[Mux.scala 98:16] + node _T_17276 = mux(_T_16559, _T_16560, _T_17275) @[Mux.scala 98:16] + node _T_17277 = mux(_T_16519, _T_16520, _T_17276) @[Mux.scala 98:16] + node _T_17278 = mux(_T_16481, _T_16482, _T_17277) @[Mux.scala 98:16] + node _T_17279 = mux(_T_16445, _T_16446, _T_17278) @[Mux.scala 98:16] + node _T_17280 = mux(_T_16411, _T_16412, _T_17279) @[Mux.scala 98:16] + node _T_17281 = mux(_T_16379, _T_16380, _T_17280) @[Mux.scala 98:16] + node _T_17282 = mux(_T_16349, _T_16350, _T_17281) @[Mux.scala 98:16] + node _T_17283 = mux(_T_16321, _T_16322, _T_17282) @[Mux.scala 98:16] + node _T_17284 = mux(_T_16295, _T_16296, _T_17283) @[Mux.scala 98:16] + node _T_17285 = mux(_T_16271, _T_16272, _T_17284) @[Mux.scala 98:16] + node _T_17286 = mux(_T_16249, _T_16250, _T_17285) @[Mux.scala 98:16] + node _T_17287 = mux(_T_16229, _T_16230, _T_17286) @[Mux.scala 98:16] + node _T_17288 = mux(_T_16211, _T_16212, _T_17287) @[Mux.scala 98:16] + node _T_17289 = mux(_T_16195, _T_16196, _T_17288) @[Mux.scala 98:16] + node _T_17290 = mux(_T_16181, _T_16182, _T_17289) @[Mux.scala 98:16] + node _T_17291 = mux(_T_16169, _T_16170, _T_17290) @[Mux.scala 98:16] + node _T_17292 = mux(_T_16159, _T_16160, _T_17291) @[Mux.scala 98:16] + node _T_17293 = mux(_T_16151, _T_16152, _T_17292) @[Mux.scala 98:16] + node _T_17294 = mux(_T_16145, _T_16146, _T_17293) @[Mux.scala 98:16] + node _T_17295 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_17296 = eq(_T_17295, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17297 = bits(_T_17296, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17298 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_17299 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17300 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17301 = add(_T_17299, _T_17300) @[exu_mul_ctl.scala 137:112] + node _T_17302 = eq(_T_17301, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17303 = bits(_T_17302, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17304 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_17305 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17306 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17307 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17308 = add(_T_17305, _T_17306) @[exu_mul_ctl.scala 137:112] + node _T_17309 = add(_T_17308, _T_17307) @[exu_mul_ctl.scala 137:112] + node _T_17310 = eq(_T_17309, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17311 = bits(_T_17310, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17312 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_17313 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17314 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17315 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17316 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17317 = add(_T_17313, _T_17314) @[exu_mul_ctl.scala 137:112] + node _T_17318 = add(_T_17317, _T_17315) @[exu_mul_ctl.scala 137:112] + node _T_17319 = add(_T_17318, _T_17316) @[exu_mul_ctl.scala 137:112] + node _T_17320 = eq(_T_17319, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17321 = bits(_T_17320, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17322 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_17323 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17324 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17325 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17326 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17327 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17328 = add(_T_17323, _T_17324) @[exu_mul_ctl.scala 137:112] + node _T_17329 = add(_T_17328, _T_17325) @[exu_mul_ctl.scala 137:112] + node _T_17330 = add(_T_17329, _T_17326) @[exu_mul_ctl.scala 137:112] + node _T_17331 = add(_T_17330, _T_17327) @[exu_mul_ctl.scala 137:112] + node _T_17332 = eq(_T_17331, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17333 = bits(_T_17332, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17334 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_17335 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17336 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17337 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17338 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17339 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17340 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17341 = add(_T_17335, _T_17336) @[exu_mul_ctl.scala 137:112] + node _T_17342 = add(_T_17341, _T_17337) @[exu_mul_ctl.scala 137:112] + node _T_17343 = add(_T_17342, _T_17338) @[exu_mul_ctl.scala 137:112] + node _T_17344 = add(_T_17343, _T_17339) @[exu_mul_ctl.scala 137:112] + node _T_17345 = add(_T_17344, _T_17340) @[exu_mul_ctl.scala 137:112] + node _T_17346 = eq(_T_17345, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17347 = bits(_T_17346, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17348 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_17349 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17350 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17351 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17352 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17353 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17354 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17355 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17356 = add(_T_17349, _T_17350) @[exu_mul_ctl.scala 137:112] + node _T_17357 = add(_T_17356, _T_17351) @[exu_mul_ctl.scala 137:112] + node _T_17358 = add(_T_17357, _T_17352) @[exu_mul_ctl.scala 137:112] + node _T_17359 = add(_T_17358, _T_17353) @[exu_mul_ctl.scala 137:112] + node _T_17360 = add(_T_17359, _T_17354) @[exu_mul_ctl.scala 137:112] + node _T_17361 = add(_T_17360, _T_17355) @[exu_mul_ctl.scala 137:112] + node _T_17362 = eq(_T_17361, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17363 = bits(_T_17362, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17364 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_17365 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17366 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17367 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17368 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17369 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17370 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17371 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17372 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17373 = add(_T_17365, _T_17366) @[exu_mul_ctl.scala 137:112] + node _T_17374 = add(_T_17373, _T_17367) @[exu_mul_ctl.scala 137:112] + node _T_17375 = add(_T_17374, _T_17368) @[exu_mul_ctl.scala 137:112] + node _T_17376 = add(_T_17375, _T_17369) @[exu_mul_ctl.scala 137:112] + node _T_17377 = add(_T_17376, _T_17370) @[exu_mul_ctl.scala 137:112] + node _T_17378 = add(_T_17377, _T_17371) @[exu_mul_ctl.scala 137:112] + node _T_17379 = add(_T_17378, _T_17372) @[exu_mul_ctl.scala 137:112] + node _T_17380 = eq(_T_17379, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17381 = bits(_T_17380, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17382 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_17383 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17384 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17385 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17386 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17387 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17388 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17389 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17390 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17391 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17392 = add(_T_17383, _T_17384) @[exu_mul_ctl.scala 137:112] + node _T_17393 = add(_T_17392, _T_17385) @[exu_mul_ctl.scala 137:112] + node _T_17394 = add(_T_17393, _T_17386) @[exu_mul_ctl.scala 137:112] + node _T_17395 = add(_T_17394, _T_17387) @[exu_mul_ctl.scala 137:112] + node _T_17396 = add(_T_17395, _T_17388) @[exu_mul_ctl.scala 137:112] + node _T_17397 = add(_T_17396, _T_17389) @[exu_mul_ctl.scala 137:112] + node _T_17398 = add(_T_17397, _T_17390) @[exu_mul_ctl.scala 137:112] + node _T_17399 = add(_T_17398, _T_17391) @[exu_mul_ctl.scala 137:112] + node _T_17400 = eq(_T_17399, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17401 = bits(_T_17400, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17402 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_17403 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17404 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17405 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17406 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17407 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17408 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17409 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17410 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17411 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17412 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17413 = add(_T_17403, _T_17404) @[exu_mul_ctl.scala 137:112] + node _T_17414 = add(_T_17413, _T_17405) @[exu_mul_ctl.scala 137:112] + node _T_17415 = add(_T_17414, _T_17406) @[exu_mul_ctl.scala 137:112] + node _T_17416 = add(_T_17415, _T_17407) @[exu_mul_ctl.scala 137:112] + node _T_17417 = add(_T_17416, _T_17408) @[exu_mul_ctl.scala 137:112] + node _T_17418 = add(_T_17417, _T_17409) @[exu_mul_ctl.scala 137:112] + node _T_17419 = add(_T_17418, _T_17410) @[exu_mul_ctl.scala 137:112] + node _T_17420 = add(_T_17419, _T_17411) @[exu_mul_ctl.scala 137:112] + node _T_17421 = add(_T_17420, _T_17412) @[exu_mul_ctl.scala 137:112] + node _T_17422 = eq(_T_17421, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17423 = bits(_T_17422, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17424 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_17425 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17426 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17427 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17428 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17429 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17430 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17431 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17432 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17433 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17434 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17435 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17436 = add(_T_17425, _T_17426) @[exu_mul_ctl.scala 137:112] + node _T_17437 = add(_T_17436, _T_17427) @[exu_mul_ctl.scala 137:112] + node _T_17438 = add(_T_17437, _T_17428) @[exu_mul_ctl.scala 137:112] + node _T_17439 = add(_T_17438, _T_17429) @[exu_mul_ctl.scala 137:112] + node _T_17440 = add(_T_17439, _T_17430) @[exu_mul_ctl.scala 137:112] + node _T_17441 = add(_T_17440, _T_17431) @[exu_mul_ctl.scala 137:112] + node _T_17442 = add(_T_17441, _T_17432) @[exu_mul_ctl.scala 137:112] + node _T_17443 = add(_T_17442, _T_17433) @[exu_mul_ctl.scala 137:112] + node _T_17444 = add(_T_17443, _T_17434) @[exu_mul_ctl.scala 137:112] + node _T_17445 = add(_T_17444, _T_17435) @[exu_mul_ctl.scala 137:112] + node _T_17446 = eq(_T_17445, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17447 = bits(_T_17446, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17448 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_17449 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17450 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17451 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17452 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17453 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17454 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17455 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17456 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17457 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17458 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17459 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17460 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17461 = add(_T_17449, _T_17450) @[exu_mul_ctl.scala 137:112] + node _T_17462 = add(_T_17461, _T_17451) @[exu_mul_ctl.scala 137:112] + node _T_17463 = add(_T_17462, _T_17452) @[exu_mul_ctl.scala 137:112] + node _T_17464 = add(_T_17463, _T_17453) @[exu_mul_ctl.scala 137:112] + node _T_17465 = add(_T_17464, _T_17454) @[exu_mul_ctl.scala 137:112] + node _T_17466 = add(_T_17465, _T_17455) @[exu_mul_ctl.scala 137:112] + node _T_17467 = add(_T_17466, _T_17456) @[exu_mul_ctl.scala 137:112] + node _T_17468 = add(_T_17467, _T_17457) @[exu_mul_ctl.scala 137:112] + node _T_17469 = add(_T_17468, _T_17458) @[exu_mul_ctl.scala 137:112] + node _T_17470 = add(_T_17469, _T_17459) @[exu_mul_ctl.scala 137:112] + node _T_17471 = add(_T_17470, _T_17460) @[exu_mul_ctl.scala 137:112] + node _T_17472 = eq(_T_17471, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17473 = bits(_T_17472, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17474 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_17475 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17476 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17477 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17478 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17479 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17480 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17481 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17482 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17483 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17484 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17485 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17486 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17487 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17488 = add(_T_17475, _T_17476) @[exu_mul_ctl.scala 137:112] + node _T_17489 = add(_T_17488, _T_17477) @[exu_mul_ctl.scala 137:112] + node _T_17490 = add(_T_17489, _T_17478) @[exu_mul_ctl.scala 137:112] + node _T_17491 = add(_T_17490, _T_17479) @[exu_mul_ctl.scala 137:112] + node _T_17492 = add(_T_17491, _T_17480) @[exu_mul_ctl.scala 137:112] + node _T_17493 = add(_T_17492, _T_17481) @[exu_mul_ctl.scala 137:112] + node _T_17494 = add(_T_17493, _T_17482) @[exu_mul_ctl.scala 137:112] + node _T_17495 = add(_T_17494, _T_17483) @[exu_mul_ctl.scala 137:112] + node _T_17496 = add(_T_17495, _T_17484) @[exu_mul_ctl.scala 137:112] + node _T_17497 = add(_T_17496, _T_17485) @[exu_mul_ctl.scala 137:112] + node _T_17498 = add(_T_17497, _T_17486) @[exu_mul_ctl.scala 137:112] + node _T_17499 = add(_T_17498, _T_17487) @[exu_mul_ctl.scala 137:112] + node _T_17500 = eq(_T_17499, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17501 = bits(_T_17500, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17502 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_17503 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17504 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17505 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17506 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17507 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17508 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17509 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17510 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17511 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17512 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17513 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17514 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17515 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17516 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17517 = add(_T_17503, _T_17504) @[exu_mul_ctl.scala 137:112] + node _T_17518 = add(_T_17517, _T_17505) @[exu_mul_ctl.scala 137:112] + node _T_17519 = add(_T_17518, _T_17506) @[exu_mul_ctl.scala 137:112] + node _T_17520 = add(_T_17519, _T_17507) @[exu_mul_ctl.scala 137:112] + node _T_17521 = add(_T_17520, _T_17508) @[exu_mul_ctl.scala 137:112] + node _T_17522 = add(_T_17521, _T_17509) @[exu_mul_ctl.scala 137:112] + node _T_17523 = add(_T_17522, _T_17510) @[exu_mul_ctl.scala 137:112] + node _T_17524 = add(_T_17523, _T_17511) @[exu_mul_ctl.scala 137:112] + node _T_17525 = add(_T_17524, _T_17512) @[exu_mul_ctl.scala 137:112] + node _T_17526 = add(_T_17525, _T_17513) @[exu_mul_ctl.scala 137:112] + node _T_17527 = add(_T_17526, _T_17514) @[exu_mul_ctl.scala 137:112] + node _T_17528 = add(_T_17527, _T_17515) @[exu_mul_ctl.scala 137:112] + node _T_17529 = add(_T_17528, _T_17516) @[exu_mul_ctl.scala 137:112] + node _T_17530 = eq(_T_17529, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17531 = bits(_T_17530, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17532 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_17533 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17534 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17535 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17536 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17537 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17538 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17539 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17540 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17541 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17542 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17543 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17544 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17545 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17546 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17547 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17548 = add(_T_17533, _T_17534) @[exu_mul_ctl.scala 137:112] + node _T_17549 = add(_T_17548, _T_17535) @[exu_mul_ctl.scala 137:112] + node _T_17550 = add(_T_17549, _T_17536) @[exu_mul_ctl.scala 137:112] + node _T_17551 = add(_T_17550, _T_17537) @[exu_mul_ctl.scala 137:112] + node _T_17552 = add(_T_17551, _T_17538) @[exu_mul_ctl.scala 137:112] + node _T_17553 = add(_T_17552, _T_17539) @[exu_mul_ctl.scala 137:112] + node _T_17554 = add(_T_17553, _T_17540) @[exu_mul_ctl.scala 137:112] + node _T_17555 = add(_T_17554, _T_17541) @[exu_mul_ctl.scala 137:112] + node _T_17556 = add(_T_17555, _T_17542) @[exu_mul_ctl.scala 137:112] + node _T_17557 = add(_T_17556, _T_17543) @[exu_mul_ctl.scala 137:112] + node _T_17558 = add(_T_17557, _T_17544) @[exu_mul_ctl.scala 137:112] + node _T_17559 = add(_T_17558, _T_17545) @[exu_mul_ctl.scala 137:112] + node _T_17560 = add(_T_17559, _T_17546) @[exu_mul_ctl.scala 137:112] + node _T_17561 = add(_T_17560, _T_17547) @[exu_mul_ctl.scala 137:112] + node _T_17562 = eq(_T_17561, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17563 = bits(_T_17562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17564 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_17565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17572 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17573 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17574 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17575 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17576 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17577 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17578 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17579 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17580 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17581 = add(_T_17565, _T_17566) @[exu_mul_ctl.scala 137:112] + node _T_17582 = add(_T_17581, _T_17567) @[exu_mul_ctl.scala 137:112] + node _T_17583 = add(_T_17582, _T_17568) @[exu_mul_ctl.scala 137:112] + node _T_17584 = add(_T_17583, _T_17569) @[exu_mul_ctl.scala 137:112] + node _T_17585 = add(_T_17584, _T_17570) @[exu_mul_ctl.scala 137:112] + node _T_17586 = add(_T_17585, _T_17571) @[exu_mul_ctl.scala 137:112] + node _T_17587 = add(_T_17586, _T_17572) @[exu_mul_ctl.scala 137:112] + node _T_17588 = add(_T_17587, _T_17573) @[exu_mul_ctl.scala 137:112] + node _T_17589 = add(_T_17588, _T_17574) @[exu_mul_ctl.scala 137:112] + node _T_17590 = add(_T_17589, _T_17575) @[exu_mul_ctl.scala 137:112] + node _T_17591 = add(_T_17590, _T_17576) @[exu_mul_ctl.scala 137:112] + node _T_17592 = add(_T_17591, _T_17577) @[exu_mul_ctl.scala 137:112] + node _T_17593 = add(_T_17592, _T_17578) @[exu_mul_ctl.scala 137:112] + node _T_17594 = add(_T_17593, _T_17579) @[exu_mul_ctl.scala 137:112] + node _T_17595 = add(_T_17594, _T_17580) @[exu_mul_ctl.scala 137:112] + node _T_17596 = eq(_T_17595, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17597 = bits(_T_17596, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17598 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_17599 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17600 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17601 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17602 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17603 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17604 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17605 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17606 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17607 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17608 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17609 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17610 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17611 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17612 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17613 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17614 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17615 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17616 = add(_T_17599, _T_17600) @[exu_mul_ctl.scala 137:112] + node _T_17617 = add(_T_17616, _T_17601) @[exu_mul_ctl.scala 137:112] + node _T_17618 = add(_T_17617, _T_17602) @[exu_mul_ctl.scala 137:112] + node _T_17619 = add(_T_17618, _T_17603) @[exu_mul_ctl.scala 137:112] + node _T_17620 = add(_T_17619, _T_17604) @[exu_mul_ctl.scala 137:112] + node _T_17621 = add(_T_17620, _T_17605) @[exu_mul_ctl.scala 137:112] + node _T_17622 = add(_T_17621, _T_17606) @[exu_mul_ctl.scala 137:112] + node _T_17623 = add(_T_17622, _T_17607) @[exu_mul_ctl.scala 137:112] + node _T_17624 = add(_T_17623, _T_17608) @[exu_mul_ctl.scala 137:112] + node _T_17625 = add(_T_17624, _T_17609) @[exu_mul_ctl.scala 137:112] + node _T_17626 = add(_T_17625, _T_17610) @[exu_mul_ctl.scala 137:112] + node _T_17627 = add(_T_17626, _T_17611) @[exu_mul_ctl.scala 137:112] + node _T_17628 = add(_T_17627, _T_17612) @[exu_mul_ctl.scala 137:112] + node _T_17629 = add(_T_17628, _T_17613) @[exu_mul_ctl.scala 137:112] + node _T_17630 = add(_T_17629, _T_17614) @[exu_mul_ctl.scala 137:112] + node _T_17631 = add(_T_17630, _T_17615) @[exu_mul_ctl.scala 137:112] + node _T_17632 = eq(_T_17631, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17633 = bits(_T_17632, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17634 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_17635 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17636 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17637 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17638 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17639 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17640 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17641 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17642 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17643 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17644 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17645 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17646 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17647 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17648 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17649 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17650 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17651 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17652 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17653 = add(_T_17635, _T_17636) @[exu_mul_ctl.scala 137:112] + node _T_17654 = add(_T_17653, _T_17637) @[exu_mul_ctl.scala 137:112] + node _T_17655 = add(_T_17654, _T_17638) @[exu_mul_ctl.scala 137:112] + node _T_17656 = add(_T_17655, _T_17639) @[exu_mul_ctl.scala 137:112] + node _T_17657 = add(_T_17656, _T_17640) @[exu_mul_ctl.scala 137:112] + node _T_17658 = add(_T_17657, _T_17641) @[exu_mul_ctl.scala 137:112] + node _T_17659 = add(_T_17658, _T_17642) @[exu_mul_ctl.scala 137:112] + node _T_17660 = add(_T_17659, _T_17643) @[exu_mul_ctl.scala 137:112] + node _T_17661 = add(_T_17660, _T_17644) @[exu_mul_ctl.scala 137:112] + node _T_17662 = add(_T_17661, _T_17645) @[exu_mul_ctl.scala 137:112] + node _T_17663 = add(_T_17662, _T_17646) @[exu_mul_ctl.scala 137:112] + node _T_17664 = add(_T_17663, _T_17647) @[exu_mul_ctl.scala 137:112] + node _T_17665 = add(_T_17664, _T_17648) @[exu_mul_ctl.scala 137:112] + node _T_17666 = add(_T_17665, _T_17649) @[exu_mul_ctl.scala 137:112] + node _T_17667 = add(_T_17666, _T_17650) @[exu_mul_ctl.scala 137:112] + node _T_17668 = add(_T_17667, _T_17651) @[exu_mul_ctl.scala 137:112] + node _T_17669 = add(_T_17668, _T_17652) @[exu_mul_ctl.scala 137:112] + node _T_17670 = eq(_T_17669, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17671 = bits(_T_17670, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17672 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_17673 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17674 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17675 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17676 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17677 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17678 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17679 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17680 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17681 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17682 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17683 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17684 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17685 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17686 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17687 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17688 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17689 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17690 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17691 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17692 = add(_T_17673, _T_17674) @[exu_mul_ctl.scala 137:112] + node _T_17693 = add(_T_17692, _T_17675) @[exu_mul_ctl.scala 137:112] + node _T_17694 = add(_T_17693, _T_17676) @[exu_mul_ctl.scala 137:112] + node _T_17695 = add(_T_17694, _T_17677) @[exu_mul_ctl.scala 137:112] + node _T_17696 = add(_T_17695, _T_17678) @[exu_mul_ctl.scala 137:112] + node _T_17697 = add(_T_17696, _T_17679) @[exu_mul_ctl.scala 137:112] + node _T_17698 = add(_T_17697, _T_17680) @[exu_mul_ctl.scala 137:112] + node _T_17699 = add(_T_17698, _T_17681) @[exu_mul_ctl.scala 137:112] + node _T_17700 = add(_T_17699, _T_17682) @[exu_mul_ctl.scala 137:112] + node _T_17701 = add(_T_17700, _T_17683) @[exu_mul_ctl.scala 137:112] + node _T_17702 = add(_T_17701, _T_17684) @[exu_mul_ctl.scala 137:112] + node _T_17703 = add(_T_17702, _T_17685) @[exu_mul_ctl.scala 137:112] + node _T_17704 = add(_T_17703, _T_17686) @[exu_mul_ctl.scala 137:112] + node _T_17705 = add(_T_17704, _T_17687) @[exu_mul_ctl.scala 137:112] + node _T_17706 = add(_T_17705, _T_17688) @[exu_mul_ctl.scala 137:112] + node _T_17707 = add(_T_17706, _T_17689) @[exu_mul_ctl.scala 137:112] + node _T_17708 = add(_T_17707, _T_17690) @[exu_mul_ctl.scala 137:112] + node _T_17709 = add(_T_17708, _T_17691) @[exu_mul_ctl.scala 137:112] + node _T_17710 = eq(_T_17709, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17711 = bits(_T_17710, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17712 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_17713 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17714 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17715 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17716 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17717 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17718 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17719 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17720 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17721 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17722 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17723 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17724 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17725 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17726 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17727 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17728 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17729 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17730 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17731 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17732 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17733 = add(_T_17713, _T_17714) @[exu_mul_ctl.scala 137:112] + node _T_17734 = add(_T_17733, _T_17715) @[exu_mul_ctl.scala 137:112] + node _T_17735 = add(_T_17734, _T_17716) @[exu_mul_ctl.scala 137:112] + node _T_17736 = add(_T_17735, _T_17717) @[exu_mul_ctl.scala 137:112] + node _T_17737 = add(_T_17736, _T_17718) @[exu_mul_ctl.scala 137:112] + node _T_17738 = add(_T_17737, _T_17719) @[exu_mul_ctl.scala 137:112] + node _T_17739 = add(_T_17738, _T_17720) @[exu_mul_ctl.scala 137:112] + node _T_17740 = add(_T_17739, _T_17721) @[exu_mul_ctl.scala 137:112] + node _T_17741 = add(_T_17740, _T_17722) @[exu_mul_ctl.scala 137:112] + node _T_17742 = add(_T_17741, _T_17723) @[exu_mul_ctl.scala 137:112] + node _T_17743 = add(_T_17742, _T_17724) @[exu_mul_ctl.scala 137:112] + node _T_17744 = add(_T_17743, _T_17725) @[exu_mul_ctl.scala 137:112] + node _T_17745 = add(_T_17744, _T_17726) @[exu_mul_ctl.scala 137:112] + node _T_17746 = add(_T_17745, _T_17727) @[exu_mul_ctl.scala 137:112] + node _T_17747 = add(_T_17746, _T_17728) @[exu_mul_ctl.scala 137:112] + node _T_17748 = add(_T_17747, _T_17729) @[exu_mul_ctl.scala 137:112] + node _T_17749 = add(_T_17748, _T_17730) @[exu_mul_ctl.scala 137:112] + node _T_17750 = add(_T_17749, _T_17731) @[exu_mul_ctl.scala 137:112] + node _T_17751 = add(_T_17750, _T_17732) @[exu_mul_ctl.scala 137:112] + node _T_17752 = eq(_T_17751, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17753 = bits(_T_17752, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17754 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_17755 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17756 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17757 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17758 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17759 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17760 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17761 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17762 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17763 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17764 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17765 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17766 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17767 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17768 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17769 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17770 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17771 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17772 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17773 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17774 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17775 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17776 = add(_T_17755, _T_17756) @[exu_mul_ctl.scala 137:112] + node _T_17777 = add(_T_17776, _T_17757) @[exu_mul_ctl.scala 137:112] + node _T_17778 = add(_T_17777, _T_17758) @[exu_mul_ctl.scala 137:112] + node _T_17779 = add(_T_17778, _T_17759) @[exu_mul_ctl.scala 137:112] + node _T_17780 = add(_T_17779, _T_17760) @[exu_mul_ctl.scala 137:112] + node _T_17781 = add(_T_17780, _T_17761) @[exu_mul_ctl.scala 137:112] + node _T_17782 = add(_T_17781, _T_17762) @[exu_mul_ctl.scala 137:112] + node _T_17783 = add(_T_17782, _T_17763) @[exu_mul_ctl.scala 137:112] + node _T_17784 = add(_T_17783, _T_17764) @[exu_mul_ctl.scala 137:112] + node _T_17785 = add(_T_17784, _T_17765) @[exu_mul_ctl.scala 137:112] + node _T_17786 = add(_T_17785, _T_17766) @[exu_mul_ctl.scala 137:112] + node _T_17787 = add(_T_17786, _T_17767) @[exu_mul_ctl.scala 137:112] + node _T_17788 = add(_T_17787, _T_17768) @[exu_mul_ctl.scala 137:112] + node _T_17789 = add(_T_17788, _T_17769) @[exu_mul_ctl.scala 137:112] + node _T_17790 = add(_T_17789, _T_17770) @[exu_mul_ctl.scala 137:112] + node _T_17791 = add(_T_17790, _T_17771) @[exu_mul_ctl.scala 137:112] + node _T_17792 = add(_T_17791, _T_17772) @[exu_mul_ctl.scala 137:112] + node _T_17793 = add(_T_17792, _T_17773) @[exu_mul_ctl.scala 137:112] + node _T_17794 = add(_T_17793, _T_17774) @[exu_mul_ctl.scala 137:112] + node _T_17795 = add(_T_17794, _T_17775) @[exu_mul_ctl.scala 137:112] + node _T_17796 = eq(_T_17795, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17797 = bits(_T_17796, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17798 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_17799 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17800 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17801 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17802 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17803 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17804 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17805 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17806 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17807 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17808 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17809 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17810 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17811 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17812 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17813 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17814 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17815 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17816 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17817 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17818 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17819 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17820 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17821 = add(_T_17799, _T_17800) @[exu_mul_ctl.scala 137:112] + node _T_17822 = add(_T_17821, _T_17801) @[exu_mul_ctl.scala 137:112] + node _T_17823 = add(_T_17822, _T_17802) @[exu_mul_ctl.scala 137:112] + node _T_17824 = add(_T_17823, _T_17803) @[exu_mul_ctl.scala 137:112] + node _T_17825 = add(_T_17824, _T_17804) @[exu_mul_ctl.scala 137:112] + node _T_17826 = add(_T_17825, _T_17805) @[exu_mul_ctl.scala 137:112] + node _T_17827 = add(_T_17826, _T_17806) @[exu_mul_ctl.scala 137:112] + node _T_17828 = add(_T_17827, _T_17807) @[exu_mul_ctl.scala 137:112] + node _T_17829 = add(_T_17828, _T_17808) @[exu_mul_ctl.scala 137:112] + node _T_17830 = add(_T_17829, _T_17809) @[exu_mul_ctl.scala 137:112] + node _T_17831 = add(_T_17830, _T_17810) @[exu_mul_ctl.scala 137:112] + node _T_17832 = add(_T_17831, _T_17811) @[exu_mul_ctl.scala 137:112] + node _T_17833 = add(_T_17832, _T_17812) @[exu_mul_ctl.scala 137:112] + node _T_17834 = add(_T_17833, _T_17813) @[exu_mul_ctl.scala 137:112] + node _T_17835 = add(_T_17834, _T_17814) @[exu_mul_ctl.scala 137:112] + node _T_17836 = add(_T_17835, _T_17815) @[exu_mul_ctl.scala 137:112] + node _T_17837 = add(_T_17836, _T_17816) @[exu_mul_ctl.scala 137:112] + node _T_17838 = add(_T_17837, _T_17817) @[exu_mul_ctl.scala 137:112] + node _T_17839 = add(_T_17838, _T_17818) @[exu_mul_ctl.scala 137:112] + node _T_17840 = add(_T_17839, _T_17819) @[exu_mul_ctl.scala 137:112] + node _T_17841 = add(_T_17840, _T_17820) @[exu_mul_ctl.scala 137:112] + node _T_17842 = eq(_T_17841, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17843 = bits(_T_17842, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17844 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_17845 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17846 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17847 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17848 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17849 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17850 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17851 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17852 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17853 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17854 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17855 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17856 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17857 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17858 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17859 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17860 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17861 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17862 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17863 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17864 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17865 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17866 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17867 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17868 = add(_T_17845, _T_17846) @[exu_mul_ctl.scala 137:112] + node _T_17869 = add(_T_17868, _T_17847) @[exu_mul_ctl.scala 137:112] + node _T_17870 = add(_T_17869, _T_17848) @[exu_mul_ctl.scala 137:112] + node _T_17871 = add(_T_17870, _T_17849) @[exu_mul_ctl.scala 137:112] + node _T_17872 = add(_T_17871, _T_17850) @[exu_mul_ctl.scala 137:112] + node _T_17873 = add(_T_17872, _T_17851) @[exu_mul_ctl.scala 137:112] + node _T_17874 = add(_T_17873, _T_17852) @[exu_mul_ctl.scala 137:112] + node _T_17875 = add(_T_17874, _T_17853) @[exu_mul_ctl.scala 137:112] + node _T_17876 = add(_T_17875, _T_17854) @[exu_mul_ctl.scala 137:112] + node _T_17877 = add(_T_17876, _T_17855) @[exu_mul_ctl.scala 137:112] + node _T_17878 = add(_T_17877, _T_17856) @[exu_mul_ctl.scala 137:112] + node _T_17879 = add(_T_17878, _T_17857) @[exu_mul_ctl.scala 137:112] + node _T_17880 = add(_T_17879, _T_17858) @[exu_mul_ctl.scala 137:112] + node _T_17881 = add(_T_17880, _T_17859) @[exu_mul_ctl.scala 137:112] + node _T_17882 = add(_T_17881, _T_17860) @[exu_mul_ctl.scala 137:112] + node _T_17883 = add(_T_17882, _T_17861) @[exu_mul_ctl.scala 137:112] + node _T_17884 = add(_T_17883, _T_17862) @[exu_mul_ctl.scala 137:112] + node _T_17885 = add(_T_17884, _T_17863) @[exu_mul_ctl.scala 137:112] + node _T_17886 = add(_T_17885, _T_17864) @[exu_mul_ctl.scala 137:112] + node _T_17887 = add(_T_17886, _T_17865) @[exu_mul_ctl.scala 137:112] + node _T_17888 = add(_T_17887, _T_17866) @[exu_mul_ctl.scala 137:112] + node _T_17889 = add(_T_17888, _T_17867) @[exu_mul_ctl.scala 137:112] + node _T_17890 = eq(_T_17889, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17891 = bits(_T_17890, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17892 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_17893 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17894 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17895 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17896 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17897 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17898 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17899 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17900 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17901 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17902 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17903 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17904 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17905 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17906 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17907 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17908 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17909 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17910 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17911 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17912 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17913 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17914 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17915 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17916 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17917 = add(_T_17893, _T_17894) @[exu_mul_ctl.scala 137:112] + node _T_17918 = add(_T_17917, _T_17895) @[exu_mul_ctl.scala 137:112] + node _T_17919 = add(_T_17918, _T_17896) @[exu_mul_ctl.scala 137:112] + node _T_17920 = add(_T_17919, _T_17897) @[exu_mul_ctl.scala 137:112] + node _T_17921 = add(_T_17920, _T_17898) @[exu_mul_ctl.scala 137:112] + node _T_17922 = add(_T_17921, _T_17899) @[exu_mul_ctl.scala 137:112] + node _T_17923 = add(_T_17922, _T_17900) @[exu_mul_ctl.scala 137:112] + node _T_17924 = add(_T_17923, _T_17901) @[exu_mul_ctl.scala 137:112] + node _T_17925 = add(_T_17924, _T_17902) @[exu_mul_ctl.scala 137:112] + node _T_17926 = add(_T_17925, _T_17903) @[exu_mul_ctl.scala 137:112] + node _T_17927 = add(_T_17926, _T_17904) @[exu_mul_ctl.scala 137:112] + node _T_17928 = add(_T_17927, _T_17905) @[exu_mul_ctl.scala 137:112] + node _T_17929 = add(_T_17928, _T_17906) @[exu_mul_ctl.scala 137:112] + node _T_17930 = add(_T_17929, _T_17907) @[exu_mul_ctl.scala 137:112] + node _T_17931 = add(_T_17930, _T_17908) @[exu_mul_ctl.scala 137:112] + node _T_17932 = add(_T_17931, _T_17909) @[exu_mul_ctl.scala 137:112] + node _T_17933 = add(_T_17932, _T_17910) @[exu_mul_ctl.scala 137:112] + node _T_17934 = add(_T_17933, _T_17911) @[exu_mul_ctl.scala 137:112] + node _T_17935 = add(_T_17934, _T_17912) @[exu_mul_ctl.scala 137:112] + node _T_17936 = add(_T_17935, _T_17913) @[exu_mul_ctl.scala 137:112] + node _T_17937 = add(_T_17936, _T_17914) @[exu_mul_ctl.scala 137:112] + node _T_17938 = add(_T_17937, _T_17915) @[exu_mul_ctl.scala 137:112] + node _T_17939 = add(_T_17938, _T_17916) @[exu_mul_ctl.scala 137:112] + node _T_17940 = eq(_T_17939, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17941 = bits(_T_17940, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17942 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_17943 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17944 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17945 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17946 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17947 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17948 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17949 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17950 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17951 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17952 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17953 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17954 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17955 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17956 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17957 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17958 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17959 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17960 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17961 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17962 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17963 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17964 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17965 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17966 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17967 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_17968 = add(_T_17943, _T_17944) @[exu_mul_ctl.scala 137:112] + node _T_17969 = add(_T_17968, _T_17945) @[exu_mul_ctl.scala 137:112] + node _T_17970 = add(_T_17969, _T_17946) @[exu_mul_ctl.scala 137:112] + node _T_17971 = add(_T_17970, _T_17947) @[exu_mul_ctl.scala 137:112] + node _T_17972 = add(_T_17971, _T_17948) @[exu_mul_ctl.scala 137:112] + node _T_17973 = add(_T_17972, _T_17949) @[exu_mul_ctl.scala 137:112] + node _T_17974 = add(_T_17973, _T_17950) @[exu_mul_ctl.scala 137:112] + node _T_17975 = add(_T_17974, _T_17951) @[exu_mul_ctl.scala 137:112] + node _T_17976 = add(_T_17975, _T_17952) @[exu_mul_ctl.scala 137:112] + node _T_17977 = add(_T_17976, _T_17953) @[exu_mul_ctl.scala 137:112] + node _T_17978 = add(_T_17977, _T_17954) @[exu_mul_ctl.scala 137:112] + node _T_17979 = add(_T_17978, _T_17955) @[exu_mul_ctl.scala 137:112] + node _T_17980 = add(_T_17979, _T_17956) @[exu_mul_ctl.scala 137:112] + node _T_17981 = add(_T_17980, _T_17957) @[exu_mul_ctl.scala 137:112] + node _T_17982 = add(_T_17981, _T_17958) @[exu_mul_ctl.scala 137:112] + node _T_17983 = add(_T_17982, _T_17959) @[exu_mul_ctl.scala 137:112] + node _T_17984 = add(_T_17983, _T_17960) @[exu_mul_ctl.scala 137:112] + node _T_17985 = add(_T_17984, _T_17961) @[exu_mul_ctl.scala 137:112] + node _T_17986 = add(_T_17985, _T_17962) @[exu_mul_ctl.scala 137:112] + node _T_17987 = add(_T_17986, _T_17963) @[exu_mul_ctl.scala 137:112] + node _T_17988 = add(_T_17987, _T_17964) @[exu_mul_ctl.scala 137:112] + node _T_17989 = add(_T_17988, _T_17965) @[exu_mul_ctl.scala 137:112] + node _T_17990 = add(_T_17989, _T_17966) @[exu_mul_ctl.scala 137:112] + node _T_17991 = add(_T_17990, _T_17967) @[exu_mul_ctl.scala 137:112] + node _T_17992 = eq(_T_17991, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17993 = bits(_T_17992, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17994 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_17995 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17996 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17997 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17998 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17999 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18000 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18001 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18002 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18003 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18004 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18005 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18006 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18007 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18008 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18009 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18010 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18011 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18012 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18013 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18014 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18015 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18016 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18017 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18018 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18019 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18020 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18021 = add(_T_17995, _T_17996) @[exu_mul_ctl.scala 137:112] + node _T_18022 = add(_T_18021, _T_17997) @[exu_mul_ctl.scala 137:112] + node _T_18023 = add(_T_18022, _T_17998) @[exu_mul_ctl.scala 137:112] + node _T_18024 = add(_T_18023, _T_17999) @[exu_mul_ctl.scala 137:112] + node _T_18025 = add(_T_18024, _T_18000) @[exu_mul_ctl.scala 137:112] + node _T_18026 = add(_T_18025, _T_18001) @[exu_mul_ctl.scala 137:112] + node _T_18027 = add(_T_18026, _T_18002) @[exu_mul_ctl.scala 137:112] + node _T_18028 = add(_T_18027, _T_18003) @[exu_mul_ctl.scala 137:112] + node _T_18029 = add(_T_18028, _T_18004) @[exu_mul_ctl.scala 137:112] + node _T_18030 = add(_T_18029, _T_18005) @[exu_mul_ctl.scala 137:112] + node _T_18031 = add(_T_18030, _T_18006) @[exu_mul_ctl.scala 137:112] + node _T_18032 = add(_T_18031, _T_18007) @[exu_mul_ctl.scala 137:112] + node _T_18033 = add(_T_18032, _T_18008) @[exu_mul_ctl.scala 137:112] + node _T_18034 = add(_T_18033, _T_18009) @[exu_mul_ctl.scala 137:112] + node _T_18035 = add(_T_18034, _T_18010) @[exu_mul_ctl.scala 137:112] + node _T_18036 = add(_T_18035, _T_18011) @[exu_mul_ctl.scala 137:112] + node _T_18037 = add(_T_18036, _T_18012) @[exu_mul_ctl.scala 137:112] + node _T_18038 = add(_T_18037, _T_18013) @[exu_mul_ctl.scala 137:112] + node _T_18039 = add(_T_18038, _T_18014) @[exu_mul_ctl.scala 137:112] + node _T_18040 = add(_T_18039, _T_18015) @[exu_mul_ctl.scala 137:112] + node _T_18041 = add(_T_18040, _T_18016) @[exu_mul_ctl.scala 137:112] + node _T_18042 = add(_T_18041, _T_18017) @[exu_mul_ctl.scala 137:112] + node _T_18043 = add(_T_18042, _T_18018) @[exu_mul_ctl.scala 137:112] + node _T_18044 = add(_T_18043, _T_18019) @[exu_mul_ctl.scala 137:112] + node _T_18045 = add(_T_18044, _T_18020) @[exu_mul_ctl.scala 137:112] + node _T_18046 = eq(_T_18045, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18047 = bits(_T_18046, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18048 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_18049 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18050 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18051 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18052 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18053 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18054 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18055 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18056 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18057 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18058 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18059 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18060 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18061 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18062 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18063 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18064 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18065 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18066 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18067 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18068 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18069 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18070 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18071 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18072 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18073 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18074 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18075 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18076 = add(_T_18049, _T_18050) @[exu_mul_ctl.scala 137:112] + node _T_18077 = add(_T_18076, _T_18051) @[exu_mul_ctl.scala 137:112] + node _T_18078 = add(_T_18077, _T_18052) @[exu_mul_ctl.scala 137:112] + node _T_18079 = add(_T_18078, _T_18053) @[exu_mul_ctl.scala 137:112] + node _T_18080 = add(_T_18079, _T_18054) @[exu_mul_ctl.scala 137:112] + node _T_18081 = add(_T_18080, _T_18055) @[exu_mul_ctl.scala 137:112] + node _T_18082 = add(_T_18081, _T_18056) @[exu_mul_ctl.scala 137:112] + node _T_18083 = add(_T_18082, _T_18057) @[exu_mul_ctl.scala 137:112] + node _T_18084 = add(_T_18083, _T_18058) @[exu_mul_ctl.scala 137:112] + node _T_18085 = add(_T_18084, _T_18059) @[exu_mul_ctl.scala 137:112] + node _T_18086 = add(_T_18085, _T_18060) @[exu_mul_ctl.scala 137:112] + node _T_18087 = add(_T_18086, _T_18061) @[exu_mul_ctl.scala 137:112] + node _T_18088 = add(_T_18087, _T_18062) @[exu_mul_ctl.scala 137:112] + node _T_18089 = add(_T_18088, _T_18063) @[exu_mul_ctl.scala 137:112] + node _T_18090 = add(_T_18089, _T_18064) @[exu_mul_ctl.scala 137:112] + node _T_18091 = add(_T_18090, _T_18065) @[exu_mul_ctl.scala 137:112] + node _T_18092 = add(_T_18091, _T_18066) @[exu_mul_ctl.scala 137:112] + node _T_18093 = add(_T_18092, _T_18067) @[exu_mul_ctl.scala 137:112] + node _T_18094 = add(_T_18093, _T_18068) @[exu_mul_ctl.scala 137:112] + node _T_18095 = add(_T_18094, _T_18069) @[exu_mul_ctl.scala 137:112] + node _T_18096 = add(_T_18095, _T_18070) @[exu_mul_ctl.scala 137:112] + node _T_18097 = add(_T_18096, _T_18071) @[exu_mul_ctl.scala 137:112] + node _T_18098 = add(_T_18097, _T_18072) @[exu_mul_ctl.scala 137:112] + node _T_18099 = add(_T_18098, _T_18073) @[exu_mul_ctl.scala 137:112] + node _T_18100 = add(_T_18099, _T_18074) @[exu_mul_ctl.scala 137:112] + node _T_18101 = add(_T_18100, _T_18075) @[exu_mul_ctl.scala 137:112] + node _T_18102 = eq(_T_18101, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18103 = bits(_T_18102, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18104 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_18105 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18106 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18107 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18108 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18109 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18110 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18111 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18112 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18113 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18114 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18115 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18116 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18117 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18118 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18119 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18120 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18121 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18122 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18123 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18124 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18125 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18126 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18127 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18128 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18129 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18130 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18131 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18132 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_18133 = add(_T_18105, _T_18106) @[exu_mul_ctl.scala 137:112] + node _T_18134 = add(_T_18133, _T_18107) @[exu_mul_ctl.scala 137:112] + node _T_18135 = add(_T_18134, _T_18108) @[exu_mul_ctl.scala 137:112] + node _T_18136 = add(_T_18135, _T_18109) @[exu_mul_ctl.scala 137:112] + node _T_18137 = add(_T_18136, _T_18110) @[exu_mul_ctl.scala 137:112] + node _T_18138 = add(_T_18137, _T_18111) @[exu_mul_ctl.scala 137:112] + node _T_18139 = add(_T_18138, _T_18112) @[exu_mul_ctl.scala 137:112] + node _T_18140 = add(_T_18139, _T_18113) @[exu_mul_ctl.scala 137:112] + node _T_18141 = add(_T_18140, _T_18114) @[exu_mul_ctl.scala 137:112] + node _T_18142 = add(_T_18141, _T_18115) @[exu_mul_ctl.scala 137:112] + node _T_18143 = add(_T_18142, _T_18116) @[exu_mul_ctl.scala 137:112] + node _T_18144 = add(_T_18143, _T_18117) @[exu_mul_ctl.scala 137:112] + node _T_18145 = add(_T_18144, _T_18118) @[exu_mul_ctl.scala 137:112] + node _T_18146 = add(_T_18145, _T_18119) @[exu_mul_ctl.scala 137:112] + node _T_18147 = add(_T_18146, _T_18120) @[exu_mul_ctl.scala 137:112] + node _T_18148 = add(_T_18147, _T_18121) @[exu_mul_ctl.scala 137:112] + node _T_18149 = add(_T_18148, _T_18122) @[exu_mul_ctl.scala 137:112] + node _T_18150 = add(_T_18149, _T_18123) @[exu_mul_ctl.scala 137:112] + node _T_18151 = add(_T_18150, _T_18124) @[exu_mul_ctl.scala 137:112] + node _T_18152 = add(_T_18151, _T_18125) @[exu_mul_ctl.scala 137:112] + node _T_18153 = add(_T_18152, _T_18126) @[exu_mul_ctl.scala 137:112] + node _T_18154 = add(_T_18153, _T_18127) @[exu_mul_ctl.scala 137:112] + node _T_18155 = add(_T_18154, _T_18128) @[exu_mul_ctl.scala 137:112] + node _T_18156 = add(_T_18155, _T_18129) @[exu_mul_ctl.scala 137:112] + node _T_18157 = add(_T_18156, _T_18130) @[exu_mul_ctl.scala 137:112] + node _T_18158 = add(_T_18157, _T_18131) @[exu_mul_ctl.scala 137:112] + node _T_18159 = add(_T_18158, _T_18132) @[exu_mul_ctl.scala 137:112] + node _T_18160 = eq(_T_18159, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18161 = bits(_T_18160, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18162 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_18163 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18164 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18165 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18166 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18167 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18168 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18169 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18170 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18171 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18172 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18173 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18174 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18175 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18176 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18177 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18178 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18179 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18180 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18181 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18182 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18183 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18184 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18185 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18186 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18187 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18188 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18189 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18190 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_18191 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_18192 = add(_T_18163, _T_18164) @[exu_mul_ctl.scala 137:112] + node _T_18193 = add(_T_18192, _T_18165) @[exu_mul_ctl.scala 137:112] + node _T_18194 = add(_T_18193, _T_18166) @[exu_mul_ctl.scala 137:112] + node _T_18195 = add(_T_18194, _T_18167) @[exu_mul_ctl.scala 137:112] + node _T_18196 = add(_T_18195, _T_18168) @[exu_mul_ctl.scala 137:112] + node _T_18197 = add(_T_18196, _T_18169) @[exu_mul_ctl.scala 137:112] + node _T_18198 = add(_T_18197, _T_18170) @[exu_mul_ctl.scala 137:112] + node _T_18199 = add(_T_18198, _T_18171) @[exu_mul_ctl.scala 137:112] + node _T_18200 = add(_T_18199, _T_18172) @[exu_mul_ctl.scala 137:112] + node _T_18201 = add(_T_18200, _T_18173) @[exu_mul_ctl.scala 137:112] + node _T_18202 = add(_T_18201, _T_18174) @[exu_mul_ctl.scala 137:112] + node _T_18203 = add(_T_18202, _T_18175) @[exu_mul_ctl.scala 137:112] + node _T_18204 = add(_T_18203, _T_18176) @[exu_mul_ctl.scala 137:112] + node _T_18205 = add(_T_18204, _T_18177) @[exu_mul_ctl.scala 137:112] + node _T_18206 = add(_T_18205, _T_18178) @[exu_mul_ctl.scala 137:112] + node _T_18207 = add(_T_18206, _T_18179) @[exu_mul_ctl.scala 137:112] + node _T_18208 = add(_T_18207, _T_18180) @[exu_mul_ctl.scala 137:112] + node _T_18209 = add(_T_18208, _T_18181) @[exu_mul_ctl.scala 137:112] + node _T_18210 = add(_T_18209, _T_18182) @[exu_mul_ctl.scala 137:112] + node _T_18211 = add(_T_18210, _T_18183) @[exu_mul_ctl.scala 137:112] + node _T_18212 = add(_T_18211, _T_18184) @[exu_mul_ctl.scala 137:112] + node _T_18213 = add(_T_18212, _T_18185) @[exu_mul_ctl.scala 137:112] + node _T_18214 = add(_T_18213, _T_18186) @[exu_mul_ctl.scala 137:112] + node _T_18215 = add(_T_18214, _T_18187) @[exu_mul_ctl.scala 137:112] + node _T_18216 = add(_T_18215, _T_18188) @[exu_mul_ctl.scala 137:112] + node _T_18217 = add(_T_18216, _T_18189) @[exu_mul_ctl.scala 137:112] + node _T_18218 = add(_T_18217, _T_18190) @[exu_mul_ctl.scala 137:112] + node _T_18219 = add(_T_18218, _T_18191) @[exu_mul_ctl.scala 137:112] + node _T_18220 = eq(_T_18219, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18221 = bits(_T_18220, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18222 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_18223 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18224 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18225 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18226 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18227 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18228 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18229 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18230 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18231 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18232 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18233 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18234 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18235 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18236 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18237 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18238 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18239 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18240 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18241 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18242 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18243 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18244 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18245 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18246 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18247 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18248 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18249 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18250 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_18251 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_18252 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_18253 = add(_T_18223, _T_18224) @[exu_mul_ctl.scala 137:112] + node _T_18254 = add(_T_18253, _T_18225) @[exu_mul_ctl.scala 137:112] + node _T_18255 = add(_T_18254, _T_18226) @[exu_mul_ctl.scala 137:112] + node _T_18256 = add(_T_18255, _T_18227) @[exu_mul_ctl.scala 137:112] + node _T_18257 = add(_T_18256, _T_18228) @[exu_mul_ctl.scala 137:112] + node _T_18258 = add(_T_18257, _T_18229) @[exu_mul_ctl.scala 137:112] + node _T_18259 = add(_T_18258, _T_18230) @[exu_mul_ctl.scala 137:112] + node _T_18260 = add(_T_18259, _T_18231) @[exu_mul_ctl.scala 137:112] + node _T_18261 = add(_T_18260, _T_18232) @[exu_mul_ctl.scala 137:112] + node _T_18262 = add(_T_18261, _T_18233) @[exu_mul_ctl.scala 137:112] + node _T_18263 = add(_T_18262, _T_18234) @[exu_mul_ctl.scala 137:112] + node _T_18264 = add(_T_18263, _T_18235) @[exu_mul_ctl.scala 137:112] + node _T_18265 = add(_T_18264, _T_18236) @[exu_mul_ctl.scala 137:112] + node _T_18266 = add(_T_18265, _T_18237) @[exu_mul_ctl.scala 137:112] + node _T_18267 = add(_T_18266, _T_18238) @[exu_mul_ctl.scala 137:112] + node _T_18268 = add(_T_18267, _T_18239) @[exu_mul_ctl.scala 137:112] + node _T_18269 = add(_T_18268, _T_18240) @[exu_mul_ctl.scala 137:112] + node _T_18270 = add(_T_18269, _T_18241) @[exu_mul_ctl.scala 137:112] + node _T_18271 = add(_T_18270, _T_18242) @[exu_mul_ctl.scala 137:112] + node _T_18272 = add(_T_18271, _T_18243) @[exu_mul_ctl.scala 137:112] + node _T_18273 = add(_T_18272, _T_18244) @[exu_mul_ctl.scala 137:112] + node _T_18274 = add(_T_18273, _T_18245) @[exu_mul_ctl.scala 137:112] + node _T_18275 = add(_T_18274, _T_18246) @[exu_mul_ctl.scala 137:112] + node _T_18276 = add(_T_18275, _T_18247) @[exu_mul_ctl.scala 137:112] + node _T_18277 = add(_T_18276, _T_18248) @[exu_mul_ctl.scala 137:112] + node _T_18278 = add(_T_18277, _T_18249) @[exu_mul_ctl.scala 137:112] + node _T_18279 = add(_T_18278, _T_18250) @[exu_mul_ctl.scala 137:112] + node _T_18280 = add(_T_18279, _T_18251) @[exu_mul_ctl.scala 137:112] + node _T_18281 = add(_T_18280, _T_18252) @[exu_mul_ctl.scala 137:112] + node _T_18282 = eq(_T_18281, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18283 = bits(_T_18282, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18284 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_18285 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18286 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18287 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18288 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18289 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18290 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18291 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18292 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18293 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18294 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18295 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18296 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18297 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18298 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18299 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18300 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18301 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18302 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18303 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18304 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18305 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18306 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18307 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18308 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18309 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18310 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18311 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18312 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_18313 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_18314 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_18315 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_18316 = add(_T_18285, _T_18286) @[exu_mul_ctl.scala 137:112] + node _T_18317 = add(_T_18316, _T_18287) @[exu_mul_ctl.scala 137:112] + node _T_18318 = add(_T_18317, _T_18288) @[exu_mul_ctl.scala 137:112] + node _T_18319 = add(_T_18318, _T_18289) @[exu_mul_ctl.scala 137:112] + node _T_18320 = add(_T_18319, _T_18290) @[exu_mul_ctl.scala 137:112] + node _T_18321 = add(_T_18320, _T_18291) @[exu_mul_ctl.scala 137:112] + node _T_18322 = add(_T_18321, _T_18292) @[exu_mul_ctl.scala 137:112] + node _T_18323 = add(_T_18322, _T_18293) @[exu_mul_ctl.scala 137:112] + node _T_18324 = add(_T_18323, _T_18294) @[exu_mul_ctl.scala 137:112] + node _T_18325 = add(_T_18324, _T_18295) @[exu_mul_ctl.scala 137:112] + node _T_18326 = add(_T_18325, _T_18296) @[exu_mul_ctl.scala 137:112] + node _T_18327 = add(_T_18326, _T_18297) @[exu_mul_ctl.scala 137:112] + node _T_18328 = add(_T_18327, _T_18298) @[exu_mul_ctl.scala 137:112] + node _T_18329 = add(_T_18328, _T_18299) @[exu_mul_ctl.scala 137:112] + node _T_18330 = add(_T_18329, _T_18300) @[exu_mul_ctl.scala 137:112] + node _T_18331 = add(_T_18330, _T_18301) @[exu_mul_ctl.scala 137:112] + node _T_18332 = add(_T_18331, _T_18302) @[exu_mul_ctl.scala 137:112] + node _T_18333 = add(_T_18332, _T_18303) @[exu_mul_ctl.scala 137:112] + node _T_18334 = add(_T_18333, _T_18304) @[exu_mul_ctl.scala 137:112] + node _T_18335 = add(_T_18334, _T_18305) @[exu_mul_ctl.scala 137:112] + node _T_18336 = add(_T_18335, _T_18306) @[exu_mul_ctl.scala 137:112] + node _T_18337 = add(_T_18336, _T_18307) @[exu_mul_ctl.scala 137:112] + node _T_18338 = add(_T_18337, _T_18308) @[exu_mul_ctl.scala 137:112] + node _T_18339 = add(_T_18338, _T_18309) @[exu_mul_ctl.scala 137:112] + node _T_18340 = add(_T_18339, _T_18310) @[exu_mul_ctl.scala 137:112] + node _T_18341 = add(_T_18340, _T_18311) @[exu_mul_ctl.scala 137:112] + node _T_18342 = add(_T_18341, _T_18312) @[exu_mul_ctl.scala 137:112] + node _T_18343 = add(_T_18342, _T_18313) @[exu_mul_ctl.scala 137:112] + node _T_18344 = add(_T_18343, _T_18314) @[exu_mul_ctl.scala 137:112] + node _T_18345 = add(_T_18344, _T_18315) @[exu_mul_ctl.scala 137:112] + node _T_18346 = eq(_T_18345, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18347 = bits(_T_18346, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18348 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_18349 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18350 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18351 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18352 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18353 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18354 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18355 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18356 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18357 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18358 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18359 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18360 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18361 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18362 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18363 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18364 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18365 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18366 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18367 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18368 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18369 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18370 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18371 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18372 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18373 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18374 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18375 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18376 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_18377 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_18378 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_18379 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_18380 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_18381 = add(_T_18349, _T_18350) @[exu_mul_ctl.scala 137:112] + node _T_18382 = add(_T_18381, _T_18351) @[exu_mul_ctl.scala 137:112] + node _T_18383 = add(_T_18382, _T_18352) @[exu_mul_ctl.scala 137:112] + node _T_18384 = add(_T_18383, _T_18353) @[exu_mul_ctl.scala 137:112] + node _T_18385 = add(_T_18384, _T_18354) @[exu_mul_ctl.scala 137:112] + node _T_18386 = add(_T_18385, _T_18355) @[exu_mul_ctl.scala 137:112] + node _T_18387 = add(_T_18386, _T_18356) @[exu_mul_ctl.scala 137:112] + node _T_18388 = add(_T_18387, _T_18357) @[exu_mul_ctl.scala 137:112] + node _T_18389 = add(_T_18388, _T_18358) @[exu_mul_ctl.scala 137:112] + node _T_18390 = add(_T_18389, _T_18359) @[exu_mul_ctl.scala 137:112] + node _T_18391 = add(_T_18390, _T_18360) @[exu_mul_ctl.scala 137:112] + node _T_18392 = add(_T_18391, _T_18361) @[exu_mul_ctl.scala 137:112] + node _T_18393 = add(_T_18392, _T_18362) @[exu_mul_ctl.scala 137:112] + node _T_18394 = add(_T_18393, _T_18363) @[exu_mul_ctl.scala 137:112] + node _T_18395 = add(_T_18394, _T_18364) @[exu_mul_ctl.scala 137:112] + node _T_18396 = add(_T_18395, _T_18365) @[exu_mul_ctl.scala 137:112] + node _T_18397 = add(_T_18396, _T_18366) @[exu_mul_ctl.scala 137:112] + node _T_18398 = add(_T_18397, _T_18367) @[exu_mul_ctl.scala 137:112] + node _T_18399 = add(_T_18398, _T_18368) @[exu_mul_ctl.scala 137:112] + node _T_18400 = add(_T_18399, _T_18369) @[exu_mul_ctl.scala 137:112] + node _T_18401 = add(_T_18400, _T_18370) @[exu_mul_ctl.scala 137:112] + node _T_18402 = add(_T_18401, _T_18371) @[exu_mul_ctl.scala 137:112] + node _T_18403 = add(_T_18402, _T_18372) @[exu_mul_ctl.scala 137:112] + node _T_18404 = add(_T_18403, _T_18373) @[exu_mul_ctl.scala 137:112] + node _T_18405 = add(_T_18404, _T_18374) @[exu_mul_ctl.scala 137:112] + node _T_18406 = add(_T_18405, _T_18375) @[exu_mul_ctl.scala 137:112] + node _T_18407 = add(_T_18406, _T_18376) @[exu_mul_ctl.scala 137:112] + node _T_18408 = add(_T_18407, _T_18377) @[exu_mul_ctl.scala 137:112] + node _T_18409 = add(_T_18408, _T_18378) @[exu_mul_ctl.scala 137:112] + node _T_18410 = add(_T_18409, _T_18379) @[exu_mul_ctl.scala 137:112] + node _T_18411 = add(_T_18410, _T_18380) @[exu_mul_ctl.scala 137:112] + node _T_18412 = eq(_T_18411, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18413 = bits(_T_18412, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18414 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_18415 = mux(_T_18413, _T_18414, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_18416 = mux(_T_18347, _T_18348, _T_18415) @[Mux.scala 98:16] + node _T_18417 = mux(_T_18283, _T_18284, _T_18416) @[Mux.scala 98:16] + node _T_18418 = mux(_T_18221, _T_18222, _T_18417) @[Mux.scala 98:16] + node _T_18419 = mux(_T_18161, _T_18162, _T_18418) @[Mux.scala 98:16] + node _T_18420 = mux(_T_18103, _T_18104, _T_18419) @[Mux.scala 98:16] + node _T_18421 = mux(_T_18047, _T_18048, _T_18420) @[Mux.scala 98:16] + node _T_18422 = mux(_T_17993, _T_17994, _T_18421) @[Mux.scala 98:16] + node _T_18423 = mux(_T_17941, _T_17942, _T_18422) @[Mux.scala 98:16] + node _T_18424 = mux(_T_17891, _T_17892, _T_18423) @[Mux.scala 98:16] + node _T_18425 = mux(_T_17843, _T_17844, _T_18424) @[Mux.scala 98:16] + node _T_18426 = mux(_T_17797, _T_17798, _T_18425) @[Mux.scala 98:16] + node _T_18427 = mux(_T_17753, _T_17754, _T_18426) @[Mux.scala 98:16] + node _T_18428 = mux(_T_17711, _T_17712, _T_18427) @[Mux.scala 98:16] + node _T_18429 = mux(_T_17671, _T_17672, _T_18428) @[Mux.scala 98:16] + node _T_18430 = mux(_T_17633, _T_17634, _T_18429) @[Mux.scala 98:16] + node _T_18431 = mux(_T_17597, _T_17598, _T_18430) @[Mux.scala 98:16] + node _T_18432 = mux(_T_17563, _T_17564, _T_18431) @[Mux.scala 98:16] + node _T_18433 = mux(_T_17531, _T_17532, _T_18432) @[Mux.scala 98:16] + node _T_18434 = mux(_T_17501, _T_17502, _T_18433) @[Mux.scala 98:16] + node _T_18435 = mux(_T_17473, _T_17474, _T_18434) @[Mux.scala 98:16] + node _T_18436 = mux(_T_17447, _T_17448, _T_18435) @[Mux.scala 98:16] + node _T_18437 = mux(_T_17423, _T_17424, _T_18436) @[Mux.scala 98:16] + node _T_18438 = mux(_T_17401, _T_17402, _T_18437) @[Mux.scala 98:16] + node _T_18439 = mux(_T_17381, _T_17382, _T_18438) @[Mux.scala 98:16] + node _T_18440 = mux(_T_17363, _T_17364, _T_18439) @[Mux.scala 98:16] + node _T_18441 = mux(_T_17347, _T_17348, _T_18440) @[Mux.scala 98:16] + node _T_18442 = mux(_T_17333, _T_17334, _T_18441) @[Mux.scala 98:16] + node _T_18443 = mux(_T_17321, _T_17322, _T_18442) @[Mux.scala 98:16] + node _T_18444 = mux(_T_17311, _T_17312, _T_18443) @[Mux.scala 98:16] + node _T_18445 = mux(_T_17303, _T_17304, _T_18444) @[Mux.scala 98:16] + node _T_18446 = mux(_T_17297, _T_17298, _T_18445) @[Mux.scala 98:16] + node _T_18447 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_18448 = eq(_T_18447, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18449 = bits(_T_18448, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18450 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_18451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18453 = add(_T_18451, _T_18452) @[exu_mul_ctl.scala 137:112] + node _T_18454 = eq(_T_18453, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18455 = bits(_T_18454, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18456 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_18457 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18458 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18459 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18460 = add(_T_18457, _T_18458) @[exu_mul_ctl.scala 137:112] + node _T_18461 = add(_T_18460, _T_18459) @[exu_mul_ctl.scala 137:112] + node _T_18462 = eq(_T_18461, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18463 = bits(_T_18462, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18464 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_18465 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18466 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18467 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18468 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18469 = add(_T_18465, _T_18466) @[exu_mul_ctl.scala 137:112] + node _T_18470 = add(_T_18469, _T_18467) @[exu_mul_ctl.scala 137:112] + node _T_18471 = add(_T_18470, _T_18468) @[exu_mul_ctl.scala 137:112] + node _T_18472 = eq(_T_18471, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18473 = bits(_T_18472, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18474 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_18475 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18476 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18477 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18478 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18479 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18480 = add(_T_18475, _T_18476) @[exu_mul_ctl.scala 137:112] + node _T_18481 = add(_T_18480, _T_18477) @[exu_mul_ctl.scala 137:112] + node _T_18482 = add(_T_18481, _T_18478) @[exu_mul_ctl.scala 137:112] + node _T_18483 = add(_T_18482, _T_18479) @[exu_mul_ctl.scala 137:112] + node _T_18484 = eq(_T_18483, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18485 = bits(_T_18484, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18486 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_18487 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18488 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18489 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18490 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18491 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18492 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18493 = add(_T_18487, _T_18488) @[exu_mul_ctl.scala 137:112] + node _T_18494 = add(_T_18493, _T_18489) @[exu_mul_ctl.scala 137:112] + node _T_18495 = add(_T_18494, _T_18490) @[exu_mul_ctl.scala 137:112] + node _T_18496 = add(_T_18495, _T_18491) @[exu_mul_ctl.scala 137:112] + node _T_18497 = add(_T_18496, _T_18492) @[exu_mul_ctl.scala 137:112] + node _T_18498 = eq(_T_18497, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18499 = bits(_T_18498, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18500 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_18501 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18502 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18503 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18504 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18505 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18506 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18507 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18508 = add(_T_18501, _T_18502) @[exu_mul_ctl.scala 137:112] + node _T_18509 = add(_T_18508, _T_18503) @[exu_mul_ctl.scala 137:112] + node _T_18510 = add(_T_18509, _T_18504) @[exu_mul_ctl.scala 137:112] + node _T_18511 = add(_T_18510, _T_18505) @[exu_mul_ctl.scala 137:112] + node _T_18512 = add(_T_18511, _T_18506) @[exu_mul_ctl.scala 137:112] + node _T_18513 = add(_T_18512, _T_18507) @[exu_mul_ctl.scala 137:112] + node _T_18514 = eq(_T_18513, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18515 = bits(_T_18514, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18516 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_18517 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18518 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18519 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18520 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18521 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18522 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18523 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18524 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18525 = add(_T_18517, _T_18518) @[exu_mul_ctl.scala 137:112] + node _T_18526 = add(_T_18525, _T_18519) @[exu_mul_ctl.scala 137:112] + node _T_18527 = add(_T_18526, _T_18520) @[exu_mul_ctl.scala 137:112] + node _T_18528 = add(_T_18527, _T_18521) @[exu_mul_ctl.scala 137:112] + node _T_18529 = add(_T_18528, _T_18522) @[exu_mul_ctl.scala 137:112] + node _T_18530 = add(_T_18529, _T_18523) @[exu_mul_ctl.scala 137:112] + node _T_18531 = add(_T_18530, _T_18524) @[exu_mul_ctl.scala 137:112] + node _T_18532 = eq(_T_18531, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18533 = bits(_T_18532, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18534 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_18535 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18536 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18537 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18538 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18539 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18540 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18541 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18542 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18543 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18544 = add(_T_18535, _T_18536) @[exu_mul_ctl.scala 137:112] + node _T_18545 = add(_T_18544, _T_18537) @[exu_mul_ctl.scala 137:112] + node _T_18546 = add(_T_18545, _T_18538) @[exu_mul_ctl.scala 137:112] + node _T_18547 = add(_T_18546, _T_18539) @[exu_mul_ctl.scala 137:112] + node _T_18548 = add(_T_18547, _T_18540) @[exu_mul_ctl.scala 137:112] + node _T_18549 = add(_T_18548, _T_18541) @[exu_mul_ctl.scala 137:112] + node _T_18550 = add(_T_18549, _T_18542) @[exu_mul_ctl.scala 137:112] + node _T_18551 = add(_T_18550, _T_18543) @[exu_mul_ctl.scala 137:112] + node _T_18552 = eq(_T_18551, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18553 = bits(_T_18552, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18554 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_18555 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18556 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18557 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18558 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18559 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18560 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18561 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18562 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18563 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18564 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18565 = add(_T_18555, _T_18556) @[exu_mul_ctl.scala 137:112] + node _T_18566 = add(_T_18565, _T_18557) @[exu_mul_ctl.scala 137:112] + node _T_18567 = add(_T_18566, _T_18558) @[exu_mul_ctl.scala 137:112] + node _T_18568 = add(_T_18567, _T_18559) @[exu_mul_ctl.scala 137:112] + node _T_18569 = add(_T_18568, _T_18560) @[exu_mul_ctl.scala 137:112] + node _T_18570 = add(_T_18569, _T_18561) @[exu_mul_ctl.scala 137:112] + node _T_18571 = add(_T_18570, _T_18562) @[exu_mul_ctl.scala 137:112] + node _T_18572 = add(_T_18571, _T_18563) @[exu_mul_ctl.scala 137:112] + node _T_18573 = add(_T_18572, _T_18564) @[exu_mul_ctl.scala 137:112] + node _T_18574 = eq(_T_18573, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18575 = bits(_T_18574, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18576 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_18577 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18578 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18579 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18580 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18581 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18582 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18583 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18584 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18585 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18586 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18587 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18588 = add(_T_18577, _T_18578) @[exu_mul_ctl.scala 137:112] + node _T_18589 = add(_T_18588, _T_18579) @[exu_mul_ctl.scala 137:112] + node _T_18590 = add(_T_18589, _T_18580) @[exu_mul_ctl.scala 137:112] + node _T_18591 = add(_T_18590, _T_18581) @[exu_mul_ctl.scala 137:112] + node _T_18592 = add(_T_18591, _T_18582) @[exu_mul_ctl.scala 137:112] + node _T_18593 = add(_T_18592, _T_18583) @[exu_mul_ctl.scala 137:112] + node _T_18594 = add(_T_18593, _T_18584) @[exu_mul_ctl.scala 137:112] + node _T_18595 = add(_T_18594, _T_18585) @[exu_mul_ctl.scala 137:112] + node _T_18596 = add(_T_18595, _T_18586) @[exu_mul_ctl.scala 137:112] + node _T_18597 = add(_T_18596, _T_18587) @[exu_mul_ctl.scala 137:112] + node _T_18598 = eq(_T_18597, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18599 = bits(_T_18598, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18600 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_18601 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18602 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18603 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18604 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18605 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18606 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18607 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18608 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18609 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18610 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18611 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18612 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18613 = add(_T_18601, _T_18602) @[exu_mul_ctl.scala 137:112] + node _T_18614 = add(_T_18613, _T_18603) @[exu_mul_ctl.scala 137:112] + node _T_18615 = add(_T_18614, _T_18604) @[exu_mul_ctl.scala 137:112] + node _T_18616 = add(_T_18615, _T_18605) @[exu_mul_ctl.scala 137:112] + node _T_18617 = add(_T_18616, _T_18606) @[exu_mul_ctl.scala 137:112] + node _T_18618 = add(_T_18617, _T_18607) @[exu_mul_ctl.scala 137:112] + node _T_18619 = add(_T_18618, _T_18608) @[exu_mul_ctl.scala 137:112] + node _T_18620 = add(_T_18619, _T_18609) @[exu_mul_ctl.scala 137:112] + node _T_18621 = add(_T_18620, _T_18610) @[exu_mul_ctl.scala 137:112] + node _T_18622 = add(_T_18621, _T_18611) @[exu_mul_ctl.scala 137:112] + node _T_18623 = add(_T_18622, _T_18612) @[exu_mul_ctl.scala 137:112] + node _T_18624 = eq(_T_18623, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18625 = bits(_T_18624, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18626 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_18627 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18628 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18629 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18630 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18631 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18632 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18633 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18634 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18635 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18636 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18637 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18638 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18639 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18640 = add(_T_18627, _T_18628) @[exu_mul_ctl.scala 137:112] + node _T_18641 = add(_T_18640, _T_18629) @[exu_mul_ctl.scala 137:112] + node _T_18642 = add(_T_18641, _T_18630) @[exu_mul_ctl.scala 137:112] + node _T_18643 = add(_T_18642, _T_18631) @[exu_mul_ctl.scala 137:112] + node _T_18644 = add(_T_18643, _T_18632) @[exu_mul_ctl.scala 137:112] + node _T_18645 = add(_T_18644, _T_18633) @[exu_mul_ctl.scala 137:112] + node _T_18646 = add(_T_18645, _T_18634) @[exu_mul_ctl.scala 137:112] + node _T_18647 = add(_T_18646, _T_18635) @[exu_mul_ctl.scala 137:112] + node _T_18648 = add(_T_18647, _T_18636) @[exu_mul_ctl.scala 137:112] + node _T_18649 = add(_T_18648, _T_18637) @[exu_mul_ctl.scala 137:112] + node _T_18650 = add(_T_18649, _T_18638) @[exu_mul_ctl.scala 137:112] + node _T_18651 = add(_T_18650, _T_18639) @[exu_mul_ctl.scala 137:112] + node _T_18652 = eq(_T_18651, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18653 = bits(_T_18652, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18654 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_18655 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18656 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18657 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18658 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18659 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18660 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18661 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18662 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18663 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18664 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18665 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18666 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18667 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18668 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18669 = add(_T_18655, _T_18656) @[exu_mul_ctl.scala 137:112] + node _T_18670 = add(_T_18669, _T_18657) @[exu_mul_ctl.scala 137:112] + node _T_18671 = add(_T_18670, _T_18658) @[exu_mul_ctl.scala 137:112] + node _T_18672 = add(_T_18671, _T_18659) @[exu_mul_ctl.scala 137:112] + node _T_18673 = add(_T_18672, _T_18660) @[exu_mul_ctl.scala 137:112] + node _T_18674 = add(_T_18673, _T_18661) @[exu_mul_ctl.scala 137:112] + node _T_18675 = add(_T_18674, _T_18662) @[exu_mul_ctl.scala 137:112] + node _T_18676 = add(_T_18675, _T_18663) @[exu_mul_ctl.scala 137:112] + node _T_18677 = add(_T_18676, _T_18664) @[exu_mul_ctl.scala 137:112] + node _T_18678 = add(_T_18677, _T_18665) @[exu_mul_ctl.scala 137:112] + node _T_18679 = add(_T_18678, _T_18666) @[exu_mul_ctl.scala 137:112] + node _T_18680 = add(_T_18679, _T_18667) @[exu_mul_ctl.scala 137:112] + node _T_18681 = add(_T_18680, _T_18668) @[exu_mul_ctl.scala 137:112] + node _T_18682 = eq(_T_18681, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18683 = bits(_T_18682, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18684 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_18685 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18686 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18687 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18688 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18689 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18690 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18691 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18692 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18693 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18694 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18695 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18696 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18697 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18698 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18699 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18700 = add(_T_18685, _T_18686) @[exu_mul_ctl.scala 137:112] + node _T_18701 = add(_T_18700, _T_18687) @[exu_mul_ctl.scala 137:112] + node _T_18702 = add(_T_18701, _T_18688) @[exu_mul_ctl.scala 137:112] + node _T_18703 = add(_T_18702, _T_18689) @[exu_mul_ctl.scala 137:112] + node _T_18704 = add(_T_18703, _T_18690) @[exu_mul_ctl.scala 137:112] + node _T_18705 = add(_T_18704, _T_18691) @[exu_mul_ctl.scala 137:112] + node _T_18706 = add(_T_18705, _T_18692) @[exu_mul_ctl.scala 137:112] + node _T_18707 = add(_T_18706, _T_18693) @[exu_mul_ctl.scala 137:112] + node _T_18708 = add(_T_18707, _T_18694) @[exu_mul_ctl.scala 137:112] + node _T_18709 = add(_T_18708, _T_18695) @[exu_mul_ctl.scala 137:112] + node _T_18710 = add(_T_18709, _T_18696) @[exu_mul_ctl.scala 137:112] + node _T_18711 = add(_T_18710, _T_18697) @[exu_mul_ctl.scala 137:112] + node _T_18712 = add(_T_18711, _T_18698) @[exu_mul_ctl.scala 137:112] + node _T_18713 = add(_T_18712, _T_18699) @[exu_mul_ctl.scala 137:112] + node _T_18714 = eq(_T_18713, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18715 = bits(_T_18714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18716 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_18717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18724 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18725 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18726 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18727 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18728 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18729 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18730 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18731 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18732 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18733 = add(_T_18717, _T_18718) @[exu_mul_ctl.scala 137:112] + node _T_18734 = add(_T_18733, _T_18719) @[exu_mul_ctl.scala 137:112] + node _T_18735 = add(_T_18734, _T_18720) @[exu_mul_ctl.scala 137:112] + node _T_18736 = add(_T_18735, _T_18721) @[exu_mul_ctl.scala 137:112] + node _T_18737 = add(_T_18736, _T_18722) @[exu_mul_ctl.scala 137:112] + node _T_18738 = add(_T_18737, _T_18723) @[exu_mul_ctl.scala 137:112] + node _T_18739 = add(_T_18738, _T_18724) @[exu_mul_ctl.scala 137:112] + node _T_18740 = add(_T_18739, _T_18725) @[exu_mul_ctl.scala 137:112] + node _T_18741 = add(_T_18740, _T_18726) @[exu_mul_ctl.scala 137:112] + node _T_18742 = add(_T_18741, _T_18727) @[exu_mul_ctl.scala 137:112] + node _T_18743 = add(_T_18742, _T_18728) @[exu_mul_ctl.scala 137:112] + node _T_18744 = add(_T_18743, _T_18729) @[exu_mul_ctl.scala 137:112] + node _T_18745 = add(_T_18744, _T_18730) @[exu_mul_ctl.scala 137:112] + node _T_18746 = add(_T_18745, _T_18731) @[exu_mul_ctl.scala 137:112] + node _T_18747 = add(_T_18746, _T_18732) @[exu_mul_ctl.scala 137:112] + node _T_18748 = eq(_T_18747, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18749 = bits(_T_18748, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18750 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_18751 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18752 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18753 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18754 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18755 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18756 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18757 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18758 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18759 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18760 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18761 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18762 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18763 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18764 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18765 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18766 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18767 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18768 = add(_T_18751, _T_18752) @[exu_mul_ctl.scala 137:112] + node _T_18769 = add(_T_18768, _T_18753) @[exu_mul_ctl.scala 137:112] + node _T_18770 = add(_T_18769, _T_18754) @[exu_mul_ctl.scala 137:112] + node _T_18771 = add(_T_18770, _T_18755) @[exu_mul_ctl.scala 137:112] + node _T_18772 = add(_T_18771, _T_18756) @[exu_mul_ctl.scala 137:112] + node _T_18773 = add(_T_18772, _T_18757) @[exu_mul_ctl.scala 137:112] + node _T_18774 = add(_T_18773, _T_18758) @[exu_mul_ctl.scala 137:112] + node _T_18775 = add(_T_18774, _T_18759) @[exu_mul_ctl.scala 137:112] + node _T_18776 = add(_T_18775, _T_18760) @[exu_mul_ctl.scala 137:112] + node _T_18777 = add(_T_18776, _T_18761) @[exu_mul_ctl.scala 137:112] + node _T_18778 = add(_T_18777, _T_18762) @[exu_mul_ctl.scala 137:112] + node _T_18779 = add(_T_18778, _T_18763) @[exu_mul_ctl.scala 137:112] + node _T_18780 = add(_T_18779, _T_18764) @[exu_mul_ctl.scala 137:112] + node _T_18781 = add(_T_18780, _T_18765) @[exu_mul_ctl.scala 137:112] + node _T_18782 = add(_T_18781, _T_18766) @[exu_mul_ctl.scala 137:112] + node _T_18783 = add(_T_18782, _T_18767) @[exu_mul_ctl.scala 137:112] + node _T_18784 = eq(_T_18783, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18785 = bits(_T_18784, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18786 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_18787 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18788 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18789 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18790 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18791 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18792 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18793 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18794 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18795 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18796 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18797 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18798 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18799 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18800 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18801 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18802 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18803 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18804 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18805 = add(_T_18787, _T_18788) @[exu_mul_ctl.scala 137:112] + node _T_18806 = add(_T_18805, _T_18789) @[exu_mul_ctl.scala 137:112] + node _T_18807 = add(_T_18806, _T_18790) @[exu_mul_ctl.scala 137:112] + node _T_18808 = add(_T_18807, _T_18791) @[exu_mul_ctl.scala 137:112] + node _T_18809 = add(_T_18808, _T_18792) @[exu_mul_ctl.scala 137:112] + node _T_18810 = add(_T_18809, _T_18793) @[exu_mul_ctl.scala 137:112] + node _T_18811 = add(_T_18810, _T_18794) @[exu_mul_ctl.scala 137:112] + node _T_18812 = add(_T_18811, _T_18795) @[exu_mul_ctl.scala 137:112] + node _T_18813 = add(_T_18812, _T_18796) @[exu_mul_ctl.scala 137:112] + node _T_18814 = add(_T_18813, _T_18797) @[exu_mul_ctl.scala 137:112] + node _T_18815 = add(_T_18814, _T_18798) @[exu_mul_ctl.scala 137:112] + node _T_18816 = add(_T_18815, _T_18799) @[exu_mul_ctl.scala 137:112] + node _T_18817 = add(_T_18816, _T_18800) @[exu_mul_ctl.scala 137:112] + node _T_18818 = add(_T_18817, _T_18801) @[exu_mul_ctl.scala 137:112] + node _T_18819 = add(_T_18818, _T_18802) @[exu_mul_ctl.scala 137:112] + node _T_18820 = add(_T_18819, _T_18803) @[exu_mul_ctl.scala 137:112] + node _T_18821 = add(_T_18820, _T_18804) @[exu_mul_ctl.scala 137:112] + node _T_18822 = eq(_T_18821, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18823 = bits(_T_18822, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18824 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_18825 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18826 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18827 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18828 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18829 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18830 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18831 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18832 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18833 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18834 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18835 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18836 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18837 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18838 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18839 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18840 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18841 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18842 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18843 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18844 = add(_T_18825, _T_18826) @[exu_mul_ctl.scala 137:112] + node _T_18845 = add(_T_18844, _T_18827) @[exu_mul_ctl.scala 137:112] + node _T_18846 = add(_T_18845, _T_18828) @[exu_mul_ctl.scala 137:112] + node _T_18847 = add(_T_18846, _T_18829) @[exu_mul_ctl.scala 137:112] + node _T_18848 = add(_T_18847, _T_18830) @[exu_mul_ctl.scala 137:112] + node _T_18849 = add(_T_18848, _T_18831) @[exu_mul_ctl.scala 137:112] + node _T_18850 = add(_T_18849, _T_18832) @[exu_mul_ctl.scala 137:112] + node _T_18851 = add(_T_18850, _T_18833) @[exu_mul_ctl.scala 137:112] + node _T_18852 = add(_T_18851, _T_18834) @[exu_mul_ctl.scala 137:112] + node _T_18853 = add(_T_18852, _T_18835) @[exu_mul_ctl.scala 137:112] + node _T_18854 = add(_T_18853, _T_18836) @[exu_mul_ctl.scala 137:112] + node _T_18855 = add(_T_18854, _T_18837) @[exu_mul_ctl.scala 137:112] + node _T_18856 = add(_T_18855, _T_18838) @[exu_mul_ctl.scala 137:112] + node _T_18857 = add(_T_18856, _T_18839) @[exu_mul_ctl.scala 137:112] + node _T_18858 = add(_T_18857, _T_18840) @[exu_mul_ctl.scala 137:112] + node _T_18859 = add(_T_18858, _T_18841) @[exu_mul_ctl.scala 137:112] + node _T_18860 = add(_T_18859, _T_18842) @[exu_mul_ctl.scala 137:112] + node _T_18861 = add(_T_18860, _T_18843) @[exu_mul_ctl.scala 137:112] + node _T_18862 = eq(_T_18861, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18863 = bits(_T_18862, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18864 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_18865 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18866 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18867 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18868 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18869 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18870 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18871 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18872 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18873 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18874 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18875 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18876 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18877 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18878 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18879 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18880 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18881 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18882 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18883 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18884 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18885 = add(_T_18865, _T_18866) @[exu_mul_ctl.scala 137:112] + node _T_18886 = add(_T_18885, _T_18867) @[exu_mul_ctl.scala 137:112] + node _T_18887 = add(_T_18886, _T_18868) @[exu_mul_ctl.scala 137:112] + node _T_18888 = add(_T_18887, _T_18869) @[exu_mul_ctl.scala 137:112] + node _T_18889 = add(_T_18888, _T_18870) @[exu_mul_ctl.scala 137:112] + node _T_18890 = add(_T_18889, _T_18871) @[exu_mul_ctl.scala 137:112] + node _T_18891 = add(_T_18890, _T_18872) @[exu_mul_ctl.scala 137:112] + node _T_18892 = add(_T_18891, _T_18873) @[exu_mul_ctl.scala 137:112] + node _T_18893 = add(_T_18892, _T_18874) @[exu_mul_ctl.scala 137:112] + node _T_18894 = add(_T_18893, _T_18875) @[exu_mul_ctl.scala 137:112] + node _T_18895 = add(_T_18894, _T_18876) @[exu_mul_ctl.scala 137:112] + node _T_18896 = add(_T_18895, _T_18877) @[exu_mul_ctl.scala 137:112] + node _T_18897 = add(_T_18896, _T_18878) @[exu_mul_ctl.scala 137:112] + node _T_18898 = add(_T_18897, _T_18879) @[exu_mul_ctl.scala 137:112] + node _T_18899 = add(_T_18898, _T_18880) @[exu_mul_ctl.scala 137:112] + node _T_18900 = add(_T_18899, _T_18881) @[exu_mul_ctl.scala 137:112] + node _T_18901 = add(_T_18900, _T_18882) @[exu_mul_ctl.scala 137:112] + node _T_18902 = add(_T_18901, _T_18883) @[exu_mul_ctl.scala 137:112] + node _T_18903 = add(_T_18902, _T_18884) @[exu_mul_ctl.scala 137:112] + node _T_18904 = eq(_T_18903, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18905 = bits(_T_18904, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18906 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_18907 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18908 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18909 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18910 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18911 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18912 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18913 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18914 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18915 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18916 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18917 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18918 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18919 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18920 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18921 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18922 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18923 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18924 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18925 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18926 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18927 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18928 = add(_T_18907, _T_18908) @[exu_mul_ctl.scala 137:112] + node _T_18929 = add(_T_18928, _T_18909) @[exu_mul_ctl.scala 137:112] + node _T_18930 = add(_T_18929, _T_18910) @[exu_mul_ctl.scala 137:112] + node _T_18931 = add(_T_18930, _T_18911) @[exu_mul_ctl.scala 137:112] + node _T_18932 = add(_T_18931, _T_18912) @[exu_mul_ctl.scala 137:112] + node _T_18933 = add(_T_18932, _T_18913) @[exu_mul_ctl.scala 137:112] + node _T_18934 = add(_T_18933, _T_18914) @[exu_mul_ctl.scala 137:112] + node _T_18935 = add(_T_18934, _T_18915) @[exu_mul_ctl.scala 137:112] + node _T_18936 = add(_T_18935, _T_18916) @[exu_mul_ctl.scala 137:112] + node _T_18937 = add(_T_18936, _T_18917) @[exu_mul_ctl.scala 137:112] + node _T_18938 = add(_T_18937, _T_18918) @[exu_mul_ctl.scala 137:112] + node _T_18939 = add(_T_18938, _T_18919) @[exu_mul_ctl.scala 137:112] + node _T_18940 = add(_T_18939, _T_18920) @[exu_mul_ctl.scala 137:112] + node _T_18941 = add(_T_18940, _T_18921) @[exu_mul_ctl.scala 137:112] + node _T_18942 = add(_T_18941, _T_18922) @[exu_mul_ctl.scala 137:112] + node _T_18943 = add(_T_18942, _T_18923) @[exu_mul_ctl.scala 137:112] + node _T_18944 = add(_T_18943, _T_18924) @[exu_mul_ctl.scala 137:112] + node _T_18945 = add(_T_18944, _T_18925) @[exu_mul_ctl.scala 137:112] + node _T_18946 = add(_T_18945, _T_18926) @[exu_mul_ctl.scala 137:112] + node _T_18947 = add(_T_18946, _T_18927) @[exu_mul_ctl.scala 137:112] + node _T_18948 = eq(_T_18947, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18949 = bits(_T_18948, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18950 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_18951 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18952 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18953 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18954 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18955 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18956 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18957 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18958 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18959 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18960 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18961 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18962 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18963 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18964 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18965 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18966 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18967 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18968 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18969 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18970 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18971 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18972 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18973 = add(_T_18951, _T_18952) @[exu_mul_ctl.scala 137:112] + node _T_18974 = add(_T_18973, _T_18953) @[exu_mul_ctl.scala 137:112] + node _T_18975 = add(_T_18974, _T_18954) @[exu_mul_ctl.scala 137:112] + node _T_18976 = add(_T_18975, _T_18955) @[exu_mul_ctl.scala 137:112] + node _T_18977 = add(_T_18976, _T_18956) @[exu_mul_ctl.scala 137:112] + node _T_18978 = add(_T_18977, _T_18957) @[exu_mul_ctl.scala 137:112] + node _T_18979 = add(_T_18978, _T_18958) @[exu_mul_ctl.scala 137:112] + node _T_18980 = add(_T_18979, _T_18959) @[exu_mul_ctl.scala 137:112] + node _T_18981 = add(_T_18980, _T_18960) @[exu_mul_ctl.scala 137:112] + node _T_18982 = add(_T_18981, _T_18961) @[exu_mul_ctl.scala 137:112] + node _T_18983 = add(_T_18982, _T_18962) @[exu_mul_ctl.scala 137:112] + node _T_18984 = add(_T_18983, _T_18963) @[exu_mul_ctl.scala 137:112] + node _T_18985 = add(_T_18984, _T_18964) @[exu_mul_ctl.scala 137:112] + node _T_18986 = add(_T_18985, _T_18965) @[exu_mul_ctl.scala 137:112] + node _T_18987 = add(_T_18986, _T_18966) @[exu_mul_ctl.scala 137:112] + node _T_18988 = add(_T_18987, _T_18967) @[exu_mul_ctl.scala 137:112] + node _T_18989 = add(_T_18988, _T_18968) @[exu_mul_ctl.scala 137:112] + node _T_18990 = add(_T_18989, _T_18969) @[exu_mul_ctl.scala 137:112] + node _T_18991 = add(_T_18990, _T_18970) @[exu_mul_ctl.scala 137:112] + node _T_18992 = add(_T_18991, _T_18971) @[exu_mul_ctl.scala 137:112] + node _T_18993 = add(_T_18992, _T_18972) @[exu_mul_ctl.scala 137:112] + node _T_18994 = eq(_T_18993, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18995 = bits(_T_18994, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18996 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_18997 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18998 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18999 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19000 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19001 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19002 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19003 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19004 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19005 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19006 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19007 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19008 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19009 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19010 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19011 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19012 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19013 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19014 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19015 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19016 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19017 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19018 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19019 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19020 = add(_T_18997, _T_18998) @[exu_mul_ctl.scala 137:112] + node _T_19021 = add(_T_19020, _T_18999) @[exu_mul_ctl.scala 137:112] + node _T_19022 = add(_T_19021, _T_19000) @[exu_mul_ctl.scala 137:112] + node _T_19023 = add(_T_19022, _T_19001) @[exu_mul_ctl.scala 137:112] + node _T_19024 = add(_T_19023, _T_19002) @[exu_mul_ctl.scala 137:112] + node _T_19025 = add(_T_19024, _T_19003) @[exu_mul_ctl.scala 137:112] + node _T_19026 = add(_T_19025, _T_19004) @[exu_mul_ctl.scala 137:112] + node _T_19027 = add(_T_19026, _T_19005) @[exu_mul_ctl.scala 137:112] + node _T_19028 = add(_T_19027, _T_19006) @[exu_mul_ctl.scala 137:112] + node _T_19029 = add(_T_19028, _T_19007) @[exu_mul_ctl.scala 137:112] + node _T_19030 = add(_T_19029, _T_19008) @[exu_mul_ctl.scala 137:112] + node _T_19031 = add(_T_19030, _T_19009) @[exu_mul_ctl.scala 137:112] + node _T_19032 = add(_T_19031, _T_19010) @[exu_mul_ctl.scala 137:112] + node _T_19033 = add(_T_19032, _T_19011) @[exu_mul_ctl.scala 137:112] + node _T_19034 = add(_T_19033, _T_19012) @[exu_mul_ctl.scala 137:112] + node _T_19035 = add(_T_19034, _T_19013) @[exu_mul_ctl.scala 137:112] + node _T_19036 = add(_T_19035, _T_19014) @[exu_mul_ctl.scala 137:112] + node _T_19037 = add(_T_19036, _T_19015) @[exu_mul_ctl.scala 137:112] + node _T_19038 = add(_T_19037, _T_19016) @[exu_mul_ctl.scala 137:112] + node _T_19039 = add(_T_19038, _T_19017) @[exu_mul_ctl.scala 137:112] + node _T_19040 = add(_T_19039, _T_19018) @[exu_mul_ctl.scala 137:112] + node _T_19041 = add(_T_19040, _T_19019) @[exu_mul_ctl.scala 137:112] + node _T_19042 = eq(_T_19041, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19043 = bits(_T_19042, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19044 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_19045 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19046 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19047 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19048 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19049 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19050 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19051 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19052 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19053 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19054 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19055 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19056 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19057 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19058 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19059 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19060 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19061 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19062 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19063 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19064 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19065 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19066 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19067 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19068 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19069 = add(_T_19045, _T_19046) @[exu_mul_ctl.scala 137:112] + node _T_19070 = add(_T_19069, _T_19047) @[exu_mul_ctl.scala 137:112] + node _T_19071 = add(_T_19070, _T_19048) @[exu_mul_ctl.scala 137:112] + node _T_19072 = add(_T_19071, _T_19049) @[exu_mul_ctl.scala 137:112] + node _T_19073 = add(_T_19072, _T_19050) @[exu_mul_ctl.scala 137:112] + node _T_19074 = add(_T_19073, _T_19051) @[exu_mul_ctl.scala 137:112] + node _T_19075 = add(_T_19074, _T_19052) @[exu_mul_ctl.scala 137:112] + node _T_19076 = add(_T_19075, _T_19053) @[exu_mul_ctl.scala 137:112] + node _T_19077 = add(_T_19076, _T_19054) @[exu_mul_ctl.scala 137:112] + node _T_19078 = add(_T_19077, _T_19055) @[exu_mul_ctl.scala 137:112] + node _T_19079 = add(_T_19078, _T_19056) @[exu_mul_ctl.scala 137:112] + node _T_19080 = add(_T_19079, _T_19057) @[exu_mul_ctl.scala 137:112] + node _T_19081 = add(_T_19080, _T_19058) @[exu_mul_ctl.scala 137:112] + node _T_19082 = add(_T_19081, _T_19059) @[exu_mul_ctl.scala 137:112] + node _T_19083 = add(_T_19082, _T_19060) @[exu_mul_ctl.scala 137:112] + node _T_19084 = add(_T_19083, _T_19061) @[exu_mul_ctl.scala 137:112] + node _T_19085 = add(_T_19084, _T_19062) @[exu_mul_ctl.scala 137:112] + node _T_19086 = add(_T_19085, _T_19063) @[exu_mul_ctl.scala 137:112] + node _T_19087 = add(_T_19086, _T_19064) @[exu_mul_ctl.scala 137:112] + node _T_19088 = add(_T_19087, _T_19065) @[exu_mul_ctl.scala 137:112] + node _T_19089 = add(_T_19088, _T_19066) @[exu_mul_ctl.scala 137:112] + node _T_19090 = add(_T_19089, _T_19067) @[exu_mul_ctl.scala 137:112] + node _T_19091 = add(_T_19090, _T_19068) @[exu_mul_ctl.scala 137:112] + node _T_19092 = eq(_T_19091, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19093 = bits(_T_19092, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19094 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_19095 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19096 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19097 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19098 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19099 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19100 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19101 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19102 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19103 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19104 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19105 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19106 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19107 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19108 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19109 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19110 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19111 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19112 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19113 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19114 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19115 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19116 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19117 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19118 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19119 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19120 = add(_T_19095, _T_19096) @[exu_mul_ctl.scala 137:112] + node _T_19121 = add(_T_19120, _T_19097) @[exu_mul_ctl.scala 137:112] + node _T_19122 = add(_T_19121, _T_19098) @[exu_mul_ctl.scala 137:112] + node _T_19123 = add(_T_19122, _T_19099) @[exu_mul_ctl.scala 137:112] + node _T_19124 = add(_T_19123, _T_19100) @[exu_mul_ctl.scala 137:112] + node _T_19125 = add(_T_19124, _T_19101) @[exu_mul_ctl.scala 137:112] + node _T_19126 = add(_T_19125, _T_19102) @[exu_mul_ctl.scala 137:112] + node _T_19127 = add(_T_19126, _T_19103) @[exu_mul_ctl.scala 137:112] + node _T_19128 = add(_T_19127, _T_19104) @[exu_mul_ctl.scala 137:112] + node _T_19129 = add(_T_19128, _T_19105) @[exu_mul_ctl.scala 137:112] + node _T_19130 = add(_T_19129, _T_19106) @[exu_mul_ctl.scala 137:112] + node _T_19131 = add(_T_19130, _T_19107) @[exu_mul_ctl.scala 137:112] + node _T_19132 = add(_T_19131, _T_19108) @[exu_mul_ctl.scala 137:112] + node _T_19133 = add(_T_19132, _T_19109) @[exu_mul_ctl.scala 137:112] + node _T_19134 = add(_T_19133, _T_19110) @[exu_mul_ctl.scala 137:112] + node _T_19135 = add(_T_19134, _T_19111) @[exu_mul_ctl.scala 137:112] + node _T_19136 = add(_T_19135, _T_19112) @[exu_mul_ctl.scala 137:112] + node _T_19137 = add(_T_19136, _T_19113) @[exu_mul_ctl.scala 137:112] + node _T_19138 = add(_T_19137, _T_19114) @[exu_mul_ctl.scala 137:112] + node _T_19139 = add(_T_19138, _T_19115) @[exu_mul_ctl.scala 137:112] + node _T_19140 = add(_T_19139, _T_19116) @[exu_mul_ctl.scala 137:112] + node _T_19141 = add(_T_19140, _T_19117) @[exu_mul_ctl.scala 137:112] + node _T_19142 = add(_T_19141, _T_19118) @[exu_mul_ctl.scala 137:112] + node _T_19143 = add(_T_19142, _T_19119) @[exu_mul_ctl.scala 137:112] + node _T_19144 = eq(_T_19143, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19145 = bits(_T_19144, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19146 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_19147 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19148 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19149 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19150 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19151 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19152 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19153 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19154 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19155 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19156 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19157 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19158 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19159 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19160 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19161 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19162 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19163 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19164 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19165 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19166 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19167 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19168 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19169 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19170 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19171 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19172 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19173 = add(_T_19147, _T_19148) @[exu_mul_ctl.scala 137:112] + node _T_19174 = add(_T_19173, _T_19149) @[exu_mul_ctl.scala 137:112] + node _T_19175 = add(_T_19174, _T_19150) @[exu_mul_ctl.scala 137:112] + node _T_19176 = add(_T_19175, _T_19151) @[exu_mul_ctl.scala 137:112] + node _T_19177 = add(_T_19176, _T_19152) @[exu_mul_ctl.scala 137:112] + node _T_19178 = add(_T_19177, _T_19153) @[exu_mul_ctl.scala 137:112] + node _T_19179 = add(_T_19178, _T_19154) @[exu_mul_ctl.scala 137:112] + node _T_19180 = add(_T_19179, _T_19155) @[exu_mul_ctl.scala 137:112] + node _T_19181 = add(_T_19180, _T_19156) @[exu_mul_ctl.scala 137:112] + node _T_19182 = add(_T_19181, _T_19157) @[exu_mul_ctl.scala 137:112] + node _T_19183 = add(_T_19182, _T_19158) @[exu_mul_ctl.scala 137:112] + node _T_19184 = add(_T_19183, _T_19159) @[exu_mul_ctl.scala 137:112] + node _T_19185 = add(_T_19184, _T_19160) @[exu_mul_ctl.scala 137:112] + node _T_19186 = add(_T_19185, _T_19161) @[exu_mul_ctl.scala 137:112] + node _T_19187 = add(_T_19186, _T_19162) @[exu_mul_ctl.scala 137:112] + node _T_19188 = add(_T_19187, _T_19163) @[exu_mul_ctl.scala 137:112] + node _T_19189 = add(_T_19188, _T_19164) @[exu_mul_ctl.scala 137:112] + node _T_19190 = add(_T_19189, _T_19165) @[exu_mul_ctl.scala 137:112] + node _T_19191 = add(_T_19190, _T_19166) @[exu_mul_ctl.scala 137:112] + node _T_19192 = add(_T_19191, _T_19167) @[exu_mul_ctl.scala 137:112] + node _T_19193 = add(_T_19192, _T_19168) @[exu_mul_ctl.scala 137:112] + node _T_19194 = add(_T_19193, _T_19169) @[exu_mul_ctl.scala 137:112] + node _T_19195 = add(_T_19194, _T_19170) @[exu_mul_ctl.scala 137:112] + node _T_19196 = add(_T_19195, _T_19171) @[exu_mul_ctl.scala 137:112] + node _T_19197 = add(_T_19196, _T_19172) @[exu_mul_ctl.scala 137:112] + node _T_19198 = eq(_T_19197, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19199 = bits(_T_19198, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19200 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_19201 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19202 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19203 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19204 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19205 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19206 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19207 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19208 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19209 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19210 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19211 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19212 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19213 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19214 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19215 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19216 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19217 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19218 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19219 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19220 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19221 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19222 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19223 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19224 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19225 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19226 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19227 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19228 = add(_T_19201, _T_19202) @[exu_mul_ctl.scala 137:112] + node _T_19229 = add(_T_19228, _T_19203) @[exu_mul_ctl.scala 137:112] + node _T_19230 = add(_T_19229, _T_19204) @[exu_mul_ctl.scala 137:112] + node _T_19231 = add(_T_19230, _T_19205) @[exu_mul_ctl.scala 137:112] + node _T_19232 = add(_T_19231, _T_19206) @[exu_mul_ctl.scala 137:112] + node _T_19233 = add(_T_19232, _T_19207) @[exu_mul_ctl.scala 137:112] + node _T_19234 = add(_T_19233, _T_19208) @[exu_mul_ctl.scala 137:112] + node _T_19235 = add(_T_19234, _T_19209) @[exu_mul_ctl.scala 137:112] + node _T_19236 = add(_T_19235, _T_19210) @[exu_mul_ctl.scala 137:112] + node _T_19237 = add(_T_19236, _T_19211) @[exu_mul_ctl.scala 137:112] + node _T_19238 = add(_T_19237, _T_19212) @[exu_mul_ctl.scala 137:112] + node _T_19239 = add(_T_19238, _T_19213) @[exu_mul_ctl.scala 137:112] + node _T_19240 = add(_T_19239, _T_19214) @[exu_mul_ctl.scala 137:112] + node _T_19241 = add(_T_19240, _T_19215) @[exu_mul_ctl.scala 137:112] + node _T_19242 = add(_T_19241, _T_19216) @[exu_mul_ctl.scala 137:112] + node _T_19243 = add(_T_19242, _T_19217) @[exu_mul_ctl.scala 137:112] + node _T_19244 = add(_T_19243, _T_19218) @[exu_mul_ctl.scala 137:112] + node _T_19245 = add(_T_19244, _T_19219) @[exu_mul_ctl.scala 137:112] + node _T_19246 = add(_T_19245, _T_19220) @[exu_mul_ctl.scala 137:112] + node _T_19247 = add(_T_19246, _T_19221) @[exu_mul_ctl.scala 137:112] + node _T_19248 = add(_T_19247, _T_19222) @[exu_mul_ctl.scala 137:112] + node _T_19249 = add(_T_19248, _T_19223) @[exu_mul_ctl.scala 137:112] + node _T_19250 = add(_T_19249, _T_19224) @[exu_mul_ctl.scala 137:112] + node _T_19251 = add(_T_19250, _T_19225) @[exu_mul_ctl.scala 137:112] + node _T_19252 = add(_T_19251, _T_19226) @[exu_mul_ctl.scala 137:112] + node _T_19253 = add(_T_19252, _T_19227) @[exu_mul_ctl.scala 137:112] + node _T_19254 = eq(_T_19253, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19255 = bits(_T_19254, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19256 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_19257 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19258 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19259 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19260 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19261 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19262 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19263 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19264 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19265 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19266 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19267 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19268 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19269 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19270 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19271 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19272 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19273 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19274 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19275 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19276 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19277 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19278 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19279 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19280 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19281 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19282 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19283 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19284 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_19285 = add(_T_19257, _T_19258) @[exu_mul_ctl.scala 137:112] + node _T_19286 = add(_T_19285, _T_19259) @[exu_mul_ctl.scala 137:112] + node _T_19287 = add(_T_19286, _T_19260) @[exu_mul_ctl.scala 137:112] + node _T_19288 = add(_T_19287, _T_19261) @[exu_mul_ctl.scala 137:112] + node _T_19289 = add(_T_19288, _T_19262) @[exu_mul_ctl.scala 137:112] + node _T_19290 = add(_T_19289, _T_19263) @[exu_mul_ctl.scala 137:112] + node _T_19291 = add(_T_19290, _T_19264) @[exu_mul_ctl.scala 137:112] + node _T_19292 = add(_T_19291, _T_19265) @[exu_mul_ctl.scala 137:112] + node _T_19293 = add(_T_19292, _T_19266) @[exu_mul_ctl.scala 137:112] + node _T_19294 = add(_T_19293, _T_19267) @[exu_mul_ctl.scala 137:112] + node _T_19295 = add(_T_19294, _T_19268) @[exu_mul_ctl.scala 137:112] + node _T_19296 = add(_T_19295, _T_19269) @[exu_mul_ctl.scala 137:112] + node _T_19297 = add(_T_19296, _T_19270) @[exu_mul_ctl.scala 137:112] + node _T_19298 = add(_T_19297, _T_19271) @[exu_mul_ctl.scala 137:112] + node _T_19299 = add(_T_19298, _T_19272) @[exu_mul_ctl.scala 137:112] + node _T_19300 = add(_T_19299, _T_19273) @[exu_mul_ctl.scala 137:112] + node _T_19301 = add(_T_19300, _T_19274) @[exu_mul_ctl.scala 137:112] + node _T_19302 = add(_T_19301, _T_19275) @[exu_mul_ctl.scala 137:112] + node _T_19303 = add(_T_19302, _T_19276) @[exu_mul_ctl.scala 137:112] + node _T_19304 = add(_T_19303, _T_19277) @[exu_mul_ctl.scala 137:112] + node _T_19305 = add(_T_19304, _T_19278) @[exu_mul_ctl.scala 137:112] + node _T_19306 = add(_T_19305, _T_19279) @[exu_mul_ctl.scala 137:112] + node _T_19307 = add(_T_19306, _T_19280) @[exu_mul_ctl.scala 137:112] + node _T_19308 = add(_T_19307, _T_19281) @[exu_mul_ctl.scala 137:112] + node _T_19309 = add(_T_19308, _T_19282) @[exu_mul_ctl.scala 137:112] + node _T_19310 = add(_T_19309, _T_19283) @[exu_mul_ctl.scala 137:112] + node _T_19311 = add(_T_19310, _T_19284) @[exu_mul_ctl.scala 137:112] + node _T_19312 = eq(_T_19311, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19313 = bits(_T_19312, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19314 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_19315 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19316 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19317 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19318 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19319 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19320 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19321 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19322 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19323 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19324 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19325 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19326 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19327 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19328 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19329 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19330 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19331 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19332 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19333 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19334 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19335 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19336 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19337 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19338 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19339 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19340 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19341 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19342 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_19343 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_19344 = add(_T_19315, _T_19316) @[exu_mul_ctl.scala 137:112] + node _T_19345 = add(_T_19344, _T_19317) @[exu_mul_ctl.scala 137:112] + node _T_19346 = add(_T_19345, _T_19318) @[exu_mul_ctl.scala 137:112] + node _T_19347 = add(_T_19346, _T_19319) @[exu_mul_ctl.scala 137:112] + node _T_19348 = add(_T_19347, _T_19320) @[exu_mul_ctl.scala 137:112] + node _T_19349 = add(_T_19348, _T_19321) @[exu_mul_ctl.scala 137:112] + node _T_19350 = add(_T_19349, _T_19322) @[exu_mul_ctl.scala 137:112] + node _T_19351 = add(_T_19350, _T_19323) @[exu_mul_ctl.scala 137:112] + node _T_19352 = add(_T_19351, _T_19324) @[exu_mul_ctl.scala 137:112] + node _T_19353 = add(_T_19352, _T_19325) @[exu_mul_ctl.scala 137:112] + node _T_19354 = add(_T_19353, _T_19326) @[exu_mul_ctl.scala 137:112] + node _T_19355 = add(_T_19354, _T_19327) @[exu_mul_ctl.scala 137:112] + node _T_19356 = add(_T_19355, _T_19328) @[exu_mul_ctl.scala 137:112] + node _T_19357 = add(_T_19356, _T_19329) @[exu_mul_ctl.scala 137:112] + node _T_19358 = add(_T_19357, _T_19330) @[exu_mul_ctl.scala 137:112] + node _T_19359 = add(_T_19358, _T_19331) @[exu_mul_ctl.scala 137:112] + node _T_19360 = add(_T_19359, _T_19332) @[exu_mul_ctl.scala 137:112] + node _T_19361 = add(_T_19360, _T_19333) @[exu_mul_ctl.scala 137:112] + node _T_19362 = add(_T_19361, _T_19334) @[exu_mul_ctl.scala 137:112] + node _T_19363 = add(_T_19362, _T_19335) @[exu_mul_ctl.scala 137:112] + node _T_19364 = add(_T_19363, _T_19336) @[exu_mul_ctl.scala 137:112] + node _T_19365 = add(_T_19364, _T_19337) @[exu_mul_ctl.scala 137:112] + node _T_19366 = add(_T_19365, _T_19338) @[exu_mul_ctl.scala 137:112] + node _T_19367 = add(_T_19366, _T_19339) @[exu_mul_ctl.scala 137:112] + node _T_19368 = add(_T_19367, _T_19340) @[exu_mul_ctl.scala 137:112] + node _T_19369 = add(_T_19368, _T_19341) @[exu_mul_ctl.scala 137:112] + node _T_19370 = add(_T_19369, _T_19342) @[exu_mul_ctl.scala 137:112] + node _T_19371 = add(_T_19370, _T_19343) @[exu_mul_ctl.scala 137:112] + node _T_19372 = eq(_T_19371, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19373 = bits(_T_19372, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19374 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_19375 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19376 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19377 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19378 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19379 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19380 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19381 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19382 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19383 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19384 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19385 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19386 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19387 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19388 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19389 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19390 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19391 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19392 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19393 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19394 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19395 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19396 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19397 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19398 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19399 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19400 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19401 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19402 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_19403 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_19404 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_19405 = add(_T_19375, _T_19376) @[exu_mul_ctl.scala 137:112] + node _T_19406 = add(_T_19405, _T_19377) @[exu_mul_ctl.scala 137:112] + node _T_19407 = add(_T_19406, _T_19378) @[exu_mul_ctl.scala 137:112] + node _T_19408 = add(_T_19407, _T_19379) @[exu_mul_ctl.scala 137:112] + node _T_19409 = add(_T_19408, _T_19380) @[exu_mul_ctl.scala 137:112] + node _T_19410 = add(_T_19409, _T_19381) @[exu_mul_ctl.scala 137:112] + node _T_19411 = add(_T_19410, _T_19382) @[exu_mul_ctl.scala 137:112] + node _T_19412 = add(_T_19411, _T_19383) @[exu_mul_ctl.scala 137:112] + node _T_19413 = add(_T_19412, _T_19384) @[exu_mul_ctl.scala 137:112] + node _T_19414 = add(_T_19413, _T_19385) @[exu_mul_ctl.scala 137:112] + node _T_19415 = add(_T_19414, _T_19386) @[exu_mul_ctl.scala 137:112] + node _T_19416 = add(_T_19415, _T_19387) @[exu_mul_ctl.scala 137:112] + node _T_19417 = add(_T_19416, _T_19388) @[exu_mul_ctl.scala 137:112] + node _T_19418 = add(_T_19417, _T_19389) @[exu_mul_ctl.scala 137:112] + node _T_19419 = add(_T_19418, _T_19390) @[exu_mul_ctl.scala 137:112] + node _T_19420 = add(_T_19419, _T_19391) @[exu_mul_ctl.scala 137:112] + node _T_19421 = add(_T_19420, _T_19392) @[exu_mul_ctl.scala 137:112] + node _T_19422 = add(_T_19421, _T_19393) @[exu_mul_ctl.scala 137:112] + node _T_19423 = add(_T_19422, _T_19394) @[exu_mul_ctl.scala 137:112] + node _T_19424 = add(_T_19423, _T_19395) @[exu_mul_ctl.scala 137:112] + node _T_19425 = add(_T_19424, _T_19396) @[exu_mul_ctl.scala 137:112] + node _T_19426 = add(_T_19425, _T_19397) @[exu_mul_ctl.scala 137:112] + node _T_19427 = add(_T_19426, _T_19398) @[exu_mul_ctl.scala 137:112] + node _T_19428 = add(_T_19427, _T_19399) @[exu_mul_ctl.scala 137:112] + node _T_19429 = add(_T_19428, _T_19400) @[exu_mul_ctl.scala 137:112] + node _T_19430 = add(_T_19429, _T_19401) @[exu_mul_ctl.scala 137:112] + node _T_19431 = add(_T_19430, _T_19402) @[exu_mul_ctl.scala 137:112] + node _T_19432 = add(_T_19431, _T_19403) @[exu_mul_ctl.scala 137:112] + node _T_19433 = add(_T_19432, _T_19404) @[exu_mul_ctl.scala 137:112] + node _T_19434 = eq(_T_19433, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19435 = bits(_T_19434, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19436 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_19437 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19438 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19439 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19440 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19441 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19442 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19443 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19444 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19445 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19446 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19447 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19448 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19449 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19450 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19451 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19452 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19453 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19454 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19455 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19456 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19457 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19458 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19459 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19460 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19461 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19462 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19463 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19464 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_19465 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_19466 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_19467 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_19468 = add(_T_19437, _T_19438) @[exu_mul_ctl.scala 137:112] + node _T_19469 = add(_T_19468, _T_19439) @[exu_mul_ctl.scala 137:112] + node _T_19470 = add(_T_19469, _T_19440) @[exu_mul_ctl.scala 137:112] + node _T_19471 = add(_T_19470, _T_19441) @[exu_mul_ctl.scala 137:112] + node _T_19472 = add(_T_19471, _T_19442) @[exu_mul_ctl.scala 137:112] + node _T_19473 = add(_T_19472, _T_19443) @[exu_mul_ctl.scala 137:112] + node _T_19474 = add(_T_19473, _T_19444) @[exu_mul_ctl.scala 137:112] + node _T_19475 = add(_T_19474, _T_19445) @[exu_mul_ctl.scala 137:112] + node _T_19476 = add(_T_19475, _T_19446) @[exu_mul_ctl.scala 137:112] + node _T_19477 = add(_T_19476, _T_19447) @[exu_mul_ctl.scala 137:112] + node _T_19478 = add(_T_19477, _T_19448) @[exu_mul_ctl.scala 137:112] + node _T_19479 = add(_T_19478, _T_19449) @[exu_mul_ctl.scala 137:112] + node _T_19480 = add(_T_19479, _T_19450) @[exu_mul_ctl.scala 137:112] + node _T_19481 = add(_T_19480, _T_19451) @[exu_mul_ctl.scala 137:112] + node _T_19482 = add(_T_19481, _T_19452) @[exu_mul_ctl.scala 137:112] + node _T_19483 = add(_T_19482, _T_19453) @[exu_mul_ctl.scala 137:112] + node _T_19484 = add(_T_19483, _T_19454) @[exu_mul_ctl.scala 137:112] + node _T_19485 = add(_T_19484, _T_19455) @[exu_mul_ctl.scala 137:112] + node _T_19486 = add(_T_19485, _T_19456) @[exu_mul_ctl.scala 137:112] + node _T_19487 = add(_T_19486, _T_19457) @[exu_mul_ctl.scala 137:112] + node _T_19488 = add(_T_19487, _T_19458) @[exu_mul_ctl.scala 137:112] + node _T_19489 = add(_T_19488, _T_19459) @[exu_mul_ctl.scala 137:112] + node _T_19490 = add(_T_19489, _T_19460) @[exu_mul_ctl.scala 137:112] + node _T_19491 = add(_T_19490, _T_19461) @[exu_mul_ctl.scala 137:112] + node _T_19492 = add(_T_19491, _T_19462) @[exu_mul_ctl.scala 137:112] + node _T_19493 = add(_T_19492, _T_19463) @[exu_mul_ctl.scala 137:112] + node _T_19494 = add(_T_19493, _T_19464) @[exu_mul_ctl.scala 137:112] + node _T_19495 = add(_T_19494, _T_19465) @[exu_mul_ctl.scala 137:112] + node _T_19496 = add(_T_19495, _T_19466) @[exu_mul_ctl.scala 137:112] + node _T_19497 = add(_T_19496, _T_19467) @[exu_mul_ctl.scala 137:112] + node _T_19498 = eq(_T_19497, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19499 = bits(_T_19498, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19500 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_19501 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19502 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19503 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19504 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19505 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19506 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19507 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19508 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19509 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19510 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19511 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19512 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19513 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19514 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19515 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19516 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19517 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19518 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19519 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19520 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19521 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19522 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19523 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19524 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19525 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19526 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19527 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19528 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_19529 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_19530 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_19531 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_19532 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_19533 = add(_T_19501, _T_19502) @[exu_mul_ctl.scala 137:112] + node _T_19534 = add(_T_19533, _T_19503) @[exu_mul_ctl.scala 137:112] + node _T_19535 = add(_T_19534, _T_19504) @[exu_mul_ctl.scala 137:112] + node _T_19536 = add(_T_19535, _T_19505) @[exu_mul_ctl.scala 137:112] + node _T_19537 = add(_T_19536, _T_19506) @[exu_mul_ctl.scala 137:112] + node _T_19538 = add(_T_19537, _T_19507) @[exu_mul_ctl.scala 137:112] + node _T_19539 = add(_T_19538, _T_19508) @[exu_mul_ctl.scala 137:112] + node _T_19540 = add(_T_19539, _T_19509) @[exu_mul_ctl.scala 137:112] + node _T_19541 = add(_T_19540, _T_19510) @[exu_mul_ctl.scala 137:112] + node _T_19542 = add(_T_19541, _T_19511) @[exu_mul_ctl.scala 137:112] + node _T_19543 = add(_T_19542, _T_19512) @[exu_mul_ctl.scala 137:112] + node _T_19544 = add(_T_19543, _T_19513) @[exu_mul_ctl.scala 137:112] + node _T_19545 = add(_T_19544, _T_19514) @[exu_mul_ctl.scala 137:112] + node _T_19546 = add(_T_19545, _T_19515) @[exu_mul_ctl.scala 137:112] + node _T_19547 = add(_T_19546, _T_19516) @[exu_mul_ctl.scala 137:112] + node _T_19548 = add(_T_19547, _T_19517) @[exu_mul_ctl.scala 137:112] + node _T_19549 = add(_T_19548, _T_19518) @[exu_mul_ctl.scala 137:112] + node _T_19550 = add(_T_19549, _T_19519) @[exu_mul_ctl.scala 137:112] + node _T_19551 = add(_T_19550, _T_19520) @[exu_mul_ctl.scala 137:112] + node _T_19552 = add(_T_19551, _T_19521) @[exu_mul_ctl.scala 137:112] + node _T_19553 = add(_T_19552, _T_19522) @[exu_mul_ctl.scala 137:112] + node _T_19554 = add(_T_19553, _T_19523) @[exu_mul_ctl.scala 137:112] + node _T_19555 = add(_T_19554, _T_19524) @[exu_mul_ctl.scala 137:112] + node _T_19556 = add(_T_19555, _T_19525) @[exu_mul_ctl.scala 137:112] + node _T_19557 = add(_T_19556, _T_19526) @[exu_mul_ctl.scala 137:112] + node _T_19558 = add(_T_19557, _T_19527) @[exu_mul_ctl.scala 137:112] + node _T_19559 = add(_T_19558, _T_19528) @[exu_mul_ctl.scala 137:112] + node _T_19560 = add(_T_19559, _T_19529) @[exu_mul_ctl.scala 137:112] + node _T_19561 = add(_T_19560, _T_19530) @[exu_mul_ctl.scala 137:112] + node _T_19562 = add(_T_19561, _T_19531) @[exu_mul_ctl.scala 137:112] + node _T_19563 = add(_T_19562, _T_19532) @[exu_mul_ctl.scala 137:112] + node _T_19564 = eq(_T_19563, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19565 = bits(_T_19564, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19566 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_19567 = mux(_T_19565, _T_19566, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_19568 = mux(_T_19499, _T_19500, _T_19567) @[Mux.scala 98:16] + node _T_19569 = mux(_T_19435, _T_19436, _T_19568) @[Mux.scala 98:16] + node _T_19570 = mux(_T_19373, _T_19374, _T_19569) @[Mux.scala 98:16] + node _T_19571 = mux(_T_19313, _T_19314, _T_19570) @[Mux.scala 98:16] + node _T_19572 = mux(_T_19255, _T_19256, _T_19571) @[Mux.scala 98:16] + node _T_19573 = mux(_T_19199, _T_19200, _T_19572) @[Mux.scala 98:16] + node _T_19574 = mux(_T_19145, _T_19146, _T_19573) @[Mux.scala 98:16] + node _T_19575 = mux(_T_19093, _T_19094, _T_19574) @[Mux.scala 98:16] + node _T_19576 = mux(_T_19043, _T_19044, _T_19575) @[Mux.scala 98:16] + node _T_19577 = mux(_T_18995, _T_18996, _T_19576) @[Mux.scala 98:16] + node _T_19578 = mux(_T_18949, _T_18950, _T_19577) @[Mux.scala 98:16] + node _T_19579 = mux(_T_18905, _T_18906, _T_19578) @[Mux.scala 98:16] + node _T_19580 = mux(_T_18863, _T_18864, _T_19579) @[Mux.scala 98:16] + node _T_19581 = mux(_T_18823, _T_18824, _T_19580) @[Mux.scala 98:16] + node _T_19582 = mux(_T_18785, _T_18786, _T_19581) @[Mux.scala 98:16] + node _T_19583 = mux(_T_18749, _T_18750, _T_19582) @[Mux.scala 98:16] + node _T_19584 = mux(_T_18715, _T_18716, _T_19583) @[Mux.scala 98:16] + node _T_19585 = mux(_T_18683, _T_18684, _T_19584) @[Mux.scala 98:16] + node _T_19586 = mux(_T_18653, _T_18654, _T_19585) @[Mux.scala 98:16] + node _T_19587 = mux(_T_18625, _T_18626, _T_19586) @[Mux.scala 98:16] + node _T_19588 = mux(_T_18599, _T_18600, _T_19587) @[Mux.scala 98:16] + node _T_19589 = mux(_T_18575, _T_18576, _T_19588) @[Mux.scala 98:16] + node _T_19590 = mux(_T_18553, _T_18554, _T_19589) @[Mux.scala 98:16] + node _T_19591 = mux(_T_18533, _T_18534, _T_19590) @[Mux.scala 98:16] + node _T_19592 = mux(_T_18515, _T_18516, _T_19591) @[Mux.scala 98:16] + node _T_19593 = mux(_T_18499, _T_18500, _T_19592) @[Mux.scala 98:16] + node _T_19594 = mux(_T_18485, _T_18486, _T_19593) @[Mux.scala 98:16] + node _T_19595 = mux(_T_18473, _T_18474, _T_19594) @[Mux.scala 98:16] + node _T_19596 = mux(_T_18463, _T_18464, _T_19595) @[Mux.scala 98:16] + node _T_19597 = mux(_T_18455, _T_18456, _T_19596) @[Mux.scala 98:16] + node _T_19598 = mux(_T_18449, _T_18450, _T_19597) @[Mux.scala 98:16] + node _T_19599 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_19600 = eq(_T_19599, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19601 = bits(_T_19600, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19602 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_19603 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19604 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19605 = add(_T_19603, _T_19604) @[exu_mul_ctl.scala 137:112] + node _T_19606 = eq(_T_19605, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19607 = bits(_T_19606, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19608 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_19609 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19610 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19611 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19612 = add(_T_19609, _T_19610) @[exu_mul_ctl.scala 137:112] + node _T_19613 = add(_T_19612, _T_19611) @[exu_mul_ctl.scala 137:112] + node _T_19614 = eq(_T_19613, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19615 = bits(_T_19614, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19616 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_19617 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19618 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19619 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19620 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19621 = add(_T_19617, _T_19618) @[exu_mul_ctl.scala 137:112] + node _T_19622 = add(_T_19621, _T_19619) @[exu_mul_ctl.scala 137:112] + node _T_19623 = add(_T_19622, _T_19620) @[exu_mul_ctl.scala 137:112] + node _T_19624 = eq(_T_19623, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19625 = bits(_T_19624, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19626 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_19627 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19628 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19629 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19630 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19631 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19632 = add(_T_19627, _T_19628) @[exu_mul_ctl.scala 137:112] + node _T_19633 = add(_T_19632, _T_19629) @[exu_mul_ctl.scala 137:112] + node _T_19634 = add(_T_19633, _T_19630) @[exu_mul_ctl.scala 137:112] + node _T_19635 = add(_T_19634, _T_19631) @[exu_mul_ctl.scala 137:112] + node _T_19636 = eq(_T_19635, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19637 = bits(_T_19636, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19638 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_19639 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19640 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19641 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19642 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19643 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19644 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19645 = add(_T_19639, _T_19640) @[exu_mul_ctl.scala 137:112] + node _T_19646 = add(_T_19645, _T_19641) @[exu_mul_ctl.scala 137:112] + node _T_19647 = add(_T_19646, _T_19642) @[exu_mul_ctl.scala 137:112] + node _T_19648 = add(_T_19647, _T_19643) @[exu_mul_ctl.scala 137:112] + node _T_19649 = add(_T_19648, _T_19644) @[exu_mul_ctl.scala 137:112] + node _T_19650 = eq(_T_19649, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19651 = bits(_T_19650, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19652 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_19653 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19654 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19655 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19656 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19657 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19658 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19659 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19660 = add(_T_19653, _T_19654) @[exu_mul_ctl.scala 137:112] + node _T_19661 = add(_T_19660, _T_19655) @[exu_mul_ctl.scala 137:112] + node _T_19662 = add(_T_19661, _T_19656) @[exu_mul_ctl.scala 137:112] + node _T_19663 = add(_T_19662, _T_19657) @[exu_mul_ctl.scala 137:112] + node _T_19664 = add(_T_19663, _T_19658) @[exu_mul_ctl.scala 137:112] + node _T_19665 = add(_T_19664, _T_19659) @[exu_mul_ctl.scala 137:112] + node _T_19666 = eq(_T_19665, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19667 = bits(_T_19666, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19668 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_19669 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19670 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19671 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19672 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19673 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19674 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19675 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19676 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19677 = add(_T_19669, _T_19670) @[exu_mul_ctl.scala 137:112] + node _T_19678 = add(_T_19677, _T_19671) @[exu_mul_ctl.scala 137:112] + node _T_19679 = add(_T_19678, _T_19672) @[exu_mul_ctl.scala 137:112] + node _T_19680 = add(_T_19679, _T_19673) @[exu_mul_ctl.scala 137:112] + node _T_19681 = add(_T_19680, _T_19674) @[exu_mul_ctl.scala 137:112] + node _T_19682 = add(_T_19681, _T_19675) @[exu_mul_ctl.scala 137:112] + node _T_19683 = add(_T_19682, _T_19676) @[exu_mul_ctl.scala 137:112] + node _T_19684 = eq(_T_19683, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19685 = bits(_T_19684, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19686 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_19687 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19688 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19689 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19690 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19691 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19692 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19693 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19694 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19695 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19696 = add(_T_19687, _T_19688) @[exu_mul_ctl.scala 137:112] + node _T_19697 = add(_T_19696, _T_19689) @[exu_mul_ctl.scala 137:112] + node _T_19698 = add(_T_19697, _T_19690) @[exu_mul_ctl.scala 137:112] + node _T_19699 = add(_T_19698, _T_19691) @[exu_mul_ctl.scala 137:112] + node _T_19700 = add(_T_19699, _T_19692) @[exu_mul_ctl.scala 137:112] + node _T_19701 = add(_T_19700, _T_19693) @[exu_mul_ctl.scala 137:112] + node _T_19702 = add(_T_19701, _T_19694) @[exu_mul_ctl.scala 137:112] + node _T_19703 = add(_T_19702, _T_19695) @[exu_mul_ctl.scala 137:112] + node _T_19704 = eq(_T_19703, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19705 = bits(_T_19704, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19706 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_19707 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19708 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19709 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19710 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19711 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19712 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19713 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19714 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19715 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19716 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19717 = add(_T_19707, _T_19708) @[exu_mul_ctl.scala 137:112] + node _T_19718 = add(_T_19717, _T_19709) @[exu_mul_ctl.scala 137:112] + node _T_19719 = add(_T_19718, _T_19710) @[exu_mul_ctl.scala 137:112] + node _T_19720 = add(_T_19719, _T_19711) @[exu_mul_ctl.scala 137:112] + node _T_19721 = add(_T_19720, _T_19712) @[exu_mul_ctl.scala 137:112] + node _T_19722 = add(_T_19721, _T_19713) @[exu_mul_ctl.scala 137:112] + node _T_19723 = add(_T_19722, _T_19714) @[exu_mul_ctl.scala 137:112] + node _T_19724 = add(_T_19723, _T_19715) @[exu_mul_ctl.scala 137:112] + node _T_19725 = add(_T_19724, _T_19716) @[exu_mul_ctl.scala 137:112] + node _T_19726 = eq(_T_19725, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19727 = bits(_T_19726, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19728 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_19729 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19730 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19731 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19732 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19733 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19734 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19735 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19736 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19737 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19738 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19739 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19740 = add(_T_19729, _T_19730) @[exu_mul_ctl.scala 137:112] + node _T_19741 = add(_T_19740, _T_19731) @[exu_mul_ctl.scala 137:112] + node _T_19742 = add(_T_19741, _T_19732) @[exu_mul_ctl.scala 137:112] + node _T_19743 = add(_T_19742, _T_19733) @[exu_mul_ctl.scala 137:112] + node _T_19744 = add(_T_19743, _T_19734) @[exu_mul_ctl.scala 137:112] + node _T_19745 = add(_T_19744, _T_19735) @[exu_mul_ctl.scala 137:112] + node _T_19746 = add(_T_19745, _T_19736) @[exu_mul_ctl.scala 137:112] + node _T_19747 = add(_T_19746, _T_19737) @[exu_mul_ctl.scala 137:112] + node _T_19748 = add(_T_19747, _T_19738) @[exu_mul_ctl.scala 137:112] + node _T_19749 = add(_T_19748, _T_19739) @[exu_mul_ctl.scala 137:112] + node _T_19750 = eq(_T_19749, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19751 = bits(_T_19750, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19752 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_19753 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19754 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19755 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19756 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19757 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19758 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19759 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19760 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19761 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19762 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19763 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19764 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19765 = add(_T_19753, _T_19754) @[exu_mul_ctl.scala 137:112] + node _T_19766 = add(_T_19765, _T_19755) @[exu_mul_ctl.scala 137:112] + node _T_19767 = add(_T_19766, _T_19756) @[exu_mul_ctl.scala 137:112] + node _T_19768 = add(_T_19767, _T_19757) @[exu_mul_ctl.scala 137:112] + node _T_19769 = add(_T_19768, _T_19758) @[exu_mul_ctl.scala 137:112] + node _T_19770 = add(_T_19769, _T_19759) @[exu_mul_ctl.scala 137:112] + node _T_19771 = add(_T_19770, _T_19760) @[exu_mul_ctl.scala 137:112] + node _T_19772 = add(_T_19771, _T_19761) @[exu_mul_ctl.scala 137:112] + node _T_19773 = add(_T_19772, _T_19762) @[exu_mul_ctl.scala 137:112] + node _T_19774 = add(_T_19773, _T_19763) @[exu_mul_ctl.scala 137:112] + node _T_19775 = add(_T_19774, _T_19764) @[exu_mul_ctl.scala 137:112] + node _T_19776 = eq(_T_19775, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19777 = bits(_T_19776, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19778 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_19779 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19780 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19781 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19782 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19783 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19784 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19785 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19786 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19787 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19788 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19789 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19790 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19791 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19792 = add(_T_19779, _T_19780) @[exu_mul_ctl.scala 137:112] + node _T_19793 = add(_T_19792, _T_19781) @[exu_mul_ctl.scala 137:112] + node _T_19794 = add(_T_19793, _T_19782) @[exu_mul_ctl.scala 137:112] + node _T_19795 = add(_T_19794, _T_19783) @[exu_mul_ctl.scala 137:112] + node _T_19796 = add(_T_19795, _T_19784) @[exu_mul_ctl.scala 137:112] + node _T_19797 = add(_T_19796, _T_19785) @[exu_mul_ctl.scala 137:112] + node _T_19798 = add(_T_19797, _T_19786) @[exu_mul_ctl.scala 137:112] + node _T_19799 = add(_T_19798, _T_19787) @[exu_mul_ctl.scala 137:112] + node _T_19800 = add(_T_19799, _T_19788) @[exu_mul_ctl.scala 137:112] + node _T_19801 = add(_T_19800, _T_19789) @[exu_mul_ctl.scala 137:112] + node _T_19802 = add(_T_19801, _T_19790) @[exu_mul_ctl.scala 137:112] + node _T_19803 = add(_T_19802, _T_19791) @[exu_mul_ctl.scala 137:112] + node _T_19804 = eq(_T_19803, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19805 = bits(_T_19804, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19806 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_19807 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19808 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19809 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19810 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19811 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19812 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19813 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19814 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19815 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19816 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19817 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19818 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19819 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19820 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19821 = add(_T_19807, _T_19808) @[exu_mul_ctl.scala 137:112] + node _T_19822 = add(_T_19821, _T_19809) @[exu_mul_ctl.scala 137:112] + node _T_19823 = add(_T_19822, _T_19810) @[exu_mul_ctl.scala 137:112] + node _T_19824 = add(_T_19823, _T_19811) @[exu_mul_ctl.scala 137:112] + node _T_19825 = add(_T_19824, _T_19812) @[exu_mul_ctl.scala 137:112] + node _T_19826 = add(_T_19825, _T_19813) @[exu_mul_ctl.scala 137:112] + node _T_19827 = add(_T_19826, _T_19814) @[exu_mul_ctl.scala 137:112] + node _T_19828 = add(_T_19827, _T_19815) @[exu_mul_ctl.scala 137:112] + node _T_19829 = add(_T_19828, _T_19816) @[exu_mul_ctl.scala 137:112] + node _T_19830 = add(_T_19829, _T_19817) @[exu_mul_ctl.scala 137:112] + node _T_19831 = add(_T_19830, _T_19818) @[exu_mul_ctl.scala 137:112] + node _T_19832 = add(_T_19831, _T_19819) @[exu_mul_ctl.scala 137:112] + node _T_19833 = add(_T_19832, _T_19820) @[exu_mul_ctl.scala 137:112] + node _T_19834 = eq(_T_19833, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19835 = bits(_T_19834, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19836 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_19837 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19838 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19839 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19840 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19841 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19842 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19843 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19844 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19845 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19846 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19847 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19848 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19849 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19850 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19851 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19852 = add(_T_19837, _T_19838) @[exu_mul_ctl.scala 137:112] + node _T_19853 = add(_T_19852, _T_19839) @[exu_mul_ctl.scala 137:112] + node _T_19854 = add(_T_19853, _T_19840) @[exu_mul_ctl.scala 137:112] + node _T_19855 = add(_T_19854, _T_19841) @[exu_mul_ctl.scala 137:112] + node _T_19856 = add(_T_19855, _T_19842) @[exu_mul_ctl.scala 137:112] + node _T_19857 = add(_T_19856, _T_19843) @[exu_mul_ctl.scala 137:112] + node _T_19858 = add(_T_19857, _T_19844) @[exu_mul_ctl.scala 137:112] + node _T_19859 = add(_T_19858, _T_19845) @[exu_mul_ctl.scala 137:112] + node _T_19860 = add(_T_19859, _T_19846) @[exu_mul_ctl.scala 137:112] + node _T_19861 = add(_T_19860, _T_19847) @[exu_mul_ctl.scala 137:112] + node _T_19862 = add(_T_19861, _T_19848) @[exu_mul_ctl.scala 137:112] + node _T_19863 = add(_T_19862, _T_19849) @[exu_mul_ctl.scala 137:112] + node _T_19864 = add(_T_19863, _T_19850) @[exu_mul_ctl.scala 137:112] + node _T_19865 = add(_T_19864, _T_19851) @[exu_mul_ctl.scala 137:112] + node _T_19866 = eq(_T_19865, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19867 = bits(_T_19866, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19868 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_19869 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19870 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19871 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19872 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19873 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19874 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19875 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19876 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19877 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19878 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19879 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19880 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19881 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19882 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19883 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19884 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19885 = add(_T_19869, _T_19870) @[exu_mul_ctl.scala 137:112] + node _T_19886 = add(_T_19885, _T_19871) @[exu_mul_ctl.scala 137:112] + node _T_19887 = add(_T_19886, _T_19872) @[exu_mul_ctl.scala 137:112] + node _T_19888 = add(_T_19887, _T_19873) @[exu_mul_ctl.scala 137:112] + node _T_19889 = add(_T_19888, _T_19874) @[exu_mul_ctl.scala 137:112] + node _T_19890 = add(_T_19889, _T_19875) @[exu_mul_ctl.scala 137:112] + node _T_19891 = add(_T_19890, _T_19876) @[exu_mul_ctl.scala 137:112] + node _T_19892 = add(_T_19891, _T_19877) @[exu_mul_ctl.scala 137:112] + node _T_19893 = add(_T_19892, _T_19878) @[exu_mul_ctl.scala 137:112] + node _T_19894 = add(_T_19893, _T_19879) @[exu_mul_ctl.scala 137:112] + node _T_19895 = add(_T_19894, _T_19880) @[exu_mul_ctl.scala 137:112] + node _T_19896 = add(_T_19895, _T_19881) @[exu_mul_ctl.scala 137:112] + node _T_19897 = add(_T_19896, _T_19882) @[exu_mul_ctl.scala 137:112] + node _T_19898 = add(_T_19897, _T_19883) @[exu_mul_ctl.scala 137:112] + node _T_19899 = add(_T_19898, _T_19884) @[exu_mul_ctl.scala 137:112] + node _T_19900 = eq(_T_19899, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19901 = bits(_T_19900, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19902 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_19903 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19904 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19905 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19906 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19907 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19908 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19909 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19910 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19911 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19912 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19913 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19914 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19915 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19916 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19917 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19918 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19919 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19920 = add(_T_19903, _T_19904) @[exu_mul_ctl.scala 137:112] + node _T_19921 = add(_T_19920, _T_19905) @[exu_mul_ctl.scala 137:112] + node _T_19922 = add(_T_19921, _T_19906) @[exu_mul_ctl.scala 137:112] + node _T_19923 = add(_T_19922, _T_19907) @[exu_mul_ctl.scala 137:112] + node _T_19924 = add(_T_19923, _T_19908) @[exu_mul_ctl.scala 137:112] + node _T_19925 = add(_T_19924, _T_19909) @[exu_mul_ctl.scala 137:112] + node _T_19926 = add(_T_19925, _T_19910) @[exu_mul_ctl.scala 137:112] + node _T_19927 = add(_T_19926, _T_19911) @[exu_mul_ctl.scala 137:112] + node _T_19928 = add(_T_19927, _T_19912) @[exu_mul_ctl.scala 137:112] + node _T_19929 = add(_T_19928, _T_19913) @[exu_mul_ctl.scala 137:112] + node _T_19930 = add(_T_19929, _T_19914) @[exu_mul_ctl.scala 137:112] + node _T_19931 = add(_T_19930, _T_19915) @[exu_mul_ctl.scala 137:112] + node _T_19932 = add(_T_19931, _T_19916) @[exu_mul_ctl.scala 137:112] + node _T_19933 = add(_T_19932, _T_19917) @[exu_mul_ctl.scala 137:112] + node _T_19934 = add(_T_19933, _T_19918) @[exu_mul_ctl.scala 137:112] + node _T_19935 = add(_T_19934, _T_19919) @[exu_mul_ctl.scala 137:112] + node _T_19936 = eq(_T_19935, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19937 = bits(_T_19936, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19938 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_19939 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19940 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19941 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19942 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19943 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19944 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19945 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19946 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19947 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19948 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19949 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19950 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19951 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19952 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19953 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19954 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19955 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19956 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19957 = add(_T_19939, _T_19940) @[exu_mul_ctl.scala 137:112] + node _T_19958 = add(_T_19957, _T_19941) @[exu_mul_ctl.scala 137:112] + node _T_19959 = add(_T_19958, _T_19942) @[exu_mul_ctl.scala 137:112] + node _T_19960 = add(_T_19959, _T_19943) @[exu_mul_ctl.scala 137:112] + node _T_19961 = add(_T_19960, _T_19944) @[exu_mul_ctl.scala 137:112] + node _T_19962 = add(_T_19961, _T_19945) @[exu_mul_ctl.scala 137:112] + node _T_19963 = add(_T_19962, _T_19946) @[exu_mul_ctl.scala 137:112] + node _T_19964 = add(_T_19963, _T_19947) @[exu_mul_ctl.scala 137:112] + node _T_19965 = add(_T_19964, _T_19948) @[exu_mul_ctl.scala 137:112] + node _T_19966 = add(_T_19965, _T_19949) @[exu_mul_ctl.scala 137:112] + node _T_19967 = add(_T_19966, _T_19950) @[exu_mul_ctl.scala 137:112] + node _T_19968 = add(_T_19967, _T_19951) @[exu_mul_ctl.scala 137:112] + node _T_19969 = add(_T_19968, _T_19952) @[exu_mul_ctl.scala 137:112] + node _T_19970 = add(_T_19969, _T_19953) @[exu_mul_ctl.scala 137:112] + node _T_19971 = add(_T_19970, _T_19954) @[exu_mul_ctl.scala 137:112] + node _T_19972 = add(_T_19971, _T_19955) @[exu_mul_ctl.scala 137:112] + node _T_19973 = add(_T_19972, _T_19956) @[exu_mul_ctl.scala 137:112] + node _T_19974 = eq(_T_19973, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19975 = bits(_T_19974, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19976 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_19977 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19978 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19979 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19980 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19981 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19982 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19983 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19984 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19985 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19986 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19987 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19988 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19989 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19990 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19991 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19992 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19993 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19994 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19995 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19996 = add(_T_19977, _T_19978) @[exu_mul_ctl.scala 137:112] + node _T_19997 = add(_T_19996, _T_19979) @[exu_mul_ctl.scala 137:112] + node _T_19998 = add(_T_19997, _T_19980) @[exu_mul_ctl.scala 137:112] + node _T_19999 = add(_T_19998, _T_19981) @[exu_mul_ctl.scala 137:112] + node _T_20000 = add(_T_19999, _T_19982) @[exu_mul_ctl.scala 137:112] + node _T_20001 = add(_T_20000, _T_19983) @[exu_mul_ctl.scala 137:112] + node _T_20002 = add(_T_20001, _T_19984) @[exu_mul_ctl.scala 137:112] + node _T_20003 = add(_T_20002, _T_19985) @[exu_mul_ctl.scala 137:112] + node _T_20004 = add(_T_20003, _T_19986) @[exu_mul_ctl.scala 137:112] + node _T_20005 = add(_T_20004, _T_19987) @[exu_mul_ctl.scala 137:112] + node _T_20006 = add(_T_20005, _T_19988) @[exu_mul_ctl.scala 137:112] + node _T_20007 = add(_T_20006, _T_19989) @[exu_mul_ctl.scala 137:112] + node _T_20008 = add(_T_20007, _T_19990) @[exu_mul_ctl.scala 137:112] + node _T_20009 = add(_T_20008, _T_19991) @[exu_mul_ctl.scala 137:112] + node _T_20010 = add(_T_20009, _T_19992) @[exu_mul_ctl.scala 137:112] + node _T_20011 = add(_T_20010, _T_19993) @[exu_mul_ctl.scala 137:112] + node _T_20012 = add(_T_20011, _T_19994) @[exu_mul_ctl.scala 137:112] + node _T_20013 = add(_T_20012, _T_19995) @[exu_mul_ctl.scala 137:112] + node _T_20014 = eq(_T_20013, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20015 = bits(_T_20014, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20016 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_20017 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20018 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20019 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20020 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20021 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20022 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20023 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20024 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20025 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20026 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20027 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20028 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20029 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20030 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20031 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20032 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20033 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20034 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20035 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20036 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20037 = add(_T_20017, _T_20018) @[exu_mul_ctl.scala 137:112] + node _T_20038 = add(_T_20037, _T_20019) @[exu_mul_ctl.scala 137:112] + node _T_20039 = add(_T_20038, _T_20020) @[exu_mul_ctl.scala 137:112] + node _T_20040 = add(_T_20039, _T_20021) @[exu_mul_ctl.scala 137:112] + node _T_20041 = add(_T_20040, _T_20022) @[exu_mul_ctl.scala 137:112] + node _T_20042 = add(_T_20041, _T_20023) @[exu_mul_ctl.scala 137:112] + node _T_20043 = add(_T_20042, _T_20024) @[exu_mul_ctl.scala 137:112] + node _T_20044 = add(_T_20043, _T_20025) @[exu_mul_ctl.scala 137:112] + node _T_20045 = add(_T_20044, _T_20026) @[exu_mul_ctl.scala 137:112] + node _T_20046 = add(_T_20045, _T_20027) @[exu_mul_ctl.scala 137:112] + node _T_20047 = add(_T_20046, _T_20028) @[exu_mul_ctl.scala 137:112] + node _T_20048 = add(_T_20047, _T_20029) @[exu_mul_ctl.scala 137:112] + node _T_20049 = add(_T_20048, _T_20030) @[exu_mul_ctl.scala 137:112] + node _T_20050 = add(_T_20049, _T_20031) @[exu_mul_ctl.scala 137:112] + node _T_20051 = add(_T_20050, _T_20032) @[exu_mul_ctl.scala 137:112] + node _T_20052 = add(_T_20051, _T_20033) @[exu_mul_ctl.scala 137:112] + node _T_20053 = add(_T_20052, _T_20034) @[exu_mul_ctl.scala 137:112] + node _T_20054 = add(_T_20053, _T_20035) @[exu_mul_ctl.scala 137:112] + node _T_20055 = add(_T_20054, _T_20036) @[exu_mul_ctl.scala 137:112] + node _T_20056 = eq(_T_20055, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20057 = bits(_T_20056, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20058 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_20059 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20060 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20061 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20062 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20063 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20064 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20065 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20066 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20067 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20068 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20069 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20070 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20071 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20072 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20073 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20074 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20075 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20076 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20077 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20078 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20079 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20080 = add(_T_20059, _T_20060) @[exu_mul_ctl.scala 137:112] + node _T_20081 = add(_T_20080, _T_20061) @[exu_mul_ctl.scala 137:112] + node _T_20082 = add(_T_20081, _T_20062) @[exu_mul_ctl.scala 137:112] + node _T_20083 = add(_T_20082, _T_20063) @[exu_mul_ctl.scala 137:112] + node _T_20084 = add(_T_20083, _T_20064) @[exu_mul_ctl.scala 137:112] + node _T_20085 = add(_T_20084, _T_20065) @[exu_mul_ctl.scala 137:112] + node _T_20086 = add(_T_20085, _T_20066) @[exu_mul_ctl.scala 137:112] + node _T_20087 = add(_T_20086, _T_20067) @[exu_mul_ctl.scala 137:112] + node _T_20088 = add(_T_20087, _T_20068) @[exu_mul_ctl.scala 137:112] + node _T_20089 = add(_T_20088, _T_20069) @[exu_mul_ctl.scala 137:112] + node _T_20090 = add(_T_20089, _T_20070) @[exu_mul_ctl.scala 137:112] + node _T_20091 = add(_T_20090, _T_20071) @[exu_mul_ctl.scala 137:112] + node _T_20092 = add(_T_20091, _T_20072) @[exu_mul_ctl.scala 137:112] + node _T_20093 = add(_T_20092, _T_20073) @[exu_mul_ctl.scala 137:112] + node _T_20094 = add(_T_20093, _T_20074) @[exu_mul_ctl.scala 137:112] + node _T_20095 = add(_T_20094, _T_20075) @[exu_mul_ctl.scala 137:112] + node _T_20096 = add(_T_20095, _T_20076) @[exu_mul_ctl.scala 137:112] + node _T_20097 = add(_T_20096, _T_20077) @[exu_mul_ctl.scala 137:112] + node _T_20098 = add(_T_20097, _T_20078) @[exu_mul_ctl.scala 137:112] + node _T_20099 = add(_T_20098, _T_20079) @[exu_mul_ctl.scala 137:112] + node _T_20100 = eq(_T_20099, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20101 = bits(_T_20100, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20102 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_20103 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20104 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20105 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20106 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20107 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20108 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20109 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20110 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20111 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20112 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20113 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20114 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20115 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20116 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20117 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20118 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20119 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20120 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20121 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20122 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20123 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20124 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20125 = add(_T_20103, _T_20104) @[exu_mul_ctl.scala 137:112] + node _T_20126 = add(_T_20125, _T_20105) @[exu_mul_ctl.scala 137:112] + node _T_20127 = add(_T_20126, _T_20106) @[exu_mul_ctl.scala 137:112] + node _T_20128 = add(_T_20127, _T_20107) @[exu_mul_ctl.scala 137:112] + node _T_20129 = add(_T_20128, _T_20108) @[exu_mul_ctl.scala 137:112] + node _T_20130 = add(_T_20129, _T_20109) @[exu_mul_ctl.scala 137:112] + node _T_20131 = add(_T_20130, _T_20110) @[exu_mul_ctl.scala 137:112] + node _T_20132 = add(_T_20131, _T_20111) @[exu_mul_ctl.scala 137:112] + node _T_20133 = add(_T_20132, _T_20112) @[exu_mul_ctl.scala 137:112] + node _T_20134 = add(_T_20133, _T_20113) @[exu_mul_ctl.scala 137:112] + node _T_20135 = add(_T_20134, _T_20114) @[exu_mul_ctl.scala 137:112] + node _T_20136 = add(_T_20135, _T_20115) @[exu_mul_ctl.scala 137:112] + node _T_20137 = add(_T_20136, _T_20116) @[exu_mul_ctl.scala 137:112] + node _T_20138 = add(_T_20137, _T_20117) @[exu_mul_ctl.scala 137:112] + node _T_20139 = add(_T_20138, _T_20118) @[exu_mul_ctl.scala 137:112] + node _T_20140 = add(_T_20139, _T_20119) @[exu_mul_ctl.scala 137:112] + node _T_20141 = add(_T_20140, _T_20120) @[exu_mul_ctl.scala 137:112] + node _T_20142 = add(_T_20141, _T_20121) @[exu_mul_ctl.scala 137:112] + node _T_20143 = add(_T_20142, _T_20122) @[exu_mul_ctl.scala 137:112] + node _T_20144 = add(_T_20143, _T_20123) @[exu_mul_ctl.scala 137:112] + node _T_20145 = add(_T_20144, _T_20124) @[exu_mul_ctl.scala 137:112] + node _T_20146 = eq(_T_20145, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20147 = bits(_T_20146, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20148 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_20149 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20150 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20151 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20152 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20153 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20154 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20155 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20156 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20157 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20158 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20159 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20160 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20161 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20162 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20163 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20164 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20165 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20166 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20167 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20168 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20169 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20170 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20171 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20172 = add(_T_20149, _T_20150) @[exu_mul_ctl.scala 137:112] + node _T_20173 = add(_T_20172, _T_20151) @[exu_mul_ctl.scala 137:112] + node _T_20174 = add(_T_20173, _T_20152) @[exu_mul_ctl.scala 137:112] + node _T_20175 = add(_T_20174, _T_20153) @[exu_mul_ctl.scala 137:112] + node _T_20176 = add(_T_20175, _T_20154) @[exu_mul_ctl.scala 137:112] + node _T_20177 = add(_T_20176, _T_20155) @[exu_mul_ctl.scala 137:112] + node _T_20178 = add(_T_20177, _T_20156) @[exu_mul_ctl.scala 137:112] + node _T_20179 = add(_T_20178, _T_20157) @[exu_mul_ctl.scala 137:112] + node _T_20180 = add(_T_20179, _T_20158) @[exu_mul_ctl.scala 137:112] + node _T_20181 = add(_T_20180, _T_20159) @[exu_mul_ctl.scala 137:112] + node _T_20182 = add(_T_20181, _T_20160) @[exu_mul_ctl.scala 137:112] + node _T_20183 = add(_T_20182, _T_20161) @[exu_mul_ctl.scala 137:112] + node _T_20184 = add(_T_20183, _T_20162) @[exu_mul_ctl.scala 137:112] + node _T_20185 = add(_T_20184, _T_20163) @[exu_mul_ctl.scala 137:112] + node _T_20186 = add(_T_20185, _T_20164) @[exu_mul_ctl.scala 137:112] + node _T_20187 = add(_T_20186, _T_20165) @[exu_mul_ctl.scala 137:112] + node _T_20188 = add(_T_20187, _T_20166) @[exu_mul_ctl.scala 137:112] + node _T_20189 = add(_T_20188, _T_20167) @[exu_mul_ctl.scala 137:112] + node _T_20190 = add(_T_20189, _T_20168) @[exu_mul_ctl.scala 137:112] + node _T_20191 = add(_T_20190, _T_20169) @[exu_mul_ctl.scala 137:112] + node _T_20192 = add(_T_20191, _T_20170) @[exu_mul_ctl.scala 137:112] + node _T_20193 = add(_T_20192, _T_20171) @[exu_mul_ctl.scala 137:112] + node _T_20194 = eq(_T_20193, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20195 = bits(_T_20194, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20196 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_20197 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20198 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20199 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20200 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20201 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20202 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20203 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20204 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20205 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20206 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20207 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20208 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20209 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20210 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20211 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20212 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20213 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20214 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20215 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20216 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20217 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20218 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20219 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20220 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20221 = add(_T_20197, _T_20198) @[exu_mul_ctl.scala 137:112] + node _T_20222 = add(_T_20221, _T_20199) @[exu_mul_ctl.scala 137:112] + node _T_20223 = add(_T_20222, _T_20200) @[exu_mul_ctl.scala 137:112] + node _T_20224 = add(_T_20223, _T_20201) @[exu_mul_ctl.scala 137:112] + node _T_20225 = add(_T_20224, _T_20202) @[exu_mul_ctl.scala 137:112] + node _T_20226 = add(_T_20225, _T_20203) @[exu_mul_ctl.scala 137:112] + node _T_20227 = add(_T_20226, _T_20204) @[exu_mul_ctl.scala 137:112] + node _T_20228 = add(_T_20227, _T_20205) @[exu_mul_ctl.scala 137:112] + node _T_20229 = add(_T_20228, _T_20206) @[exu_mul_ctl.scala 137:112] + node _T_20230 = add(_T_20229, _T_20207) @[exu_mul_ctl.scala 137:112] + node _T_20231 = add(_T_20230, _T_20208) @[exu_mul_ctl.scala 137:112] + node _T_20232 = add(_T_20231, _T_20209) @[exu_mul_ctl.scala 137:112] + node _T_20233 = add(_T_20232, _T_20210) @[exu_mul_ctl.scala 137:112] + node _T_20234 = add(_T_20233, _T_20211) @[exu_mul_ctl.scala 137:112] + node _T_20235 = add(_T_20234, _T_20212) @[exu_mul_ctl.scala 137:112] + node _T_20236 = add(_T_20235, _T_20213) @[exu_mul_ctl.scala 137:112] + node _T_20237 = add(_T_20236, _T_20214) @[exu_mul_ctl.scala 137:112] + node _T_20238 = add(_T_20237, _T_20215) @[exu_mul_ctl.scala 137:112] + node _T_20239 = add(_T_20238, _T_20216) @[exu_mul_ctl.scala 137:112] + node _T_20240 = add(_T_20239, _T_20217) @[exu_mul_ctl.scala 137:112] + node _T_20241 = add(_T_20240, _T_20218) @[exu_mul_ctl.scala 137:112] + node _T_20242 = add(_T_20241, _T_20219) @[exu_mul_ctl.scala 137:112] + node _T_20243 = add(_T_20242, _T_20220) @[exu_mul_ctl.scala 137:112] + node _T_20244 = eq(_T_20243, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20245 = bits(_T_20244, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20246 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_20247 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20248 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20249 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20250 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20251 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20252 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20253 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20254 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20255 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20256 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20257 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20258 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20259 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20260 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20261 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20262 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20263 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20264 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20265 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20266 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20267 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20268 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20269 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20270 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20271 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20272 = add(_T_20247, _T_20248) @[exu_mul_ctl.scala 137:112] + node _T_20273 = add(_T_20272, _T_20249) @[exu_mul_ctl.scala 137:112] + node _T_20274 = add(_T_20273, _T_20250) @[exu_mul_ctl.scala 137:112] + node _T_20275 = add(_T_20274, _T_20251) @[exu_mul_ctl.scala 137:112] + node _T_20276 = add(_T_20275, _T_20252) @[exu_mul_ctl.scala 137:112] + node _T_20277 = add(_T_20276, _T_20253) @[exu_mul_ctl.scala 137:112] + node _T_20278 = add(_T_20277, _T_20254) @[exu_mul_ctl.scala 137:112] + node _T_20279 = add(_T_20278, _T_20255) @[exu_mul_ctl.scala 137:112] + node _T_20280 = add(_T_20279, _T_20256) @[exu_mul_ctl.scala 137:112] + node _T_20281 = add(_T_20280, _T_20257) @[exu_mul_ctl.scala 137:112] + node _T_20282 = add(_T_20281, _T_20258) @[exu_mul_ctl.scala 137:112] + node _T_20283 = add(_T_20282, _T_20259) @[exu_mul_ctl.scala 137:112] + node _T_20284 = add(_T_20283, _T_20260) @[exu_mul_ctl.scala 137:112] + node _T_20285 = add(_T_20284, _T_20261) @[exu_mul_ctl.scala 137:112] + node _T_20286 = add(_T_20285, _T_20262) @[exu_mul_ctl.scala 137:112] + node _T_20287 = add(_T_20286, _T_20263) @[exu_mul_ctl.scala 137:112] + node _T_20288 = add(_T_20287, _T_20264) @[exu_mul_ctl.scala 137:112] + node _T_20289 = add(_T_20288, _T_20265) @[exu_mul_ctl.scala 137:112] + node _T_20290 = add(_T_20289, _T_20266) @[exu_mul_ctl.scala 137:112] + node _T_20291 = add(_T_20290, _T_20267) @[exu_mul_ctl.scala 137:112] + node _T_20292 = add(_T_20291, _T_20268) @[exu_mul_ctl.scala 137:112] + node _T_20293 = add(_T_20292, _T_20269) @[exu_mul_ctl.scala 137:112] + node _T_20294 = add(_T_20293, _T_20270) @[exu_mul_ctl.scala 137:112] + node _T_20295 = add(_T_20294, _T_20271) @[exu_mul_ctl.scala 137:112] + node _T_20296 = eq(_T_20295, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20297 = bits(_T_20296, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20298 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_20299 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20300 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20301 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20302 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20303 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20304 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20305 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20306 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20307 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20308 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20309 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20310 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20311 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20312 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20313 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20314 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20315 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20316 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20317 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20318 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20319 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20320 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20321 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20322 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20323 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20324 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20325 = add(_T_20299, _T_20300) @[exu_mul_ctl.scala 137:112] + node _T_20326 = add(_T_20325, _T_20301) @[exu_mul_ctl.scala 137:112] + node _T_20327 = add(_T_20326, _T_20302) @[exu_mul_ctl.scala 137:112] + node _T_20328 = add(_T_20327, _T_20303) @[exu_mul_ctl.scala 137:112] + node _T_20329 = add(_T_20328, _T_20304) @[exu_mul_ctl.scala 137:112] + node _T_20330 = add(_T_20329, _T_20305) @[exu_mul_ctl.scala 137:112] + node _T_20331 = add(_T_20330, _T_20306) @[exu_mul_ctl.scala 137:112] + node _T_20332 = add(_T_20331, _T_20307) @[exu_mul_ctl.scala 137:112] + node _T_20333 = add(_T_20332, _T_20308) @[exu_mul_ctl.scala 137:112] + node _T_20334 = add(_T_20333, _T_20309) @[exu_mul_ctl.scala 137:112] + node _T_20335 = add(_T_20334, _T_20310) @[exu_mul_ctl.scala 137:112] + node _T_20336 = add(_T_20335, _T_20311) @[exu_mul_ctl.scala 137:112] + node _T_20337 = add(_T_20336, _T_20312) @[exu_mul_ctl.scala 137:112] + node _T_20338 = add(_T_20337, _T_20313) @[exu_mul_ctl.scala 137:112] + node _T_20339 = add(_T_20338, _T_20314) @[exu_mul_ctl.scala 137:112] + node _T_20340 = add(_T_20339, _T_20315) @[exu_mul_ctl.scala 137:112] + node _T_20341 = add(_T_20340, _T_20316) @[exu_mul_ctl.scala 137:112] + node _T_20342 = add(_T_20341, _T_20317) @[exu_mul_ctl.scala 137:112] + node _T_20343 = add(_T_20342, _T_20318) @[exu_mul_ctl.scala 137:112] + node _T_20344 = add(_T_20343, _T_20319) @[exu_mul_ctl.scala 137:112] + node _T_20345 = add(_T_20344, _T_20320) @[exu_mul_ctl.scala 137:112] + node _T_20346 = add(_T_20345, _T_20321) @[exu_mul_ctl.scala 137:112] + node _T_20347 = add(_T_20346, _T_20322) @[exu_mul_ctl.scala 137:112] + node _T_20348 = add(_T_20347, _T_20323) @[exu_mul_ctl.scala 137:112] + node _T_20349 = add(_T_20348, _T_20324) @[exu_mul_ctl.scala 137:112] + node _T_20350 = eq(_T_20349, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20351 = bits(_T_20350, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20352 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_20353 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20354 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20355 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20356 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20357 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20358 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20359 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20360 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20361 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20362 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20363 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20364 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20365 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20366 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20367 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20368 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20369 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20370 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20371 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20372 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20373 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20374 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20375 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20376 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20377 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20378 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20379 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20380 = add(_T_20353, _T_20354) @[exu_mul_ctl.scala 137:112] + node _T_20381 = add(_T_20380, _T_20355) @[exu_mul_ctl.scala 137:112] + node _T_20382 = add(_T_20381, _T_20356) @[exu_mul_ctl.scala 137:112] + node _T_20383 = add(_T_20382, _T_20357) @[exu_mul_ctl.scala 137:112] + node _T_20384 = add(_T_20383, _T_20358) @[exu_mul_ctl.scala 137:112] + node _T_20385 = add(_T_20384, _T_20359) @[exu_mul_ctl.scala 137:112] + node _T_20386 = add(_T_20385, _T_20360) @[exu_mul_ctl.scala 137:112] + node _T_20387 = add(_T_20386, _T_20361) @[exu_mul_ctl.scala 137:112] + node _T_20388 = add(_T_20387, _T_20362) @[exu_mul_ctl.scala 137:112] + node _T_20389 = add(_T_20388, _T_20363) @[exu_mul_ctl.scala 137:112] + node _T_20390 = add(_T_20389, _T_20364) @[exu_mul_ctl.scala 137:112] + node _T_20391 = add(_T_20390, _T_20365) @[exu_mul_ctl.scala 137:112] + node _T_20392 = add(_T_20391, _T_20366) @[exu_mul_ctl.scala 137:112] + node _T_20393 = add(_T_20392, _T_20367) @[exu_mul_ctl.scala 137:112] + node _T_20394 = add(_T_20393, _T_20368) @[exu_mul_ctl.scala 137:112] + node _T_20395 = add(_T_20394, _T_20369) @[exu_mul_ctl.scala 137:112] + node _T_20396 = add(_T_20395, _T_20370) @[exu_mul_ctl.scala 137:112] + node _T_20397 = add(_T_20396, _T_20371) @[exu_mul_ctl.scala 137:112] + node _T_20398 = add(_T_20397, _T_20372) @[exu_mul_ctl.scala 137:112] + node _T_20399 = add(_T_20398, _T_20373) @[exu_mul_ctl.scala 137:112] + node _T_20400 = add(_T_20399, _T_20374) @[exu_mul_ctl.scala 137:112] + node _T_20401 = add(_T_20400, _T_20375) @[exu_mul_ctl.scala 137:112] + node _T_20402 = add(_T_20401, _T_20376) @[exu_mul_ctl.scala 137:112] + node _T_20403 = add(_T_20402, _T_20377) @[exu_mul_ctl.scala 137:112] + node _T_20404 = add(_T_20403, _T_20378) @[exu_mul_ctl.scala 137:112] + node _T_20405 = add(_T_20404, _T_20379) @[exu_mul_ctl.scala 137:112] + node _T_20406 = eq(_T_20405, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20407 = bits(_T_20406, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20408 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_20409 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20410 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20411 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20412 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20413 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20414 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20415 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20416 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20417 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20418 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20419 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20420 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20421 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20422 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20423 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20424 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20425 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20426 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20427 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20428 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20429 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20430 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20431 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20432 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20433 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20434 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20435 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20436 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_20437 = add(_T_20409, _T_20410) @[exu_mul_ctl.scala 137:112] + node _T_20438 = add(_T_20437, _T_20411) @[exu_mul_ctl.scala 137:112] + node _T_20439 = add(_T_20438, _T_20412) @[exu_mul_ctl.scala 137:112] + node _T_20440 = add(_T_20439, _T_20413) @[exu_mul_ctl.scala 137:112] + node _T_20441 = add(_T_20440, _T_20414) @[exu_mul_ctl.scala 137:112] + node _T_20442 = add(_T_20441, _T_20415) @[exu_mul_ctl.scala 137:112] + node _T_20443 = add(_T_20442, _T_20416) @[exu_mul_ctl.scala 137:112] + node _T_20444 = add(_T_20443, _T_20417) @[exu_mul_ctl.scala 137:112] + node _T_20445 = add(_T_20444, _T_20418) @[exu_mul_ctl.scala 137:112] + node _T_20446 = add(_T_20445, _T_20419) @[exu_mul_ctl.scala 137:112] + node _T_20447 = add(_T_20446, _T_20420) @[exu_mul_ctl.scala 137:112] + node _T_20448 = add(_T_20447, _T_20421) @[exu_mul_ctl.scala 137:112] + node _T_20449 = add(_T_20448, _T_20422) @[exu_mul_ctl.scala 137:112] + node _T_20450 = add(_T_20449, _T_20423) @[exu_mul_ctl.scala 137:112] + node _T_20451 = add(_T_20450, _T_20424) @[exu_mul_ctl.scala 137:112] + node _T_20452 = add(_T_20451, _T_20425) @[exu_mul_ctl.scala 137:112] + node _T_20453 = add(_T_20452, _T_20426) @[exu_mul_ctl.scala 137:112] + node _T_20454 = add(_T_20453, _T_20427) @[exu_mul_ctl.scala 137:112] + node _T_20455 = add(_T_20454, _T_20428) @[exu_mul_ctl.scala 137:112] + node _T_20456 = add(_T_20455, _T_20429) @[exu_mul_ctl.scala 137:112] + node _T_20457 = add(_T_20456, _T_20430) @[exu_mul_ctl.scala 137:112] + node _T_20458 = add(_T_20457, _T_20431) @[exu_mul_ctl.scala 137:112] + node _T_20459 = add(_T_20458, _T_20432) @[exu_mul_ctl.scala 137:112] + node _T_20460 = add(_T_20459, _T_20433) @[exu_mul_ctl.scala 137:112] + node _T_20461 = add(_T_20460, _T_20434) @[exu_mul_ctl.scala 137:112] + node _T_20462 = add(_T_20461, _T_20435) @[exu_mul_ctl.scala 137:112] + node _T_20463 = add(_T_20462, _T_20436) @[exu_mul_ctl.scala 137:112] + node _T_20464 = eq(_T_20463, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20465 = bits(_T_20464, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20466 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_20467 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20468 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20469 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20470 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20471 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20472 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20473 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20474 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20475 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20476 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20477 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20478 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20479 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20480 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20481 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20482 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20483 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20484 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20485 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20486 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20487 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20488 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20489 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20490 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20491 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20492 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20493 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20494 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_20495 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_20496 = add(_T_20467, _T_20468) @[exu_mul_ctl.scala 137:112] + node _T_20497 = add(_T_20496, _T_20469) @[exu_mul_ctl.scala 137:112] + node _T_20498 = add(_T_20497, _T_20470) @[exu_mul_ctl.scala 137:112] + node _T_20499 = add(_T_20498, _T_20471) @[exu_mul_ctl.scala 137:112] + node _T_20500 = add(_T_20499, _T_20472) @[exu_mul_ctl.scala 137:112] + node _T_20501 = add(_T_20500, _T_20473) @[exu_mul_ctl.scala 137:112] + node _T_20502 = add(_T_20501, _T_20474) @[exu_mul_ctl.scala 137:112] + node _T_20503 = add(_T_20502, _T_20475) @[exu_mul_ctl.scala 137:112] + node _T_20504 = add(_T_20503, _T_20476) @[exu_mul_ctl.scala 137:112] + node _T_20505 = add(_T_20504, _T_20477) @[exu_mul_ctl.scala 137:112] + node _T_20506 = add(_T_20505, _T_20478) @[exu_mul_ctl.scala 137:112] + node _T_20507 = add(_T_20506, _T_20479) @[exu_mul_ctl.scala 137:112] + node _T_20508 = add(_T_20507, _T_20480) @[exu_mul_ctl.scala 137:112] + node _T_20509 = add(_T_20508, _T_20481) @[exu_mul_ctl.scala 137:112] + node _T_20510 = add(_T_20509, _T_20482) @[exu_mul_ctl.scala 137:112] + node _T_20511 = add(_T_20510, _T_20483) @[exu_mul_ctl.scala 137:112] + node _T_20512 = add(_T_20511, _T_20484) @[exu_mul_ctl.scala 137:112] + node _T_20513 = add(_T_20512, _T_20485) @[exu_mul_ctl.scala 137:112] + node _T_20514 = add(_T_20513, _T_20486) @[exu_mul_ctl.scala 137:112] + node _T_20515 = add(_T_20514, _T_20487) @[exu_mul_ctl.scala 137:112] + node _T_20516 = add(_T_20515, _T_20488) @[exu_mul_ctl.scala 137:112] + node _T_20517 = add(_T_20516, _T_20489) @[exu_mul_ctl.scala 137:112] + node _T_20518 = add(_T_20517, _T_20490) @[exu_mul_ctl.scala 137:112] + node _T_20519 = add(_T_20518, _T_20491) @[exu_mul_ctl.scala 137:112] + node _T_20520 = add(_T_20519, _T_20492) @[exu_mul_ctl.scala 137:112] + node _T_20521 = add(_T_20520, _T_20493) @[exu_mul_ctl.scala 137:112] + node _T_20522 = add(_T_20521, _T_20494) @[exu_mul_ctl.scala 137:112] + node _T_20523 = add(_T_20522, _T_20495) @[exu_mul_ctl.scala 137:112] + node _T_20524 = eq(_T_20523, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20525 = bits(_T_20524, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20526 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_20527 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20528 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20529 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20530 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20531 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20532 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20533 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20534 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20535 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20536 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20537 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20538 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20539 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20540 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20541 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20542 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20543 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20544 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20545 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20546 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20547 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20548 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20549 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20550 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20551 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20552 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20553 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20554 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_20555 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_20556 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_20557 = add(_T_20527, _T_20528) @[exu_mul_ctl.scala 137:112] + node _T_20558 = add(_T_20557, _T_20529) @[exu_mul_ctl.scala 137:112] + node _T_20559 = add(_T_20558, _T_20530) @[exu_mul_ctl.scala 137:112] + node _T_20560 = add(_T_20559, _T_20531) @[exu_mul_ctl.scala 137:112] + node _T_20561 = add(_T_20560, _T_20532) @[exu_mul_ctl.scala 137:112] + node _T_20562 = add(_T_20561, _T_20533) @[exu_mul_ctl.scala 137:112] + node _T_20563 = add(_T_20562, _T_20534) @[exu_mul_ctl.scala 137:112] + node _T_20564 = add(_T_20563, _T_20535) @[exu_mul_ctl.scala 137:112] + node _T_20565 = add(_T_20564, _T_20536) @[exu_mul_ctl.scala 137:112] + node _T_20566 = add(_T_20565, _T_20537) @[exu_mul_ctl.scala 137:112] + node _T_20567 = add(_T_20566, _T_20538) @[exu_mul_ctl.scala 137:112] + node _T_20568 = add(_T_20567, _T_20539) @[exu_mul_ctl.scala 137:112] + node _T_20569 = add(_T_20568, _T_20540) @[exu_mul_ctl.scala 137:112] + node _T_20570 = add(_T_20569, _T_20541) @[exu_mul_ctl.scala 137:112] + node _T_20571 = add(_T_20570, _T_20542) @[exu_mul_ctl.scala 137:112] + node _T_20572 = add(_T_20571, _T_20543) @[exu_mul_ctl.scala 137:112] + node _T_20573 = add(_T_20572, _T_20544) @[exu_mul_ctl.scala 137:112] + node _T_20574 = add(_T_20573, _T_20545) @[exu_mul_ctl.scala 137:112] + node _T_20575 = add(_T_20574, _T_20546) @[exu_mul_ctl.scala 137:112] + node _T_20576 = add(_T_20575, _T_20547) @[exu_mul_ctl.scala 137:112] + node _T_20577 = add(_T_20576, _T_20548) @[exu_mul_ctl.scala 137:112] + node _T_20578 = add(_T_20577, _T_20549) @[exu_mul_ctl.scala 137:112] + node _T_20579 = add(_T_20578, _T_20550) @[exu_mul_ctl.scala 137:112] + node _T_20580 = add(_T_20579, _T_20551) @[exu_mul_ctl.scala 137:112] + node _T_20581 = add(_T_20580, _T_20552) @[exu_mul_ctl.scala 137:112] + node _T_20582 = add(_T_20581, _T_20553) @[exu_mul_ctl.scala 137:112] + node _T_20583 = add(_T_20582, _T_20554) @[exu_mul_ctl.scala 137:112] + node _T_20584 = add(_T_20583, _T_20555) @[exu_mul_ctl.scala 137:112] + node _T_20585 = add(_T_20584, _T_20556) @[exu_mul_ctl.scala 137:112] + node _T_20586 = eq(_T_20585, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20587 = bits(_T_20586, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20588 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_20589 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20590 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20591 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20592 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20593 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20594 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20595 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20596 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20597 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20598 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20599 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20600 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20601 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20602 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20603 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20604 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20605 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20606 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20607 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20608 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20609 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20610 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20611 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20612 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20613 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20614 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20615 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20616 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_20617 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_20618 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_20619 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_20620 = add(_T_20589, _T_20590) @[exu_mul_ctl.scala 137:112] + node _T_20621 = add(_T_20620, _T_20591) @[exu_mul_ctl.scala 137:112] + node _T_20622 = add(_T_20621, _T_20592) @[exu_mul_ctl.scala 137:112] + node _T_20623 = add(_T_20622, _T_20593) @[exu_mul_ctl.scala 137:112] + node _T_20624 = add(_T_20623, _T_20594) @[exu_mul_ctl.scala 137:112] + node _T_20625 = add(_T_20624, _T_20595) @[exu_mul_ctl.scala 137:112] + node _T_20626 = add(_T_20625, _T_20596) @[exu_mul_ctl.scala 137:112] + node _T_20627 = add(_T_20626, _T_20597) @[exu_mul_ctl.scala 137:112] + node _T_20628 = add(_T_20627, _T_20598) @[exu_mul_ctl.scala 137:112] + node _T_20629 = add(_T_20628, _T_20599) @[exu_mul_ctl.scala 137:112] + node _T_20630 = add(_T_20629, _T_20600) @[exu_mul_ctl.scala 137:112] + node _T_20631 = add(_T_20630, _T_20601) @[exu_mul_ctl.scala 137:112] + node _T_20632 = add(_T_20631, _T_20602) @[exu_mul_ctl.scala 137:112] + node _T_20633 = add(_T_20632, _T_20603) @[exu_mul_ctl.scala 137:112] + node _T_20634 = add(_T_20633, _T_20604) @[exu_mul_ctl.scala 137:112] + node _T_20635 = add(_T_20634, _T_20605) @[exu_mul_ctl.scala 137:112] + node _T_20636 = add(_T_20635, _T_20606) @[exu_mul_ctl.scala 137:112] + node _T_20637 = add(_T_20636, _T_20607) @[exu_mul_ctl.scala 137:112] + node _T_20638 = add(_T_20637, _T_20608) @[exu_mul_ctl.scala 137:112] + node _T_20639 = add(_T_20638, _T_20609) @[exu_mul_ctl.scala 137:112] + node _T_20640 = add(_T_20639, _T_20610) @[exu_mul_ctl.scala 137:112] + node _T_20641 = add(_T_20640, _T_20611) @[exu_mul_ctl.scala 137:112] + node _T_20642 = add(_T_20641, _T_20612) @[exu_mul_ctl.scala 137:112] + node _T_20643 = add(_T_20642, _T_20613) @[exu_mul_ctl.scala 137:112] + node _T_20644 = add(_T_20643, _T_20614) @[exu_mul_ctl.scala 137:112] + node _T_20645 = add(_T_20644, _T_20615) @[exu_mul_ctl.scala 137:112] + node _T_20646 = add(_T_20645, _T_20616) @[exu_mul_ctl.scala 137:112] + node _T_20647 = add(_T_20646, _T_20617) @[exu_mul_ctl.scala 137:112] + node _T_20648 = add(_T_20647, _T_20618) @[exu_mul_ctl.scala 137:112] + node _T_20649 = add(_T_20648, _T_20619) @[exu_mul_ctl.scala 137:112] + node _T_20650 = eq(_T_20649, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20651 = bits(_T_20650, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20652 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_20653 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20654 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20655 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20656 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20657 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20658 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20659 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20660 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20661 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20662 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20663 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20664 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20665 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20666 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20667 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20668 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20669 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20670 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20671 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20672 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20673 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20674 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20675 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20676 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20677 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20678 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20679 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20680 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_20681 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_20682 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_20683 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_20684 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_20685 = add(_T_20653, _T_20654) @[exu_mul_ctl.scala 137:112] + node _T_20686 = add(_T_20685, _T_20655) @[exu_mul_ctl.scala 137:112] + node _T_20687 = add(_T_20686, _T_20656) @[exu_mul_ctl.scala 137:112] + node _T_20688 = add(_T_20687, _T_20657) @[exu_mul_ctl.scala 137:112] + node _T_20689 = add(_T_20688, _T_20658) @[exu_mul_ctl.scala 137:112] + node _T_20690 = add(_T_20689, _T_20659) @[exu_mul_ctl.scala 137:112] + node _T_20691 = add(_T_20690, _T_20660) @[exu_mul_ctl.scala 137:112] + node _T_20692 = add(_T_20691, _T_20661) @[exu_mul_ctl.scala 137:112] + node _T_20693 = add(_T_20692, _T_20662) @[exu_mul_ctl.scala 137:112] + node _T_20694 = add(_T_20693, _T_20663) @[exu_mul_ctl.scala 137:112] + node _T_20695 = add(_T_20694, _T_20664) @[exu_mul_ctl.scala 137:112] + node _T_20696 = add(_T_20695, _T_20665) @[exu_mul_ctl.scala 137:112] + node _T_20697 = add(_T_20696, _T_20666) @[exu_mul_ctl.scala 137:112] + node _T_20698 = add(_T_20697, _T_20667) @[exu_mul_ctl.scala 137:112] + node _T_20699 = add(_T_20698, _T_20668) @[exu_mul_ctl.scala 137:112] + node _T_20700 = add(_T_20699, _T_20669) @[exu_mul_ctl.scala 137:112] + node _T_20701 = add(_T_20700, _T_20670) @[exu_mul_ctl.scala 137:112] + node _T_20702 = add(_T_20701, _T_20671) @[exu_mul_ctl.scala 137:112] + node _T_20703 = add(_T_20702, _T_20672) @[exu_mul_ctl.scala 137:112] + node _T_20704 = add(_T_20703, _T_20673) @[exu_mul_ctl.scala 137:112] + node _T_20705 = add(_T_20704, _T_20674) @[exu_mul_ctl.scala 137:112] + node _T_20706 = add(_T_20705, _T_20675) @[exu_mul_ctl.scala 137:112] + node _T_20707 = add(_T_20706, _T_20676) @[exu_mul_ctl.scala 137:112] + node _T_20708 = add(_T_20707, _T_20677) @[exu_mul_ctl.scala 137:112] + node _T_20709 = add(_T_20708, _T_20678) @[exu_mul_ctl.scala 137:112] + node _T_20710 = add(_T_20709, _T_20679) @[exu_mul_ctl.scala 137:112] + node _T_20711 = add(_T_20710, _T_20680) @[exu_mul_ctl.scala 137:112] + node _T_20712 = add(_T_20711, _T_20681) @[exu_mul_ctl.scala 137:112] + node _T_20713 = add(_T_20712, _T_20682) @[exu_mul_ctl.scala 137:112] + node _T_20714 = add(_T_20713, _T_20683) @[exu_mul_ctl.scala 137:112] + node _T_20715 = add(_T_20714, _T_20684) @[exu_mul_ctl.scala 137:112] + node _T_20716 = eq(_T_20715, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20717 = bits(_T_20716, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20718 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_20719 = mux(_T_20717, _T_20718, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_20720 = mux(_T_20651, _T_20652, _T_20719) @[Mux.scala 98:16] + node _T_20721 = mux(_T_20587, _T_20588, _T_20720) @[Mux.scala 98:16] + node _T_20722 = mux(_T_20525, _T_20526, _T_20721) @[Mux.scala 98:16] + node _T_20723 = mux(_T_20465, _T_20466, _T_20722) @[Mux.scala 98:16] + node _T_20724 = mux(_T_20407, _T_20408, _T_20723) @[Mux.scala 98:16] + node _T_20725 = mux(_T_20351, _T_20352, _T_20724) @[Mux.scala 98:16] + node _T_20726 = mux(_T_20297, _T_20298, _T_20725) @[Mux.scala 98:16] + node _T_20727 = mux(_T_20245, _T_20246, _T_20726) @[Mux.scala 98:16] + node _T_20728 = mux(_T_20195, _T_20196, _T_20727) @[Mux.scala 98:16] + node _T_20729 = mux(_T_20147, _T_20148, _T_20728) @[Mux.scala 98:16] + node _T_20730 = mux(_T_20101, _T_20102, _T_20729) @[Mux.scala 98:16] + node _T_20731 = mux(_T_20057, _T_20058, _T_20730) @[Mux.scala 98:16] + node _T_20732 = mux(_T_20015, _T_20016, _T_20731) @[Mux.scala 98:16] + node _T_20733 = mux(_T_19975, _T_19976, _T_20732) @[Mux.scala 98:16] + node _T_20734 = mux(_T_19937, _T_19938, _T_20733) @[Mux.scala 98:16] + node _T_20735 = mux(_T_19901, _T_19902, _T_20734) @[Mux.scala 98:16] + node _T_20736 = mux(_T_19867, _T_19868, _T_20735) @[Mux.scala 98:16] + node _T_20737 = mux(_T_19835, _T_19836, _T_20736) @[Mux.scala 98:16] + node _T_20738 = mux(_T_19805, _T_19806, _T_20737) @[Mux.scala 98:16] + node _T_20739 = mux(_T_19777, _T_19778, _T_20738) @[Mux.scala 98:16] + node _T_20740 = mux(_T_19751, _T_19752, _T_20739) @[Mux.scala 98:16] + node _T_20741 = mux(_T_19727, _T_19728, _T_20740) @[Mux.scala 98:16] + node _T_20742 = mux(_T_19705, _T_19706, _T_20741) @[Mux.scala 98:16] + node _T_20743 = mux(_T_19685, _T_19686, _T_20742) @[Mux.scala 98:16] + node _T_20744 = mux(_T_19667, _T_19668, _T_20743) @[Mux.scala 98:16] + node _T_20745 = mux(_T_19651, _T_19652, _T_20744) @[Mux.scala 98:16] + node _T_20746 = mux(_T_19637, _T_19638, _T_20745) @[Mux.scala 98:16] + node _T_20747 = mux(_T_19625, _T_19626, _T_20746) @[Mux.scala 98:16] + node _T_20748 = mux(_T_19615, _T_19616, _T_20747) @[Mux.scala 98:16] + node _T_20749 = mux(_T_19607, _T_19608, _T_20748) @[Mux.scala 98:16] + node _T_20750 = mux(_T_19601, _T_19602, _T_20749) @[Mux.scala 98:16] + node _T_20751 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_20752 = eq(_T_20751, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20753 = bits(_T_20752, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20754 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_20755 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20756 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20757 = add(_T_20755, _T_20756) @[exu_mul_ctl.scala 137:112] + node _T_20758 = eq(_T_20757, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20759 = bits(_T_20758, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20760 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_20761 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20762 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20763 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20764 = add(_T_20761, _T_20762) @[exu_mul_ctl.scala 137:112] + node _T_20765 = add(_T_20764, _T_20763) @[exu_mul_ctl.scala 137:112] + node _T_20766 = eq(_T_20765, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20767 = bits(_T_20766, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20768 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_20769 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20770 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20771 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20772 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20773 = add(_T_20769, _T_20770) @[exu_mul_ctl.scala 137:112] + node _T_20774 = add(_T_20773, _T_20771) @[exu_mul_ctl.scala 137:112] + node _T_20775 = add(_T_20774, _T_20772) @[exu_mul_ctl.scala 137:112] + node _T_20776 = eq(_T_20775, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20777 = bits(_T_20776, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20778 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_20779 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20780 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20781 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20782 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20783 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20784 = add(_T_20779, _T_20780) @[exu_mul_ctl.scala 137:112] + node _T_20785 = add(_T_20784, _T_20781) @[exu_mul_ctl.scala 137:112] + node _T_20786 = add(_T_20785, _T_20782) @[exu_mul_ctl.scala 137:112] + node _T_20787 = add(_T_20786, _T_20783) @[exu_mul_ctl.scala 137:112] + node _T_20788 = eq(_T_20787, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20789 = bits(_T_20788, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20790 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_20791 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20792 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20793 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20794 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20795 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20796 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20797 = add(_T_20791, _T_20792) @[exu_mul_ctl.scala 137:112] + node _T_20798 = add(_T_20797, _T_20793) @[exu_mul_ctl.scala 137:112] + node _T_20799 = add(_T_20798, _T_20794) @[exu_mul_ctl.scala 137:112] + node _T_20800 = add(_T_20799, _T_20795) @[exu_mul_ctl.scala 137:112] + node _T_20801 = add(_T_20800, _T_20796) @[exu_mul_ctl.scala 137:112] + node _T_20802 = eq(_T_20801, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20803 = bits(_T_20802, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20804 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_20805 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20806 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20807 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20808 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20809 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20810 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20811 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20812 = add(_T_20805, _T_20806) @[exu_mul_ctl.scala 137:112] + node _T_20813 = add(_T_20812, _T_20807) @[exu_mul_ctl.scala 137:112] + node _T_20814 = add(_T_20813, _T_20808) @[exu_mul_ctl.scala 137:112] + node _T_20815 = add(_T_20814, _T_20809) @[exu_mul_ctl.scala 137:112] + node _T_20816 = add(_T_20815, _T_20810) @[exu_mul_ctl.scala 137:112] + node _T_20817 = add(_T_20816, _T_20811) @[exu_mul_ctl.scala 137:112] + node _T_20818 = eq(_T_20817, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20819 = bits(_T_20818, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20820 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_20821 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20822 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20823 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20824 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20825 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20826 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20827 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20828 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20829 = add(_T_20821, _T_20822) @[exu_mul_ctl.scala 137:112] + node _T_20830 = add(_T_20829, _T_20823) @[exu_mul_ctl.scala 137:112] + node _T_20831 = add(_T_20830, _T_20824) @[exu_mul_ctl.scala 137:112] + node _T_20832 = add(_T_20831, _T_20825) @[exu_mul_ctl.scala 137:112] + node _T_20833 = add(_T_20832, _T_20826) @[exu_mul_ctl.scala 137:112] + node _T_20834 = add(_T_20833, _T_20827) @[exu_mul_ctl.scala 137:112] + node _T_20835 = add(_T_20834, _T_20828) @[exu_mul_ctl.scala 137:112] + node _T_20836 = eq(_T_20835, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20837 = bits(_T_20836, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20838 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_20839 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20840 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20841 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20842 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20843 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20844 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20845 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20846 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20847 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20848 = add(_T_20839, _T_20840) @[exu_mul_ctl.scala 137:112] + node _T_20849 = add(_T_20848, _T_20841) @[exu_mul_ctl.scala 137:112] + node _T_20850 = add(_T_20849, _T_20842) @[exu_mul_ctl.scala 137:112] + node _T_20851 = add(_T_20850, _T_20843) @[exu_mul_ctl.scala 137:112] + node _T_20852 = add(_T_20851, _T_20844) @[exu_mul_ctl.scala 137:112] + node _T_20853 = add(_T_20852, _T_20845) @[exu_mul_ctl.scala 137:112] + node _T_20854 = add(_T_20853, _T_20846) @[exu_mul_ctl.scala 137:112] + node _T_20855 = add(_T_20854, _T_20847) @[exu_mul_ctl.scala 137:112] + node _T_20856 = eq(_T_20855, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20857 = bits(_T_20856, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20858 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_20859 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20860 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20861 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20862 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20863 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20864 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20865 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20866 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20867 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20868 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20869 = add(_T_20859, _T_20860) @[exu_mul_ctl.scala 137:112] + node _T_20870 = add(_T_20869, _T_20861) @[exu_mul_ctl.scala 137:112] + node _T_20871 = add(_T_20870, _T_20862) @[exu_mul_ctl.scala 137:112] + node _T_20872 = add(_T_20871, _T_20863) @[exu_mul_ctl.scala 137:112] + node _T_20873 = add(_T_20872, _T_20864) @[exu_mul_ctl.scala 137:112] + node _T_20874 = add(_T_20873, _T_20865) @[exu_mul_ctl.scala 137:112] + node _T_20875 = add(_T_20874, _T_20866) @[exu_mul_ctl.scala 137:112] + node _T_20876 = add(_T_20875, _T_20867) @[exu_mul_ctl.scala 137:112] + node _T_20877 = add(_T_20876, _T_20868) @[exu_mul_ctl.scala 137:112] + node _T_20878 = eq(_T_20877, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20879 = bits(_T_20878, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20880 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_20881 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20882 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20883 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20884 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20885 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20886 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20887 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20888 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20889 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20890 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20891 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20892 = add(_T_20881, _T_20882) @[exu_mul_ctl.scala 137:112] + node _T_20893 = add(_T_20892, _T_20883) @[exu_mul_ctl.scala 137:112] + node _T_20894 = add(_T_20893, _T_20884) @[exu_mul_ctl.scala 137:112] + node _T_20895 = add(_T_20894, _T_20885) @[exu_mul_ctl.scala 137:112] + node _T_20896 = add(_T_20895, _T_20886) @[exu_mul_ctl.scala 137:112] + node _T_20897 = add(_T_20896, _T_20887) @[exu_mul_ctl.scala 137:112] + node _T_20898 = add(_T_20897, _T_20888) @[exu_mul_ctl.scala 137:112] + node _T_20899 = add(_T_20898, _T_20889) @[exu_mul_ctl.scala 137:112] + node _T_20900 = add(_T_20899, _T_20890) @[exu_mul_ctl.scala 137:112] + node _T_20901 = add(_T_20900, _T_20891) @[exu_mul_ctl.scala 137:112] + node _T_20902 = eq(_T_20901, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20903 = bits(_T_20902, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20904 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_20905 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20906 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20907 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20908 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20909 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20910 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20911 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20912 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20913 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20914 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20915 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20916 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20917 = add(_T_20905, _T_20906) @[exu_mul_ctl.scala 137:112] + node _T_20918 = add(_T_20917, _T_20907) @[exu_mul_ctl.scala 137:112] + node _T_20919 = add(_T_20918, _T_20908) @[exu_mul_ctl.scala 137:112] + node _T_20920 = add(_T_20919, _T_20909) @[exu_mul_ctl.scala 137:112] + node _T_20921 = add(_T_20920, _T_20910) @[exu_mul_ctl.scala 137:112] + node _T_20922 = add(_T_20921, _T_20911) @[exu_mul_ctl.scala 137:112] + node _T_20923 = add(_T_20922, _T_20912) @[exu_mul_ctl.scala 137:112] + node _T_20924 = add(_T_20923, _T_20913) @[exu_mul_ctl.scala 137:112] + node _T_20925 = add(_T_20924, _T_20914) @[exu_mul_ctl.scala 137:112] + node _T_20926 = add(_T_20925, _T_20915) @[exu_mul_ctl.scala 137:112] + node _T_20927 = add(_T_20926, _T_20916) @[exu_mul_ctl.scala 137:112] + node _T_20928 = eq(_T_20927, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20929 = bits(_T_20928, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20930 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_20931 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20932 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20933 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20934 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20935 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20936 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20937 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20938 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20939 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20940 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20941 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20942 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20943 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20944 = add(_T_20931, _T_20932) @[exu_mul_ctl.scala 137:112] + node _T_20945 = add(_T_20944, _T_20933) @[exu_mul_ctl.scala 137:112] + node _T_20946 = add(_T_20945, _T_20934) @[exu_mul_ctl.scala 137:112] + node _T_20947 = add(_T_20946, _T_20935) @[exu_mul_ctl.scala 137:112] + node _T_20948 = add(_T_20947, _T_20936) @[exu_mul_ctl.scala 137:112] + node _T_20949 = add(_T_20948, _T_20937) @[exu_mul_ctl.scala 137:112] + node _T_20950 = add(_T_20949, _T_20938) @[exu_mul_ctl.scala 137:112] + node _T_20951 = add(_T_20950, _T_20939) @[exu_mul_ctl.scala 137:112] + node _T_20952 = add(_T_20951, _T_20940) @[exu_mul_ctl.scala 137:112] + node _T_20953 = add(_T_20952, _T_20941) @[exu_mul_ctl.scala 137:112] + node _T_20954 = add(_T_20953, _T_20942) @[exu_mul_ctl.scala 137:112] + node _T_20955 = add(_T_20954, _T_20943) @[exu_mul_ctl.scala 137:112] + node _T_20956 = eq(_T_20955, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20957 = bits(_T_20956, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20958 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_20959 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20960 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20961 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20962 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20963 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20964 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20965 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20966 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20967 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20968 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20969 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20970 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20971 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20972 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20973 = add(_T_20959, _T_20960) @[exu_mul_ctl.scala 137:112] + node _T_20974 = add(_T_20973, _T_20961) @[exu_mul_ctl.scala 137:112] + node _T_20975 = add(_T_20974, _T_20962) @[exu_mul_ctl.scala 137:112] + node _T_20976 = add(_T_20975, _T_20963) @[exu_mul_ctl.scala 137:112] + node _T_20977 = add(_T_20976, _T_20964) @[exu_mul_ctl.scala 137:112] + node _T_20978 = add(_T_20977, _T_20965) @[exu_mul_ctl.scala 137:112] + node _T_20979 = add(_T_20978, _T_20966) @[exu_mul_ctl.scala 137:112] + node _T_20980 = add(_T_20979, _T_20967) @[exu_mul_ctl.scala 137:112] + node _T_20981 = add(_T_20980, _T_20968) @[exu_mul_ctl.scala 137:112] + node _T_20982 = add(_T_20981, _T_20969) @[exu_mul_ctl.scala 137:112] + node _T_20983 = add(_T_20982, _T_20970) @[exu_mul_ctl.scala 137:112] + node _T_20984 = add(_T_20983, _T_20971) @[exu_mul_ctl.scala 137:112] + node _T_20985 = add(_T_20984, _T_20972) @[exu_mul_ctl.scala 137:112] + node _T_20986 = eq(_T_20985, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20987 = bits(_T_20986, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20988 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_20989 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20990 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20991 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20992 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20993 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20994 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20995 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20996 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20997 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20998 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20999 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21000 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21001 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21002 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21003 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21004 = add(_T_20989, _T_20990) @[exu_mul_ctl.scala 137:112] + node _T_21005 = add(_T_21004, _T_20991) @[exu_mul_ctl.scala 137:112] + node _T_21006 = add(_T_21005, _T_20992) @[exu_mul_ctl.scala 137:112] + node _T_21007 = add(_T_21006, _T_20993) @[exu_mul_ctl.scala 137:112] + node _T_21008 = add(_T_21007, _T_20994) @[exu_mul_ctl.scala 137:112] + node _T_21009 = add(_T_21008, _T_20995) @[exu_mul_ctl.scala 137:112] + node _T_21010 = add(_T_21009, _T_20996) @[exu_mul_ctl.scala 137:112] + node _T_21011 = add(_T_21010, _T_20997) @[exu_mul_ctl.scala 137:112] + node _T_21012 = add(_T_21011, _T_20998) @[exu_mul_ctl.scala 137:112] + node _T_21013 = add(_T_21012, _T_20999) @[exu_mul_ctl.scala 137:112] + node _T_21014 = add(_T_21013, _T_21000) @[exu_mul_ctl.scala 137:112] + node _T_21015 = add(_T_21014, _T_21001) @[exu_mul_ctl.scala 137:112] + node _T_21016 = add(_T_21015, _T_21002) @[exu_mul_ctl.scala 137:112] + node _T_21017 = add(_T_21016, _T_21003) @[exu_mul_ctl.scala 137:112] + node _T_21018 = eq(_T_21017, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21019 = bits(_T_21018, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21020 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_21021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21028 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21030 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21031 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21032 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21033 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21034 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21035 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21036 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21037 = add(_T_21021, _T_21022) @[exu_mul_ctl.scala 137:112] + node _T_21038 = add(_T_21037, _T_21023) @[exu_mul_ctl.scala 137:112] + node _T_21039 = add(_T_21038, _T_21024) @[exu_mul_ctl.scala 137:112] + node _T_21040 = add(_T_21039, _T_21025) @[exu_mul_ctl.scala 137:112] + node _T_21041 = add(_T_21040, _T_21026) @[exu_mul_ctl.scala 137:112] + node _T_21042 = add(_T_21041, _T_21027) @[exu_mul_ctl.scala 137:112] + node _T_21043 = add(_T_21042, _T_21028) @[exu_mul_ctl.scala 137:112] + node _T_21044 = add(_T_21043, _T_21029) @[exu_mul_ctl.scala 137:112] + node _T_21045 = add(_T_21044, _T_21030) @[exu_mul_ctl.scala 137:112] + node _T_21046 = add(_T_21045, _T_21031) @[exu_mul_ctl.scala 137:112] + node _T_21047 = add(_T_21046, _T_21032) @[exu_mul_ctl.scala 137:112] + node _T_21048 = add(_T_21047, _T_21033) @[exu_mul_ctl.scala 137:112] + node _T_21049 = add(_T_21048, _T_21034) @[exu_mul_ctl.scala 137:112] + node _T_21050 = add(_T_21049, _T_21035) @[exu_mul_ctl.scala 137:112] + node _T_21051 = add(_T_21050, _T_21036) @[exu_mul_ctl.scala 137:112] + node _T_21052 = eq(_T_21051, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21053 = bits(_T_21052, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21054 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_21055 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21056 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21057 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21058 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21059 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21060 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21061 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21062 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21063 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21064 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21065 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21066 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21067 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21068 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21069 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21070 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21071 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21072 = add(_T_21055, _T_21056) @[exu_mul_ctl.scala 137:112] + node _T_21073 = add(_T_21072, _T_21057) @[exu_mul_ctl.scala 137:112] + node _T_21074 = add(_T_21073, _T_21058) @[exu_mul_ctl.scala 137:112] + node _T_21075 = add(_T_21074, _T_21059) @[exu_mul_ctl.scala 137:112] + node _T_21076 = add(_T_21075, _T_21060) @[exu_mul_ctl.scala 137:112] + node _T_21077 = add(_T_21076, _T_21061) @[exu_mul_ctl.scala 137:112] + node _T_21078 = add(_T_21077, _T_21062) @[exu_mul_ctl.scala 137:112] + node _T_21079 = add(_T_21078, _T_21063) @[exu_mul_ctl.scala 137:112] + node _T_21080 = add(_T_21079, _T_21064) @[exu_mul_ctl.scala 137:112] + node _T_21081 = add(_T_21080, _T_21065) @[exu_mul_ctl.scala 137:112] + node _T_21082 = add(_T_21081, _T_21066) @[exu_mul_ctl.scala 137:112] + node _T_21083 = add(_T_21082, _T_21067) @[exu_mul_ctl.scala 137:112] + node _T_21084 = add(_T_21083, _T_21068) @[exu_mul_ctl.scala 137:112] + node _T_21085 = add(_T_21084, _T_21069) @[exu_mul_ctl.scala 137:112] + node _T_21086 = add(_T_21085, _T_21070) @[exu_mul_ctl.scala 137:112] + node _T_21087 = add(_T_21086, _T_21071) @[exu_mul_ctl.scala 137:112] + node _T_21088 = eq(_T_21087, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21089 = bits(_T_21088, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21090 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_21091 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21092 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21093 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21094 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21095 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21096 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21097 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21098 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21099 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21100 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21101 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21102 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21103 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21104 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21105 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21106 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21107 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21108 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21109 = add(_T_21091, _T_21092) @[exu_mul_ctl.scala 137:112] + node _T_21110 = add(_T_21109, _T_21093) @[exu_mul_ctl.scala 137:112] + node _T_21111 = add(_T_21110, _T_21094) @[exu_mul_ctl.scala 137:112] + node _T_21112 = add(_T_21111, _T_21095) @[exu_mul_ctl.scala 137:112] + node _T_21113 = add(_T_21112, _T_21096) @[exu_mul_ctl.scala 137:112] + node _T_21114 = add(_T_21113, _T_21097) @[exu_mul_ctl.scala 137:112] + node _T_21115 = add(_T_21114, _T_21098) @[exu_mul_ctl.scala 137:112] + node _T_21116 = add(_T_21115, _T_21099) @[exu_mul_ctl.scala 137:112] + node _T_21117 = add(_T_21116, _T_21100) @[exu_mul_ctl.scala 137:112] + node _T_21118 = add(_T_21117, _T_21101) @[exu_mul_ctl.scala 137:112] + node _T_21119 = add(_T_21118, _T_21102) @[exu_mul_ctl.scala 137:112] + node _T_21120 = add(_T_21119, _T_21103) @[exu_mul_ctl.scala 137:112] + node _T_21121 = add(_T_21120, _T_21104) @[exu_mul_ctl.scala 137:112] + node _T_21122 = add(_T_21121, _T_21105) @[exu_mul_ctl.scala 137:112] + node _T_21123 = add(_T_21122, _T_21106) @[exu_mul_ctl.scala 137:112] + node _T_21124 = add(_T_21123, _T_21107) @[exu_mul_ctl.scala 137:112] + node _T_21125 = add(_T_21124, _T_21108) @[exu_mul_ctl.scala 137:112] + node _T_21126 = eq(_T_21125, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21127 = bits(_T_21126, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21128 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_21129 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21130 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21131 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21132 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21133 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21134 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21135 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21136 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21137 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21138 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21139 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21140 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21141 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21142 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21143 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21144 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21145 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21146 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21147 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21148 = add(_T_21129, _T_21130) @[exu_mul_ctl.scala 137:112] + node _T_21149 = add(_T_21148, _T_21131) @[exu_mul_ctl.scala 137:112] + node _T_21150 = add(_T_21149, _T_21132) @[exu_mul_ctl.scala 137:112] + node _T_21151 = add(_T_21150, _T_21133) @[exu_mul_ctl.scala 137:112] + node _T_21152 = add(_T_21151, _T_21134) @[exu_mul_ctl.scala 137:112] + node _T_21153 = add(_T_21152, _T_21135) @[exu_mul_ctl.scala 137:112] + node _T_21154 = add(_T_21153, _T_21136) @[exu_mul_ctl.scala 137:112] + node _T_21155 = add(_T_21154, _T_21137) @[exu_mul_ctl.scala 137:112] + node _T_21156 = add(_T_21155, _T_21138) @[exu_mul_ctl.scala 137:112] + node _T_21157 = add(_T_21156, _T_21139) @[exu_mul_ctl.scala 137:112] + node _T_21158 = add(_T_21157, _T_21140) @[exu_mul_ctl.scala 137:112] + node _T_21159 = add(_T_21158, _T_21141) @[exu_mul_ctl.scala 137:112] + node _T_21160 = add(_T_21159, _T_21142) @[exu_mul_ctl.scala 137:112] + node _T_21161 = add(_T_21160, _T_21143) @[exu_mul_ctl.scala 137:112] + node _T_21162 = add(_T_21161, _T_21144) @[exu_mul_ctl.scala 137:112] + node _T_21163 = add(_T_21162, _T_21145) @[exu_mul_ctl.scala 137:112] + node _T_21164 = add(_T_21163, _T_21146) @[exu_mul_ctl.scala 137:112] + node _T_21165 = add(_T_21164, _T_21147) @[exu_mul_ctl.scala 137:112] + node _T_21166 = eq(_T_21165, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21167 = bits(_T_21166, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21168 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_21169 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21170 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21171 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21172 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21173 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21174 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21175 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21176 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21177 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21178 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21179 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21180 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21181 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21182 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21183 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21184 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21185 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21186 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21187 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21188 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21189 = add(_T_21169, _T_21170) @[exu_mul_ctl.scala 137:112] + node _T_21190 = add(_T_21189, _T_21171) @[exu_mul_ctl.scala 137:112] + node _T_21191 = add(_T_21190, _T_21172) @[exu_mul_ctl.scala 137:112] + node _T_21192 = add(_T_21191, _T_21173) @[exu_mul_ctl.scala 137:112] + node _T_21193 = add(_T_21192, _T_21174) @[exu_mul_ctl.scala 137:112] + node _T_21194 = add(_T_21193, _T_21175) @[exu_mul_ctl.scala 137:112] + node _T_21195 = add(_T_21194, _T_21176) @[exu_mul_ctl.scala 137:112] + node _T_21196 = add(_T_21195, _T_21177) @[exu_mul_ctl.scala 137:112] + node _T_21197 = add(_T_21196, _T_21178) @[exu_mul_ctl.scala 137:112] + node _T_21198 = add(_T_21197, _T_21179) @[exu_mul_ctl.scala 137:112] + node _T_21199 = add(_T_21198, _T_21180) @[exu_mul_ctl.scala 137:112] + node _T_21200 = add(_T_21199, _T_21181) @[exu_mul_ctl.scala 137:112] + node _T_21201 = add(_T_21200, _T_21182) @[exu_mul_ctl.scala 137:112] + node _T_21202 = add(_T_21201, _T_21183) @[exu_mul_ctl.scala 137:112] + node _T_21203 = add(_T_21202, _T_21184) @[exu_mul_ctl.scala 137:112] + node _T_21204 = add(_T_21203, _T_21185) @[exu_mul_ctl.scala 137:112] + node _T_21205 = add(_T_21204, _T_21186) @[exu_mul_ctl.scala 137:112] + node _T_21206 = add(_T_21205, _T_21187) @[exu_mul_ctl.scala 137:112] + node _T_21207 = add(_T_21206, _T_21188) @[exu_mul_ctl.scala 137:112] + node _T_21208 = eq(_T_21207, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21209 = bits(_T_21208, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21210 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_21211 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21212 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21213 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21214 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21215 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21216 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21217 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21218 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21219 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21220 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21221 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21222 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21223 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21224 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21225 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21226 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21227 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21228 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21229 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21230 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21231 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21232 = add(_T_21211, _T_21212) @[exu_mul_ctl.scala 137:112] + node _T_21233 = add(_T_21232, _T_21213) @[exu_mul_ctl.scala 137:112] + node _T_21234 = add(_T_21233, _T_21214) @[exu_mul_ctl.scala 137:112] + node _T_21235 = add(_T_21234, _T_21215) @[exu_mul_ctl.scala 137:112] + node _T_21236 = add(_T_21235, _T_21216) @[exu_mul_ctl.scala 137:112] + node _T_21237 = add(_T_21236, _T_21217) @[exu_mul_ctl.scala 137:112] + node _T_21238 = add(_T_21237, _T_21218) @[exu_mul_ctl.scala 137:112] + node _T_21239 = add(_T_21238, _T_21219) @[exu_mul_ctl.scala 137:112] + node _T_21240 = add(_T_21239, _T_21220) @[exu_mul_ctl.scala 137:112] + node _T_21241 = add(_T_21240, _T_21221) @[exu_mul_ctl.scala 137:112] + node _T_21242 = add(_T_21241, _T_21222) @[exu_mul_ctl.scala 137:112] + node _T_21243 = add(_T_21242, _T_21223) @[exu_mul_ctl.scala 137:112] + node _T_21244 = add(_T_21243, _T_21224) @[exu_mul_ctl.scala 137:112] + node _T_21245 = add(_T_21244, _T_21225) @[exu_mul_ctl.scala 137:112] + node _T_21246 = add(_T_21245, _T_21226) @[exu_mul_ctl.scala 137:112] + node _T_21247 = add(_T_21246, _T_21227) @[exu_mul_ctl.scala 137:112] + node _T_21248 = add(_T_21247, _T_21228) @[exu_mul_ctl.scala 137:112] + node _T_21249 = add(_T_21248, _T_21229) @[exu_mul_ctl.scala 137:112] + node _T_21250 = add(_T_21249, _T_21230) @[exu_mul_ctl.scala 137:112] + node _T_21251 = add(_T_21250, _T_21231) @[exu_mul_ctl.scala 137:112] + node _T_21252 = eq(_T_21251, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21253 = bits(_T_21252, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21254 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_21255 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21256 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21257 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21258 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21259 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21260 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21261 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21262 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21263 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21264 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21265 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21266 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21267 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21268 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21269 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21270 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21271 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21272 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21273 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21274 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21275 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21276 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21277 = add(_T_21255, _T_21256) @[exu_mul_ctl.scala 137:112] + node _T_21278 = add(_T_21277, _T_21257) @[exu_mul_ctl.scala 137:112] + node _T_21279 = add(_T_21278, _T_21258) @[exu_mul_ctl.scala 137:112] + node _T_21280 = add(_T_21279, _T_21259) @[exu_mul_ctl.scala 137:112] + node _T_21281 = add(_T_21280, _T_21260) @[exu_mul_ctl.scala 137:112] + node _T_21282 = add(_T_21281, _T_21261) @[exu_mul_ctl.scala 137:112] + node _T_21283 = add(_T_21282, _T_21262) @[exu_mul_ctl.scala 137:112] + node _T_21284 = add(_T_21283, _T_21263) @[exu_mul_ctl.scala 137:112] + node _T_21285 = add(_T_21284, _T_21264) @[exu_mul_ctl.scala 137:112] + node _T_21286 = add(_T_21285, _T_21265) @[exu_mul_ctl.scala 137:112] + node _T_21287 = add(_T_21286, _T_21266) @[exu_mul_ctl.scala 137:112] + node _T_21288 = add(_T_21287, _T_21267) @[exu_mul_ctl.scala 137:112] + node _T_21289 = add(_T_21288, _T_21268) @[exu_mul_ctl.scala 137:112] + node _T_21290 = add(_T_21289, _T_21269) @[exu_mul_ctl.scala 137:112] + node _T_21291 = add(_T_21290, _T_21270) @[exu_mul_ctl.scala 137:112] + node _T_21292 = add(_T_21291, _T_21271) @[exu_mul_ctl.scala 137:112] + node _T_21293 = add(_T_21292, _T_21272) @[exu_mul_ctl.scala 137:112] + node _T_21294 = add(_T_21293, _T_21273) @[exu_mul_ctl.scala 137:112] + node _T_21295 = add(_T_21294, _T_21274) @[exu_mul_ctl.scala 137:112] + node _T_21296 = add(_T_21295, _T_21275) @[exu_mul_ctl.scala 137:112] + node _T_21297 = add(_T_21296, _T_21276) @[exu_mul_ctl.scala 137:112] + node _T_21298 = eq(_T_21297, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21299 = bits(_T_21298, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21300 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_21301 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21302 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21303 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21304 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21305 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21306 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21307 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21308 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21309 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21310 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21311 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21312 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21313 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21314 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21315 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21316 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21317 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21318 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21319 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21320 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21321 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21322 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21323 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21324 = add(_T_21301, _T_21302) @[exu_mul_ctl.scala 137:112] + node _T_21325 = add(_T_21324, _T_21303) @[exu_mul_ctl.scala 137:112] + node _T_21326 = add(_T_21325, _T_21304) @[exu_mul_ctl.scala 137:112] + node _T_21327 = add(_T_21326, _T_21305) @[exu_mul_ctl.scala 137:112] + node _T_21328 = add(_T_21327, _T_21306) @[exu_mul_ctl.scala 137:112] + node _T_21329 = add(_T_21328, _T_21307) @[exu_mul_ctl.scala 137:112] + node _T_21330 = add(_T_21329, _T_21308) @[exu_mul_ctl.scala 137:112] + node _T_21331 = add(_T_21330, _T_21309) @[exu_mul_ctl.scala 137:112] + node _T_21332 = add(_T_21331, _T_21310) @[exu_mul_ctl.scala 137:112] + node _T_21333 = add(_T_21332, _T_21311) @[exu_mul_ctl.scala 137:112] + node _T_21334 = add(_T_21333, _T_21312) @[exu_mul_ctl.scala 137:112] + node _T_21335 = add(_T_21334, _T_21313) @[exu_mul_ctl.scala 137:112] + node _T_21336 = add(_T_21335, _T_21314) @[exu_mul_ctl.scala 137:112] + node _T_21337 = add(_T_21336, _T_21315) @[exu_mul_ctl.scala 137:112] + node _T_21338 = add(_T_21337, _T_21316) @[exu_mul_ctl.scala 137:112] + node _T_21339 = add(_T_21338, _T_21317) @[exu_mul_ctl.scala 137:112] + node _T_21340 = add(_T_21339, _T_21318) @[exu_mul_ctl.scala 137:112] + node _T_21341 = add(_T_21340, _T_21319) @[exu_mul_ctl.scala 137:112] + node _T_21342 = add(_T_21341, _T_21320) @[exu_mul_ctl.scala 137:112] + node _T_21343 = add(_T_21342, _T_21321) @[exu_mul_ctl.scala 137:112] + node _T_21344 = add(_T_21343, _T_21322) @[exu_mul_ctl.scala 137:112] + node _T_21345 = add(_T_21344, _T_21323) @[exu_mul_ctl.scala 137:112] + node _T_21346 = eq(_T_21345, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21347 = bits(_T_21346, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21348 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_21349 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21350 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21351 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21352 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21353 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21354 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21355 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21356 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21357 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21358 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21359 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21360 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21361 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21362 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21363 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21364 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21365 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21366 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21367 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21368 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21369 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21370 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21371 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21372 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21373 = add(_T_21349, _T_21350) @[exu_mul_ctl.scala 137:112] + node _T_21374 = add(_T_21373, _T_21351) @[exu_mul_ctl.scala 137:112] + node _T_21375 = add(_T_21374, _T_21352) @[exu_mul_ctl.scala 137:112] + node _T_21376 = add(_T_21375, _T_21353) @[exu_mul_ctl.scala 137:112] + node _T_21377 = add(_T_21376, _T_21354) @[exu_mul_ctl.scala 137:112] + node _T_21378 = add(_T_21377, _T_21355) @[exu_mul_ctl.scala 137:112] + node _T_21379 = add(_T_21378, _T_21356) @[exu_mul_ctl.scala 137:112] + node _T_21380 = add(_T_21379, _T_21357) @[exu_mul_ctl.scala 137:112] + node _T_21381 = add(_T_21380, _T_21358) @[exu_mul_ctl.scala 137:112] + node _T_21382 = add(_T_21381, _T_21359) @[exu_mul_ctl.scala 137:112] + node _T_21383 = add(_T_21382, _T_21360) @[exu_mul_ctl.scala 137:112] + node _T_21384 = add(_T_21383, _T_21361) @[exu_mul_ctl.scala 137:112] + node _T_21385 = add(_T_21384, _T_21362) @[exu_mul_ctl.scala 137:112] + node _T_21386 = add(_T_21385, _T_21363) @[exu_mul_ctl.scala 137:112] + node _T_21387 = add(_T_21386, _T_21364) @[exu_mul_ctl.scala 137:112] + node _T_21388 = add(_T_21387, _T_21365) @[exu_mul_ctl.scala 137:112] + node _T_21389 = add(_T_21388, _T_21366) @[exu_mul_ctl.scala 137:112] + node _T_21390 = add(_T_21389, _T_21367) @[exu_mul_ctl.scala 137:112] + node _T_21391 = add(_T_21390, _T_21368) @[exu_mul_ctl.scala 137:112] + node _T_21392 = add(_T_21391, _T_21369) @[exu_mul_ctl.scala 137:112] + node _T_21393 = add(_T_21392, _T_21370) @[exu_mul_ctl.scala 137:112] + node _T_21394 = add(_T_21393, _T_21371) @[exu_mul_ctl.scala 137:112] + node _T_21395 = add(_T_21394, _T_21372) @[exu_mul_ctl.scala 137:112] + node _T_21396 = eq(_T_21395, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21397 = bits(_T_21396, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21398 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_21399 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21400 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21401 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21402 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21403 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21404 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21405 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21406 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21407 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21408 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21409 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21410 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21411 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21412 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21413 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21414 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21415 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21416 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21417 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21418 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21419 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21420 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21421 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21422 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21423 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21424 = add(_T_21399, _T_21400) @[exu_mul_ctl.scala 137:112] + node _T_21425 = add(_T_21424, _T_21401) @[exu_mul_ctl.scala 137:112] + node _T_21426 = add(_T_21425, _T_21402) @[exu_mul_ctl.scala 137:112] + node _T_21427 = add(_T_21426, _T_21403) @[exu_mul_ctl.scala 137:112] + node _T_21428 = add(_T_21427, _T_21404) @[exu_mul_ctl.scala 137:112] + node _T_21429 = add(_T_21428, _T_21405) @[exu_mul_ctl.scala 137:112] + node _T_21430 = add(_T_21429, _T_21406) @[exu_mul_ctl.scala 137:112] + node _T_21431 = add(_T_21430, _T_21407) @[exu_mul_ctl.scala 137:112] + node _T_21432 = add(_T_21431, _T_21408) @[exu_mul_ctl.scala 137:112] + node _T_21433 = add(_T_21432, _T_21409) @[exu_mul_ctl.scala 137:112] + node _T_21434 = add(_T_21433, _T_21410) @[exu_mul_ctl.scala 137:112] + node _T_21435 = add(_T_21434, _T_21411) @[exu_mul_ctl.scala 137:112] + node _T_21436 = add(_T_21435, _T_21412) @[exu_mul_ctl.scala 137:112] + node _T_21437 = add(_T_21436, _T_21413) @[exu_mul_ctl.scala 137:112] + node _T_21438 = add(_T_21437, _T_21414) @[exu_mul_ctl.scala 137:112] + node _T_21439 = add(_T_21438, _T_21415) @[exu_mul_ctl.scala 137:112] + node _T_21440 = add(_T_21439, _T_21416) @[exu_mul_ctl.scala 137:112] + node _T_21441 = add(_T_21440, _T_21417) @[exu_mul_ctl.scala 137:112] + node _T_21442 = add(_T_21441, _T_21418) @[exu_mul_ctl.scala 137:112] + node _T_21443 = add(_T_21442, _T_21419) @[exu_mul_ctl.scala 137:112] + node _T_21444 = add(_T_21443, _T_21420) @[exu_mul_ctl.scala 137:112] + node _T_21445 = add(_T_21444, _T_21421) @[exu_mul_ctl.scala 137:112] + node _T_21446 = add(_T_21445, _T_21422) @[exu_mul_ctl.scala 137:112] + node _T_21447 = add(_T_21446, _T_21423) @[exu_mul_ctl.scala 137:112] + node _T_21448 = eq(_T_21447, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21449 = bits(_T_21448, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21450 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_21451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21453 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21454 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21455 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21456 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21457 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21458 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21459 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21460 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21461 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21462 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21463 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21464 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21465 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21466 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21467 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21468 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21469 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21470 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21471 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21472 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21473 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21474 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21475 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21476 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21477 = add(_T_21451, _T_21452) @[exu_mul_ctl.scala 137:112] + node _T_21478 = add(_T_21477, _T_21453) @[exu_mul_ctl.scala 137:112] + node _T_21479 = add(_T_21478, _T_21454) @[exu_mul_ctl.scala 137:112] + node _T_21480 = add(_T_21479, _T_21455) @[exu_mul_ctl.scala 137:112] + node _T_21481 = add(_T_21480, _T_21456) @[exu_mul_ctl.scala 137:112] + node _T_21482 = add(_T_21481, _T_21457) @[exu_mul_ctl.scala 137:112] + node _T_21483 = add(_T_21482, _T_21458) @[exu_mul_ctl.scala 137:112] + node _T_21484 = add(_T_21483, _T_21459) @[exu_mul_ctl.scala 137:112] + node _T_21485 = add(_T_21484, _T_21460) @[exu_mul_ctl.scala 137:112] + node _T_21486 = add(_T_21485, _T_21461) @[exu_mul_ctl.scala 137:112] + node _T_21487 = add(_T_21486, _T_21462) @[exu_mul_ctl.scala 137:112] + node _T_21488 = add(_T_21487, _T_21463) @[exu_mul_ctl.scala 137:112] + node _T_21489 = add(_T_21488, _T_21464) @[exu_mul_ctl.scala 137:112] + node _T_21490 = add(_T_21489, _T_21465) @[exu_mul_ctl.scala 137:112] + node _T_21491 = add(_T_21490, _T_21466) @[exu_mul_ctl.scala 137:112] + node _T_21492 = add(_T_21491, _T_21467) @[exu_mul_ctl.scala 137:112] + node _T_21493 = add(_T_21492, _T_21468) @[exu_mul_ctl.scala 137:112] + node _T_21494 = add(_T_21493, _T_21469) @[exu_mul_ctl.scala 137:112] + node _T_21495 = add(_T_21494, _T_21470) @[exu_mul_ctl.scala 137:112] + node _T_21496 = add(_T_21495, _T_21471) @[exu_mul_ctl.scala 137:112] + node _T_21497 = add(_T_21496, _T_21472) @[exu_mul_ctl.scala 137:112] + node _T_21498 = add(_T_21497, _T_21473) @[exu_mul_ctl.scala 137:112] + node _T_21499 = add(_T_21498, _T_21474) @[exu_mul_ctl.scala 137:112] + node _T_21500 = add(_T_21499, _T_21475) @[exu_mul_ctl.scala 137:112] + node _T_21501 = add(_T_21500, _T_21476) @[exu_mul_ctl.scala 137:112] + node _T_21502 = eq(_T_21501, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21503 = bits(_T_21502, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21504 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_21505 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21506 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21507 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21508 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21509 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21510 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21511 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21512 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21513 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21514 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21515 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21516 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21517 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21518 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21519 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21520 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21521 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21522 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21523 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21524 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21525 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21526 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21527 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21528 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21529 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21530 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21531 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21532 = add(_T_21505, _T_21506) @[exu_mul_ctl.scala 137:112] + node _T_21533 = add(_T_21532, _T_21507) @[exu_mul_ctl.scala 137:112] + node _T_21534 = add(_T_21533, _T_21508) @[exu_mul_ctl.scala 137:112] + node _T_21535 = add(_T_21534, _T_21509) @[exu_mul_ctl.scala 137:112] + node _T_21536 = add(_T_21535, _T_21510) @[exu_mul_ctl.scala 137:112] + node _T_21537 = add(_T_21536, _T_21511) @[exu_mul_ctl.scala 137:112] + node _T_21538 = add(_T_21537, _T_21512) @[exu_mul_ctl.scala 137:112] + node _T_21539 = add(_T_21538, _T_21513) @[exu_mul_ctl.scala 137:112] + node _T_21540 = add(_T_21539, _T_21514) @[exu_mul_ctl.scala 137:112] + node _T_21541 = add(_T_21540, _T_21515) @[exu_mul_ctl.scala 137:112] + node _T_21542 = add(_T_21541, _T_21516) @[exu_mul_ctl.scala 137:112] + node _T_21543 = add(_T_21542, _T_21517) @[exu_mul_ctl.scala 137:112] + node _T_21544 = add(_T_21543, _T_21518) @[exu_mul_ctl.scala 137:112] + node _T_21545 = add(_T_21544, _T_21519) @[exu_mul_ctl.scala 137:112] + node _T_21546 = add(_T_21545, _T_21520) @[exu_mul_ctl.scala 137:112] + node _T_21547 = add(_T_21546, _T_21521) @[exu_mul_ctl.scala 137:112] + node _T_21548 = add(_T_21547, _T_21522) @[exu_mul_ctl.scala 137:112] + node _T_21549 = add(_T_21548, _T_21523) @[exu_mul_ctl.scala 137:112] + node _T_21550 = add(_T_21549, _T_21524) @[exu_mul_ctl.scala 137:112] + node _T_21551 = add(_T_21550, _T_21525) @[exu_mul_ctl.scala 137:112] + node _T_21552 = add(_T_21551, _T_21526) @[exu_mul_ctl.scala 137:112] + node _T_21553 = add(_T_21552, _T_21527) @[exu_mul_ctl.scala 137:112] + node _T_21554 = add(_T_21553, _T_21528) @[exu_mul_ctl.scala 137:112] + node _T_21555 = add(_T_21554, _T_21529) @[exu_mul_ctl.scala 137:112] + node _T_21556 = add(_T_21555, _T_21530) @[exu_mul_ctl.scala 137:112] + node _T_21557 = add(_T_21556, _T_21531) @[exu_mul_ctl.scala 137:112] + node _T_21558 = eq(_T_21557, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21559 = bits(_T_21558, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21560 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_21561 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21562 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21563 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21564 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21565 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21566 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21567 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21568 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21569 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21570 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21571 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21572 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21573 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21574 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21575 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21576 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21577 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21578 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21579 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21580 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21581 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21582 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21583 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21584 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21585 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21586 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21587 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21588 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_21589 = add(_T_21561, _T_21562) @[exu_mul_ctl.scala 137:112] + node _T_21590 = add(_T_21589, _T_21563) @[exu_mul_ctl.scala 137:112] + node _T_21591 = add(_T_21590, _T_21564) @[exu_mul_ctl.scala 137:112] + node _T_21592 = add(_T_21591, _T_21565) @[exu_mul_ctl.scala 137:112] + node _T_21593 = add(_T_21592, _T_21566) @[exu_mul_ctl.scala 137:112] + node _T_21594 = add(_T_21593, _T_21567) @[exu_mul_ctl.scala 137:112] + node _T_21595 = add(_T_21594, _T_21568) @[exu_mul_ctl.scala 137:112] + node _T_21596 = add(_T_21595, _T_21569) @[exu_mul_ctl.scala 137:112] + node _T_21597 = add(_T_21596, _T_21570) @[exu_mul_ctl.scala 137:112] + node _T_21598 = add(_T_21597, _T_21571) @[exu_mul_ctl.scala 137:112] + node _T_21599 = add(_T_21598, _T_21572) @[exu_mul_ctl.scala 137:112] + node _T_21600 = add(_T_21599, _T_21573) @[exu_mul_ctl.scala 137:112] + node _T_21601 = add(_T_21600, _T_21574) @[exu_mul_ctl.scala 137:112] + node _T_21602 = add(_T_21601, _T_21575) @[exu_mul_ctl.scala 137:112] + node _T_21603 = add(_T_21602, _T_21576) @[exu_mul_ctl.scala 137:112] + node _T_21604 = add(_T_21603, _T_21577) @[exu_mul_ctl.scala 137:112] + node _T_21605 = add(_T_21604, _T_21578) @[exu_mul_ctl.scala 137:112] + node _T_21606 = add(_T_21605, _T_21579) @[exu_mul_ctl.scala 137:112] + node _T_21607 = add(_T_21606, _T_21580) @[exu_mul_ctl.scala 137:112] + node _T_21608 = add(_T_21607, _T_21581) @[exu_mul_ctl.scala 137:112] + node _T_21609 = add(_T_21608, _T_21582) @[exu_mul_ctl.scala 137:112] + node _T_21610 = add(_T_21609, _T_21583) @[exu_mul_ctl.scala 137:112] + node _T_21611 = add(_T_21610, _T_21584) @[exu_mul_ctl.scala 137:112] + node _T_21612 = add(_T_21611, _T_21585) @[exu_mul_ctl.scala 137:112] + node _T_21613 = add(_T_21612, _T_21586) @[exu_mul_ctl.scala 137:112] + node _T_21614 = add(_T_21613, _T_21587) @[exu_mul_ctl.scala 137:112] + node _T_21615 = add(_T_21614, _T_21588) @[exu_mul_ctl.scala 137:112] + node _T_21616 = eq(_T_21615, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21617 = bits(_T_21616, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21618 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_21619 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21620 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21621 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21622 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21623 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21624 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21625 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21626 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21627 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21628 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21629 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21630 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21631 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21632 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21633 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21634 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21635 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21636 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21637 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21638 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21639 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21640 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21641 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21642 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21643 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21644 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21645 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21646 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_21647 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_21648 = add(_T_21619, _T_21620) @[exu_mul_ctl.scala 137:112] + node _T_21649 = add(_T_21648, _T_21621) @[exu_mul_ctl.scala 137:112] + node _T_21650 = add(_T_21649, _T_21622) @[exu_mul_ctl.scala 137:112] + node _T_21651 = add(_T_21650, _T_21623) @[exu_mul_ctl.scala 137:112] + node _T_21652 = add(_T_21651, _T_21624) @[exu_mul_ctl.scala 137:112] + node _T_21653 = add(_T_21652, _T_21625) @[exu_mul_ctl.scala 137:112] + node _T_21654 = add(_T_21653, _T_21626) @[exu_mul_ctl.scala 137:112] + node _T_21655 = add(_T_21654, _T_21627) @[exu_mul_ctl.scala 137:112] + node _T_21656 = add(_T_21655, _T_21628) @[exu_mul_ctl.scala 137:112] + node _T_21657 = add(_T_21656, _T_21629) @[exu_mul_ctl.scala 137:112] + node _T_21658 = add(_T_21657, _T_21630) @[exu_mul_ctl.scala 137:112] + node _T_21659 = add(_T_21658, _T_21631) @[exu_mul_ctl.scala 137:112] + node _T_21660 = add(_T_21659, _T_21632) @[exu_mul_ctl.scala 137:112] + node _T_21661 = add(_T_21660, _T_21633) @[exu_mul_ctl.scala 137:112] + node _T_21662 = add(_T_21661, _T_21634) @[exu_mul_ctl.scala 137:112] + node _T_21663 = add(_T_21662, _T_21635) @[exu_mul_ctl.scala 137:112] + node _T_21664 = add(_T_21663, _T_21636) @[exu_mul_ctl.scala 137:112] + node _T_21665 = add(_T_21664, _T_21637) @[exu_mul_ctl.scala 137:112] + node _T_21666 = add(_T_21665, _T_21638) @[exu_mul_ctl.scala 137:112] + node _T_21667 = add(_T_21666, _T_21639) @[exu_mul_ctl.scala 137:112] + node _T_21668 = add(_T_21667, _T_21640) @[exu_mul_ctl.scala 137:112] + node _T_21669 = add(_T_21668, _T_21641) @[exu_mul_ctl.scala 137:112] + node _T_21670 = add(_T_21669, _T_21642) @[exu_mul_ctl.scala 137:112] + node _T_21671 = add(_T_21670, _T_21643) @[exu_mul_ctl.scala 137:112] + node _T_21672 = add(_T_21671, _T_21644) @[exu_mul_ctl.scala 137:112] + node _T_21673 = add(_T_21672, _T_21645) @[exu_mul_ctl.scala 137:112] + node _T_21674 = add(_T_21673, _T_21646) @[exu_mul_ctl.scala 137:112] + node _T_21675 = add(_T_21674, _T_21647) @[exu_mul_ctl.scala 137:112] + node _T_21676 = eq(_T_21675, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21677 = bits(_T_21676, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21678 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_21679 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21680 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21681 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21682 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21683 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21684 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21685 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21686 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21687 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21688 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21689 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21690 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21691 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21692 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21693 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21694 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21695 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21696 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21697 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21698 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21699 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21700 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21701 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21702 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21703 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21704 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21705 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21706 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_21707 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_21708 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_21709 = add(_T_21679, _T_21680) @[exu_mul_ctl.scala 137:112] + node _T_21710 = add(_T_21709, _T_21681) @[exu_mul_ctl.scala 137:112] + node _T_21711 = add(_T_21710, _T_21682) @[exu_mul_ctl.scala 137:112] + node _T_21712 = add(_T_21711, _T_21683) @[exu_mul_ctl.scala 137:112] + node _T_21713 = add(_T_21712, _T_21684) @[exu_mul_ctl.scala 137:112] + node _T_21714 = add(_T_21713, _T_21685) @[exu_mul_ctl.scala 137:112] + node _T_21715 = add(_T_21714, _T_21686) @[exu_mul_ctl.scala 137:112] + node _T_21716 = add(_T_21715, _T_21687) @[exu_mul_ctl.scala 137:112] + node _T_21717 = add(_T_21716, _T_21688) @[exu_mul_ctl.scala 137:112] + node _T_21718 = add(_T_21717, _T_21689) @[exu_mul_ctl.scala 137:112] + node _T_21719 = add(_T_21718, _T_21690) @[exu_mul_ctl.scala 137:112] + node _T_21720 = add(_T_21719, _T_21691) @[exu_mul_ctl.scala 137:112] + node _T_21721 = add(_T_21720, _T_21692) @[exu_mul_ctl.scala 137:112] + node _T_21722 = add(_T_21721, _T_21693) @[exu_mul_ctl.scala 137:112] + node _T_21723 = add(_T_21722, _T_21694) @[exu_mul_ctl.scala 137:112] + node _T_21724 = add(_T_21723, _T_21695) @[exu_mul_ctl.scala 137:112] + node _T_21725 = add(_T_21724, _T_21696) @[exu_mul_ctl.scala 137:112] + node _T_21726 = add(_T_21725, _T_21697) @[exu_mul_ctl.scala 137:112] + node _T_21727 = add(_T_21726, _T_21698) @[exu_mul_ctl.scala 137:112] + node _T_21728 = add(_T_21727, _T_21699) @[exu_mul_ctl.scala 137:112] + node _T_21729 = add(_T_21728, _T_21700) @[exu_mul_ctl.scala 137:112] + node _T_21730 = add(_T_21729, _T_21701) @[exu_mul_ctl.scala 137:112] + node _T_21731 = add(_T_21730, _T_21702) @[exu_mul_ctl.scala 137:112] + node _T_21732 = add(_T_21731, _T_21703) @[exu_mul_ctl.scala 137:112] + node _T_21733 = add(_T_21732, _T_21704) @[exu_mul_ctl.scala 137:112] + node _T_21734 = add(_T_21733, _T_21705) @[exu_mul_ctl.scala 137:112] + node _T_21735 = add(_T_21734, _T_21706) @[exu_mul_ctl.scala 137:112] + node _T_21736 = add(_T_21735, _T_21707) @[exu_mul_ctl.scala 137:112] + node _T_21737 = add(_T_21736, _T_21708) @[exu_mul_ctl.scala 137:112] + node _T_21738 = eq(_T_21737, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21739 = bits(_T_21738, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21740 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_21741 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21742 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21743 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21744 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21745 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21746 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21747 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21748 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21749 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21750 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21751 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21752 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21753 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21754 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21755 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21756 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21757 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21758 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21759 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21760 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21761 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21762 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21763 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21764 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21765 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21766 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21767 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21768 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_21769 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_21770 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_21771 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_21772 = add(_T_21741, _T_21742) @[exu_mul_ctl.scala 137:112] + node _T_21773 = add(_T_21772, _T_21743) @[exu_mul_ctl.scala 137:112] + node _T_21774 = add(_T_21773, _T_21744) @[exu_mul_ctl.scala 137:112] + node _T_21775 = add(_T_21774, _T_21745) @[exu_mul_ctl.scala 137:112] + node _T_21776 = add(_T_21775, _T_21746) @[exu_mul_ctl.scala 137:112] + node _T_21777 = add(_T_21776, _T_21747) @[exu_mul_ctl.scala 137:112] + node _T_21778 = add(_T_21777, _T_21748) @[exu_mul_ctl.scala 137:112] + node _T_21779 = add(_T_21778, _T_21749) @[exu_mul_ctl.scala 137:112] + node _T_21780 = add(_T_21779, _T_21750) @[exu_mul_ctl.scala 137:112] + node _T_21781 = add(_T_21780, _T_21751) @[exu_mul_ctl.scala 137:112] + node _T_21782 = add(_T_21781, _T_21752) @[exu_mul_ctl.scala 137:112] + node _T_21783 = add(_T_21782, _T_21753) @[exu_mul_ctl.scala 137:112] + node _T_21784 = add(_T_21783, _T_21754) @[exu_mul_ctl.scala 137:112] + node _T_21785 = add(_T_21784, _T_21755) @[exu_mul_ctl.scala 137:112] + node _T_21786 = add(_T_21785, _T_21756) @[exu_mul_ctl.scala 137:112] + node _T_21787 = add(_T_21786, _T_21757) @[exu_mul_ctl.scala 137:112] + node _T_21788 = add(_T_21787, _T_21758) @[exu_mul_ctl.scala 137:112] + node _T_21789 = add(_T_21788, _T_21759) @[exu_mul_ctl.scala 137:112] + node _T_21790 = add(_T_21789, _T_21760) @[exu_mul_ctl.scala 137:112] + node _T_21791 = add(_T_21790, _T_21761) @[exu_mul_ctl.scala 137:112] + node _T_21792 = add(_T_21791, _T_21762) @[exu_mul_ctl.scala 137:112] + node _T_21793 = add(_T_21792, _T_21763) @[exu_mul_ctl.scala 137:112] + node _T_21794 = add(_T_21793, _T_21764) @[exu_mul_ctl.scala 137:112] + node _T_21795 = add(_T_21794, _T_21765) @[exu_mul_ctl.scala 137:112] + node _T_21796 = add(_T_21795, _T_21766) @[exu_mul_ctl.scala 137:112] + node _T_21797 = add(_T_21796, _T_21767) @[exu_mul_ctl.scala 137:112] + node _T_21798 = add(_T_21797, _T_21768) @[exu_mul_ctl.scala 137:112] + node _T_21799 = add(_T_21798, _T_21769) @[exu_mul_ctl.scala 137:112] + node _T_21800 = add(_T_21799, _T_21770) @[exu_mul_ctl.scala 137:112] + node _T_21801 = add(_T_21800, _T_21771) @[exu_mul_ctl.scala 137:112] + node _T_21802 = eq(_T_21801, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21803 = bits(_T_21802, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21804 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_21805 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21806 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21807 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21808 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21809 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21810 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21811 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21812 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21813 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21814 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21815 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21816 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21817 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21818 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21819 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21820 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21821 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21822 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21823 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21824 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21825 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21826 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21827 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21828 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21829 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21830 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21831 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21832 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_21833 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_21834 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_21835 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_21836 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_21837 = add(_T_21805, _T_21806) @[exu_mul_ctl.scala 137:112] + node _T_21838 = add(_T_21837, _T_21807) @[exu_mul_ctl.scala 137:112] + node _T_21839 = add(_T_21838, _T_21808) @[exu_mul_ctl.scala 137:112] + node _T_21840 = add(_T_21839, _T_21809) @[exu_mul_ctl.scala 137:112] + node _T_21841 = add(_T_21840, _T_21810) @[exu_mul_ctl.scala 137:112] + node _T_21842 = add(_T_21841, _T_21811) @[exu_mul_ctl.scala 137:112] + node _T_21843 = add(_T_21842, _T_21812) @[exu_mul_ctl.scala 137:112] + node _T_21844 = add(_T_21843, _T_21813) @[exu_mul_ctl.scala 137:112] + node _T_21845 = add(_T_21844, _T_21814) @[exu_mul_ctl.scala 137:112] + node _T_21846 = add(_T_21845, _T_21815) @[exu_mul_ctl.scala 137:112] + node _T_21847 = add(_T_21846, _T_21816) @[exu_mul_ctl.scala 137:112] + node _T_21848 = add(_T_21847, _T_21817) @[exu_mul_ctl.scala 137:112] + node _T_21849 = add(_T_21848, _T_21818) @[exu_mul_ctl.scala 137:112] + node _T_21850 = add(_T_21849, _T_21819) @[exu_mul_ctl.scala 137:112] + node _T_21851 = add(_T_21850, _T_21820) @[exu_mul_ctl.scala 137:112] + node _T_21852 = add(_T_21851, _T_21821) @[exu_mul_ctl.scala 137:112] + node _T_21853 = add(_T_21852, _T_21822) @[exu_mul_ctl.scala 137:112] + node _T_21854 = add(_T_21853, _T_21823) @[exu_mul_ctl.scala 137:112] + node _T_21855 = add(_T_21854, _T_21824) @[exu_mul_ctl.scala 137:112] + node _T_21856 = add(_T_21855, _T_21825) @[exu_mul_ctl.scala 137:112] + node _T_21857 = add(_T_21856, _T_21826) @[exu_mul_ctl.scala 137:112] + node _T_21858 = add(_T_21857, _T_21827) @[exu_mul_ctl.scala 137:112] + node _T_21859 = add(_T_21858, _T_21828) @[exu_mul_ctl.scala 137:112] + node _T_21860 = add(_T_21859, _T_21829) @[exu_mul_ctl.scala 137:112] + node _T_21861 = add(_T_21860, _T_21830) @[exu_mul_ctl.scala 137:112] + node _T_21862 = add(_T_21861, _T_21831) @[exu_mul_ctl.scala 137:112] + node _T_21863 = add(_T_21862, _T_21832) @[exu_mul_ctl.scala 137:112] + node _T_21864 = add(_T_21863, _T_21833) @[exu_mul_ctl.scala 137:112] + node _T_21865 = add(_T_21864, _T_21834) @[exu_mul_ctl.scala 137:112] + node _T_21866 = add(_T_21865, _T_21835) @[exu_mul_ctl.scala 137:112] + node _T_21867 = add(_T_21866, _T_21836) @[exu_mul_ctl.scala 137:112] + node _T_21868 = eq(_T_21867, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21869 = bits(_T_21868, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21870 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_21871 = mux(_T_21869, _T_21870, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_21872 = mux(_T_21803, _T_21804, _T_21871) @[Mux.scala 98:16] + node _T_21873 = mux(_T_21739, _T_21740, _T_21872) @[Mux.scala 98:16] + node _T_21874 = mux(_T_21677, _T_21678, _T_21873) @[Mux.scala 98:16] + node _T_21875 = mux(_T_21617, _T_21618, _T_21874) @[Mux.scala 98:16] + node _T_21876 = mux(_T_21559, _T_21560, _T_21875) @[Mux.scala 98:16] + node _T_21877 = mux(_T_21503, _T_21504, _T_21876) @[Mux.scala 98:16] + node _T_21878 = mux(_T_21449, _T_21450, _T_21877) @[Mux.scala 98:16] + node _T_21879 = mux(_T_21397, _T_21398, _T_21878) @[Mux.scala 98:16] + node _T_21880 = mux(_T_21347, _T_21348, _T_21879) @[Mux.scala 98:16] + node _T_21881 = mux(_T_21299, _T_21300, _T_21880) @[Mux.scala 98:16] + node _T_21882 = mux(_T_21253, _T_21254, _T_21881) @[Mux.scala 98:16] + node _T_21883 = mux(_T_21209, _T_21210, _T_21882) @[Mux.scala 98:16] + node _T_21884 = mux(_T_21167, _T_21168, _T_21883) @[Mux.scala 98:16] + node _T_21885 = mux(_T_21127, _T_21128, _T_21884) @[Mux.scala 98:16] + node _T_21886 = mux(_T_21089, _T_21090, _T_21885) @[Mux.scala 98:16] + node _T_21887 = mux(_T_21053, _T_21054, _T_21886) @[Mux.scala 98:16] + node _T_21888 = mux(_T_21019, _T_21020, _T_21887) @[Mux.scala 98:16] + node _T_21889 = mux(_T_20987, _T_20988, _T_21888) @[Mux.scala 98:16] + node _T_21890 = mux(_T_20957, _T_20958, _T_21889) @[Mux.scala 98:16] + node _T_21891 = mux(_T_20929, _T_20930, _T_21890) @[Mux.scala 98:16] + node _T_21892 = mux(_T_20903, _T_20904, _T_21891) @[Mux.scala 98:16] + node _T_21893 = mux(_T_20879, _T_20880, _T_21892) @[Mux.scala 98:16] + node _T_21894 = mux(_T_20857, _T_20858, _T_21893) @[Mux.scala 98:16] + node _T_21895 = mux(_T_20837, _T_20838, _T_21894) @[Mux.scala 98:16] + node _T_21896 = mux(_T_20819, _T_20820, _T_21895) @[Mux.scala 98:16] + node _T_21897 = mux(_T_20803, _T_20804, _T_21896) @[Mux.scala 98:16] + node _T_21898 = mux(_T_20789, _T_20790, _T_21897) @[Mux.scala 98:16] + node _T_21899 = mux(_T_20777, _T_20778, _T_21898) @[Mux.scala 98:16] + node _T_21900 = mux(_T_20767, _T_20768, _T_21899) @[Mux.scala 98:16] + node _T_21901 = mux(_T_20759, _T_20760, _T_21900) @[Mux.scala 98:16] + node _T_21902 = mux(_T_20753, _T_20754, _T_21901) @[Mux.scala 98:16] + node _T_21903 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_21904 = eq(_T_21903, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21905 = bits(_T_21904, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21906 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_21907 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21908 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21909 = add(_T_21907, _T_21908) @[exu_mul_ctl.scala 137:112] + node _T_21910 = eq(_T_21909, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21911 = bits(_T_21910, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21912 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_21913 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21914 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21915 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21916 = add(_T_21913, _T_21914) @[exu_mul_ctl.scala 137:112] + node _T_21917 = add(_T_21916, _T_21915) @[exu_mul_ctl.scala 137:112] + node _T_21918 = eq(_T_21917, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21919 = bits(_T_21918, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21920 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_21921 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21922 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21923 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21924 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21925 = add(_T_21921, _T_21922) @[exu_mul_ctl.scala 137:112] + node _T_21926 = add(_T_21925, _T_21923) @[exu_mul_ctl.scala 137:112] + node _T_21927 = add(_T_21926, _T_21924) @[exu_mul_ctl.scala 137:112] + node _T_21928 = eq(_T_21927, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21929 = bits(_T_21928, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21930 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_21931 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21932 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21933 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21934 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21935 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21936 = add(_T_21931, _T_21932) @[exu_mul_ctl.scala 137:112] + node _T_21937 = add(_T_21936, _T_21933) @[exu_mul_ctl.scala 137:112] + node _T_21938 = add(_T_21937, _T_21934) @[exu_mul_ctl.scala 137:112] + node _T_21939 = add(_T_21938, _T_21935) @[exu_mul_ctl.scala 137:112] + node _T_21940 = eq(_T_21939, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21941 = bits(_T_21940, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21942 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_21943 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21944 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21945 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21946 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21947 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21948 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21949 = add(_T_21943, _T_21944) @[exu_mul_ctl.scala 137:112] + node _T_21950 = add(_T_21949, _T_21945) @[exu_mul_ctl.scala 137:112] + node _T_21951 = add(_T_21950, _T_21946) @[exu_mul_ctl.scala 137:112] + node _T_21952 = add(_T_21951, _T_21947) @[exu_mul_ctl.scala 137:112] + node _T_21953 = add(_T_21952, _T_21948) @[exu_mul_ctl.scala 137:112] + node _T_21954 = eq(_T_21953, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21955 = bits(_T_21954, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21956 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_21957 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21958 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21959 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21960 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21961 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21962 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21963 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21964 = add(_T_21957, _T_21958) @[exu_mul_ctl.scala 137:112] + node _T_21965 = add(_T_21964, _T_21959) @[exu_mul_ctl.scala 137:112] + node _T_21966 = add(_T_21965, _T_21960) @[exu_mul_ctl.scala 137:112] + node _T_21967 = add(_T_21966, _T_21961) @[exu_mul_ctl.scala 137:112] + node _T_21968 = add(_T_21967, _T_21962) @[exu_mul_ctl.scala 137:112] + node _T_21969 = add(_T_21968, _T_21963) @[exu_mul_ctl.scala 137:112] + node _T_21970 = eq(_T_21969, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21971 = bits(_T_21970, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21972 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_21973 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21974 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21975 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21976 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21977 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21978 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21979 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21980 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21981 = add(_T_21973, _T_21974) @[exu_mul_ctl.scala 137:112] + node _T_21982 = add(_T_21981, _T_21975) @[exu_mul_ctl.scala 137:112] + node _T_21983 = add(_T_21982, _T_21976) @[exu_mul_ctl.scala 137:112] + node _T_21984 = add(_T_21983, _T_21977) @[exu_mul_ctl.scala 137:112] + node _T_21985 = add(_T_21984, _T_21978) @[exu_mul_ctl.scala 137:112] + node _T_21986 = add(_T_21985, _T_21979) @[exu_mul_ctl.scala 137:112] + node _T_21987 = add(_T_21986, _T_21980) @[exu_mul_ctl.scala 137:112] + node _T_21988 = eq(_T_21987, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21989 = bits(_T_21988, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21990 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_21991 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21992 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21993 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21994 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21995 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21996 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21997 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21998 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21999 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22000 = add(_T_21991, _T_21992) @[exu_mul_ctl.scala 137:112] + node _T_22001 = add(_T_22000, _T_21993) @[exu_mul_ctl.scala 137:112] + node _T_22002 = add(_T_22001, _T_21994) @[exu_mul_ctl.scala 137:112] + node _T_22003 = add(_T_22002, _T_21995) @[exu_mul_ctl.scala 137:112] + node _T_22004 = add(_T_22003, _T_21996) @[exu_mul_ctl.scala 137:112] + node _T_22005 = add(_T_22004, _T_21997) @[exu_mul_ctl.scala 137:112] + node _T_22006 = add(_T_22005, _T_21998) @[exu_mul_ctl.scala 137:112] + node _T_22007 = add(_T_22006, _T_21999) @[exu_mul_ctl.scala 137:112] + node _T_22008 = eq(_T_22007, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22009 = bits(_T_22008, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22010 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_22011 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22012 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22013 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22014 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22015 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22016 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22017 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22018 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22019 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22020 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22021 = add(_T_22011, _T_22012) @[exu_mul_ctl.scala 137:112] + node _T_22022 = add(_T_22021, _T_22013) @[exu_mul_ctl.scala 137:112] + node _T_22023 = add(_T_22022, _T_22014) @[exu_mul_ctl.scala 137:112] + node _T_22024 = add(_T_22023, _T_22015) @[exu_mul_ctl.scala 137:112] + node _T_22025 = add(_T_22024, _T_22016) @[exu_mul_ctl.scala 137:112] + node _T_22026 = add(_T_22025, _T_22017) @[exu_mul_ctl.scala 137:112] + node _T_22027 = add(_T_22026, _T_22018) @[exu_mul_ctl.scala 137:112] + node _T_22028 = add(_T_22027, _T_22019) @[exu_mul_ctl.scala 137:112] + node _T_22029 = add(_T_22028, _T_22020) @[exu_mul_ctl.scala 137:112] + node _T_22030 = eq(_T_22029, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22031 = bits(_T_22030, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22032 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_22033 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22034 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22035 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22036 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22037 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22038 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22039 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22040 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22041 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22042 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22043 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22044 = add(_T_22033, _T_22034) @[exu_mul_ctl.scala 137:112] + node _T_22045 = add(_T_22044, _T_22035) @[exu_mul_ctl.scala 137:112] + node _T_22046 = add(_T_22045, _T_22036) @[exu_mul_ctl.scala 137:112] + node _T_22047 = add(_T_22046, _T_22037) @[exu_mul_ctl.scala 137:112] + node _T_22048 = add(_T_22047, _T_22038) @[exu_mul_ctl.scala 137:112] + node _T_22049 = add(_T_22048, _T_22039) @[exu_mul_ctl.scala 137:112] + node _T_22050 = add(_T_22049, _T_22040) @[exu_mul_ctl.scala 137:112] + node _T_22051 = add(_T_22050, _T_22041) @[exu_mul_ctl.scala 137:112] + node _T_22052 = add(_T_22051, _T_22042) @[exu_mul_ctl.scala 137:112] + node _T_22053 = add(_T_22052, _T_22043) @[exu_mul_ctl.scala 137:112] + node _T_22054 = eq(_T_22053, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22055 = bits(_T_22054, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22056 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_22057 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22058 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22059 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22060 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22061 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22062 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22063 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22064 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22065 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22066 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22067 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22068 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22069 = add(_T_22057, _T_22058) @[exu_mul_ctl.scala 137:112] + node _T_22070 = add(_T_22069, _T_22059) @[exu_mul_ctl.scala 137:112] + node _T_22071 = add(_T_22070, _T_22060) @[exu_mul_ctl.scala 137:112] + node _T_22072 = add(_T_22071, _T_22061) @[exu_mul_ctl.scala 137:112] + node _T_22073 = add(_T_22072, _T_22062) @[exu_mul_ctl.scala 137:112] + node _T_22074 = add(_T_22073, _T_22063) @[exu_mul_ctl.scala 137:112] + node _T_22075 = add(_T_22074, _T_22064) @[exu_mul_ctl.scala 137:112] + node _T_22076 = add(_T_22075, _T_22065) @[exu_mul_ctl.scala 137:112] + node _T_22077 = add(_T_22076, _T_22066) @[exu_mul_ctl.scala 137:112] + node _T_22078 = add(_T_22077, _T_22067) @[exu_mul_ctl.scala 137:112] + node _T_22079 = add(_T_22078, _T_22068) @[exu_mul_ctl.scala 137:112] + node _T_22080 = eq(_T_22079, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22081 = bits(_T_22080, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22082 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_22083 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22084 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22085 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22086 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22087 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22088 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22089 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22090 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22091 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22092 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22093 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22094 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22095 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22096 = add(_T_22083, _T_22084) @[exu_mul_ctl.scala 137:112] + node _T_22097 = add(_T_22096, _T_22085) @[exu_mul_ctl.scala 137:112] + node _T_22098 = add(_T_22097, _T_22086) @[exu_mul_ctl.scala 137:112] + node _T_22099 = add(_T_22098, _T_22087) @[exu_mul_ctl.scala 137:112] + node _T_22100 = add(_T_22099, _T_22088) @[exu_mul_ctl.scala 137:112] + node _T_22101 = add(_T_22100, _T_22089) @[exu_mul_ctl.scala 137:112] + node _T_22102 = add(_T_22101, _T_22090) @[exu_mul_ctl.scala 137:112] + node _T_22103 = add(_T_22102, _T_22091) @[exu_mul_ctl.scala 137:112] + node _T_22104 = add(_T_22103, _T_22092) @[exu_mul_ctl.scala 137:112] + node _T_22105 = add(_T_22104, _T_22093) @[exu_mul_ctl.scala 137:112] + node _T_22106 = add(_T_22105, _T_22094) @[exu_mul_ctl.scala 137:112] + node _T_22107 = add(_T_22106, _T_22095) @[exu_mul_ctl.scala 137:112] + node _T_22108 = eq(_T_22107, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22109 = bits(_T_22108, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22110 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_22111 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22112 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22113 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22114 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22115 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22116 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22117 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22118 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22119 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22120 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22121 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22122 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22123 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22124 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22125 = add(_T_22111, _T_22112) @[exu_mul_ctl.scala 137:112] + node _T_22126 = add(_T_22125, _T_22113) @[exu_mul_ctl.scala 137:112] + node _T_22127 = add(_T_22126, _T_22114) @[exu_mul_ctl.scala 137:112] + node _T_22128 = add(_T_22127, _T_22115) @[exu_mul_ctl.scala 137:112] + node _T_22129 = add(_T_22128, _T_22116) @[exu_mul_ctl.scala 137:112] + node _T_22130 = add(_T_22129, _T_22117) @[exu_mul_ctl.scala 137:112] + node _T_22131 = add(_T_22130, _T_22118) @[exu_mul_ctl.scala 137:112] + node _T_22132 = add(_T_22131, _T_22119) @[exu_mul_ctl.scala 137:112] + node _T_22133 = add(_T_22132, _T_22120) @[exu_mul_ctl.scala 137:112] + node _T_22134 = add(_T_22133, _T_22121) @[exu_mul_ctl.scala 137:112] + node _T_22135 = add(_T_22134, _T_22122) @[exu_mul_ctl.scala 137:112] + node _T_22136 = add(_T_22135, _T_22123) @[exu_mul_ctl.scala 137:112] + node _T_22137 = add(_T_22136, _T_22124) @[exu_mul_ctl.scala 137:112] + node _T_22138 = eq(_T_22137, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22139 = bits(_T_22138, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22140 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_22141 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22142 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22143 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22144 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22145 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22146 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22147 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22148 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22149 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22150 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22151 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22152 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22153 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22154 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22155 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22156 = add(_T_22141, _T_22142) @[exu_mul_ctl.scala 137:112] + node _T_22157 = add(_T_22156, _T_22143) @[exu_mul_ctl.scala 137:112] + node _T_22158 = add(_T_22157, _T_22144) @[exu_mul_ctl.scala 137:112] + node _T_22159 = add(_T_22158, _T_22145) @[exu_mul_ctl.scala 137:112] + node _T_22160 = add(_T_22159, _T_22146) @[exu_mul_ctl.scala 137:112] + node _T_22161 = add(_T_22160, _T_22147) @[exu_mul_ctl.scala 137:112] + node _T_22162 = add(_T_22161, _T_22148) @[exu_mul_ctl.scala 137:112] + node _T_22163 = add(_T_22162, _T_22149) @[exu_mul_ctl.scala 137:112] + node _T_22164 = add(_T_22163, _T_22150) @[exu_mul_ctl.scala 137:112] + node _T_22165 = add(_T_22164, _T_22151) @[exu_mul_ctl.scala 137:112] + node _T_22166 = add(_T_22165, _T_22152) @[exu_mul_ctl.scala 137:112] + node _T_22167 = add(_T_22166, _T_22153) @[exu_mul_ctl.scala 137:112] + node _T_22168 = add(_T_22167, _T_22154) @[exu_mul_ctl.scala 137:112] + node _T_22169 = add(_T_22168, _T_22155) @[exu_mul_ctl.scala 137:112] + node _T_22170 = eq(_T_22169, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22171 = bits(_T_22170, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22172 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_22173 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22174 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22175 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22176 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22177 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22178 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22179 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22180 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22181 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22182 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22183 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22184 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22185 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22186 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22187 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22188 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22189 = add(_T_22173, _T_22174) @[exu_mul_ctl.scala 137:112] + node _T_22190 = add(_T_22189, _T_22175) @[exu_mul_ctl.scala 137:112] + node _T_22191 = add(_T_22190, _T_22176) @[exu_mul_ctl.scala 137:112] + node _T_22192 = add(_T_22191, _T_22177) @[exu_mul_ctl.scala 137:112] + node _T_22193 = add(_T_22192, _T_22178) @[exu_mul_ctl.scala 137:112] + node _T_22194 = add(_T_22193, _T_22179) @[exu_mul_ctl.scala 137:112] + node _T_22195 = add(_T_22194, _T_22180) @[exu_mul_ctl.scala 137:112] + node _T_22196 = add(_T_22195, _T_22181) @[exu_mul_ctl.scala 137:112] + node _T_22197 = add(_T_22196, _T_22182) @[exu_mul_ctl.scala 137:112] + node _T_22198 = add(_T_22197, _T_22183) @[exu_mul_ctl.scala 137:112] + node _T_22199 = add(_T_22198, _T_22184) @[exu_mul_ctl.scala 137:112] + node _T_22200 = add(_T_22199, _T_22185) @[exu_mul_ctl.scala 137:112] + node _T_22201 = add(_T_22200, _T_22186) @[exu_mul_ctl.scala 137:112] + node _T_22202 = add(_T_22201, _T_22187) @[exu_mul_ctl.scala 137:112] + node _T_22203 = add(_T_22202, _T_22188) @[exu_mul_ctl.scala 137:112] + node _T_22204 = eq(_T_22203, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22205 = bits(_T_22204, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22206 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_22207 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22208 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22209 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22210 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22211 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22212 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22213 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22214 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22215 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22216 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22217 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22218 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22219 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22220 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22221 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22222 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22223 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22224 = add(_T_22207, _T_22208) @[exu_mul_ctl.scala 137:112] + node _T_22225 = add(_T_22224, _T_22209) @[exu_mul_ctl.scala 137:112] + node _T_22226 = add(_T_22225, _T_22210) @[exu_mul_ctl.scala 137:112] + node _T_22227 = add(_T_22226, _T_22211) @[exu_mul_ctl.scala 137:112] + node _T_22228 = add(_T_22227, _T_22212) @[exu_mul_ctl.scala 137:112] + node _T_22229 = add(_T_22228, _T_22213) @[exu_mul_ctl.scala 137:112] + node _T_22230 = add(_T_22229, _T_22214) @[exu_mul_ctl.scala 137:112] + node _T_22231 = add(_T_22230, _T_22215) @[exu_mul_ctl.scala 137:112] + node _T_22232 = add(_T_22231, _T_22216) @[exu_mul_ctl.scala 137:112] + node _T_22233 = add(_T_22232, _T_22217) @[exu_mul_ctl.scala 137:112] + node _T_22234 = add(_T_22233, _T_22218) @[exu_mul_ctl.scala 137:112] + node _T_22235 = add(_T_22234, _T_22219) @[exu_mul_ctl.scala 137:112] + node _T_22236 = add(_T_22235, _T_22220) @[exu_mul_ctl.scala 137:112] + node _T_22237 = add(_T_22236, _T_22221) @[exu_mul_ctl.scala 137:112] + node _T_22238 = add(_T_22237, _T_22222) @[exu_mul_ctl.scala 137:112] + node _T_22239 = add(_T_22238, _T_22223) @[exu_mul_ctl.scala 137:112] + node _T_22240 = eq(_T_22239, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22241 = bits(_T_22240, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22242 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_22243 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22244 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22245 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22246 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22247 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22248 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22249 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22250 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22251 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22252 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22253 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22254 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22255 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22256 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22257 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22258 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22259 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22260 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22261 = add(_T_22243, _T_22244) @[exu_mul_ctl.scala 137:112] + node _T_22262 = add(_T_22261, _T_22245) @[exu_mul_ctl.scala 137:112] + node _T_22263 = add(_T_22262, _T_22246) @[exu_mul_ctl.scala 137:112] + node _T_22264 = add(_T_22263, _T_22247) @[exu_mul_ctl.scala 137:112] + node _T_22265 = add(_T_22264, _T_22248) @[exu_mul_ctl.scala 137:112] + node _T_22266 = add(_T_22265, _T_22249) @[exu_mul_ctl.scala 137:112] + node _T_22267 = add(_T_22266, _T_22250) @[exu_mul_ctl.scala 137:112] + node _T_22268 = add(_T_22267, _T_22251) @[exu_mul_ctl.scala 137:112] + node _T_22269 = add(_T_22268, _T_22252) @[exu_mul_ctl.scala 137:112] + node _T_22270 = add(_T_22269, _T_22253) @[exu_mul_ctl.scala 137:112] + node _T_22271 = add(_T_22270, _T_22254) @[exu_mul_ctl.scala 137:112] + node _T_22272 = add(_T_22271, _T_22255) @[exu_mul_ctl.scala 137:112] + node _T_22273 = add(_T_22272, _T_22256) @[exu_mul_ctl.scala 137:112] + node _T_22274 = add(_T_22273, _T_22257) @[exu_mul_ctl.scala 137:112] + node _T_22275 = add(_T_22274, _T_22258) @[exu_mul_ctl.scala 137:112] + node _T_22276 = add(_T_22275, _T_22259) @[exu_mul_ctl.scala 137:112] + node _T_22277 = add(_T_22276, _T_22260) @[exu_mul_ctl.scala 137:112] + node _T_22278 = eq(_T_22277, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22279 = bits(_T_22278, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22280 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_22281 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22282 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22283 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22284 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22285 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22286 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22287 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22288 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22289 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22290 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22291 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22292 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22293 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22294 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22295 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22296 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22297 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22298 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22299 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22300 = add(_T_22281, _T_22282) @[exu_mul_ctl.scala 137:112] + node _T_22301 = add(_T_22300, _T_22283) @[exu_mul_ctl.scala 137:112] + node _T_22302 = add(_T_22301, _T_22284) @[exu_mul_ctl.scala 137:112] + node _T_22303 = add(_T_22302, _T_22285) @[exu_mul_ctl.scala 137:112] + node _T_22304 = add(_T_22303, _T_22286) @[exu_mul_ctl.scala 137:112] + node _T_22305 = add(_T_22304, _T_22287) @[exu_mul_ctl.scala 137:112] + node _T_22306 = add(_T_22305, _T_22288) @[exu_mul_ctl.scala 137:112] + node _T_22307 = add(_T_22306, _T_22289) @[exu_mul_ctl.scala 137:112] + node _T_22308 = add(_T_22307, _T_22290) @[exu_mul_ctl.scala 137:112] + node _T_22309 = add(_T_22308, _T_22291) @[exu_mul_ctl.scala 137:112] + node _T_22310 = add(_T_22309, _T_22292) @[exu_mul_ctl.scala 137:112] + node _T_22311 = add(_T_22310, _T_22293) @[exu_mul_ctl.scala 137:112] + node _T_22312 = add(_T_22311, _T_22294) @[exu_mul_ctl.scala 137:112] + node _T_22313 = add(_T_22312, _T_22295) @[exu_mul_ctl.scala 137:112] + node _T_22314 = add(_T_22313, _T_22296) @[exu_mul_ctl.scala 137:112] + node _T_22315 = add(_T_22314, _T_22297) @[exu_mul_ctl.scala 137:112] + node _T_22316 = add(_T_22315, _T_22298) @[exu_mul_ctl.scala 137:112] + node _T_22317 = add(_T_22316, _T_22299) @[exu_mul_ctl.scala 137:112] + node _T_22318 = eq(_T_22317, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22319 = bits(_T_22318, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22320 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_22321 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22322 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22323 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22324 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22325 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22326 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22327 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22328 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22329 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22330 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22331 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22332 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22333 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22334 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22335 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22336 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22337 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22338 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22339 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22340 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22341 = add(_T_22321, _T_22322) @[exu_mul_ctl.scala 137:112] + node _T_22342 = add(_T_22341, _T_22323) @[exu_mul_ctl.scala 137:112] + node _T_22343 = add(_T_22342, _T_22324) @[exu_mul_ctl.scala 137:112] + node _T_22344 = add(_T_22343, _T_22325) @[exu_mul_ctl.scala 137:112] + node _T_22345 = add(_T_22344, _T_22326) @[exu_mul_ctl.scala 137:112] + node _T_22346 = add(_T_22345, _T_22327) @[exu_mul_ctl.scala 137:112] + node _T_22347 = add(_T_22346, _T_22328) @[exu_mul_ctl.scala 137:112] + node _T_22348 = add(_T_22347, _T_22329) @[exu_mul_ctl.scala 137:112] + node _T_22349 = add(_T_22348, _T_22330) @[exu_mul_ctl.scala 137:112] + node _T_22350 = add(_T_22349, _T_22331) @[exu_mul_ctl.scala 137:112] + node _T_22351 = add(_T_22350, _T_22332) @[exu_mul_ctl.scala 137:112] + node _T_22352 = add(_T_22351, _T_22333) @[exu_mul_ctl.scala 137:112] + node _T_22353 = add(_T_22352, _T_22334) @[exu_mul_ctl.scala 137:112] + node _T_22354 = add(_T_22353, _T_22335) @[exu_mul_ctl.scala 137:112] + node _T_22355 = add(_T_22354, _T_22336) @[exu_mul_ctl.scala 137:112] + node _T_22356 = add(_T_22355, _T_22337) @[exu_mul_ctl.scala 137:112] + node _T_22357 = add(_T_22356, _T_22338) @[exu_mul_ctl.scala 137:112] + node _T_22358 = add(_T_22357, _T_22339) @[exu_mul_ctl.scala 137:112] + node _T_22359 = add(_T_22358, _T_22340) @[exu_mul_ctl.scala 137:112] + node _T_22360 = eq(_T_22359, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22361 = bits(_T_22360, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22362 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_22363 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22364 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22365 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22366 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22367 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22368 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22369 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22370 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22371 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22372 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22373 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22374 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22375 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22376 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22377 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22378 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22379 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22380 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22381 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22382 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22383 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22384 = add(_T_22363, _T_22364) @[exu_mul_ctl.scala 137:112] + node _T_22385 = add(_T_22384, _T_22365) @[exu_mul_ctl.scala 137:112] + node _T_22386 = add(_T_22385, _T_22366) @[exu_mul_ctl.scala 137:112] + node _T_22387 = add(_T_22386, _T_22367) @[exu_mul_ctl.scala 137:112] + node _T_22388 = add(_T_22387, _T_22368) @[exu_mul_ctl.scala 137:112] + node _T_22389 = add(_T_22388, _T_22369) @[exu_mul_ctl.scala 137:112] + node _T_22390 = add(_T_22389, _T_22370) @[exu_mul_ctl.scala 137:112] + node _T_22391 = add(_T_22390, _T_22371) @[exu_mul_ctl.scala 137:112] + node _T_22392 = add(_T_22391, _T_22372) @[exu_mul_ctl.scala 137:112] + node _T_22393 = add(_T_22392, _T_22373) @[exu_mul_ctl.scala 137:112] + node _T_22394 = add(_T_22393, _T_22374) @[exu_mul_ctl.scala 137:112] + node _T_22395 = add(_T_22394, _T_22375) @[exu_mul_ctl.scala 137:112] + node _T_22396 = add(_T_22395, _T_22376) @[exu_mul_ctl.scala 137:112] + node _T_22397 = add(_T_22396, _T_22377) @[exu_mul_ctl.scala 137:112] + node _T_22398 = add(_T_22397, _T_22378) @[exu_mul_ctl.scala 137:112] + node _T_22399 = add(_T_22398, _T_22379) @[exu_mul_ctl.scala 137:112] + node _T_22400 = add(_T_22399, _T_22380) @[exu_mul_ctl.scala 137:112] + node _T_22401 = add(_T_22400, _T_22381) @[exu_mul_ctl.scala 137:112] + node _T_22402 = add(_T_22401, _T_22382) @[exu_mul_ctl.scala 137:112] + node _T_22403 = add(_T_22402, _T_22383) @[exu_mul_ctl.scala 137:112] + node _T_22404 = eq(_T_22403, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22405 = bits(_T_22404, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22406 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_22407 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22408 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22409 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22410 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22411 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22412 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22413 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22414 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22415 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22416 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22417 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22418 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22419 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22420 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22421 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22422 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22423 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22424 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22425 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22426 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22427 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22428 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22429 = add(_T_22407, _T_22408) @[exu_mul_ctl.scala 137:112] + node _T_22430 = add(_T_22429, _T_22409) @[exu_mul_ctl.scala 137:112] + node _T_22431 = add(_T_22430, _T_22410) @[exu_mul_ctl.scala 137:112] + node _T_22432 = add(_T_22431, _T_22411) @[exu_mul_ctl.scala 137:112] + node _T_22433 = add(_T_22432, _T_22412) @[exu_mul_ctl.scala 137:112] + node _T_22434 = add(_T_22433, _T_22413) @[exu_mul_ctl.scala 137:112] + node _T_22435 = add(_T_22434, _T_22414) @[exu_mul_ctl.scala 137:112] + node _T_22436 = add(_T_22435, _T_22415) @[exu_mul_ctl.scala 137:112] + node _T_22437 = add(_T_22436, _T_22416) @[exu_mul_ctl.scala 137:112] + node _T_22438 = add(_T_22437, _T_22417) @[exu_mul_ctl.scala 137:112] + node _T_22439 = add(_T_22438, _T_22418) @[exu_mul_ctl.scala 137:112] + node _T_22440 = add(_T_22439, _T_22419) @[exu_mul_ctl.scala 137:112] + node _T_22441 = add(_T_22440, _T_22420) @[exu_mul_ctl.scala 137:112] + node _T_22442 = add(_T_22441, _T_22421) @[exu_mul_ctl.scala 137:112] + node _T_22443 = add(_T_22442, _T_22422) @[exu_mul_ctl.scala 137:112] + node _T_22444 = add(_T_22443, _T_22423) @[exu_mul_ctl.scala 137:112] + node _T_22445 = add(_T_22444, _T_22424) @[exu_mul_ctl.scala 137:112] + node _T_22446 = add(_T_22445, _T_22425) @[exu_mul_ctl.scala 137:112] + node _T_22447 = add(_T_22446, _T_22426) @[exu_mul_ctl.scala 137:112] + node _T_22448 = add(_T_22447, _T_22427) @[exu_mul_ctl.scala 137:112] + node _T_22449 = add(_T_22448, _T_22428) @[exu_mul_ctl.scala 137:112] + node _T_22450 = eq(_T_22449, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22451 = bits(_T_22450, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22452 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_22453 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22454 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22455 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22456 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22457 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22458 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22459 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22460 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22461 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22462 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22463 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22464 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22465 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22466 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22467 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22468 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22469 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22470 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22471 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22472 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22473 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22474 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22475 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22476 = add(_T_22453, _T_22454) @[exu_mul_ctl.scala 137:112] + node _T_22477 = add(_T_22476, _T_22455) @[exu_mul_ctl.scala 137:112] + node _T_22478 = add(_T_22477, _T_22456) @[exu_mul_ctl.scala 137:112] + node _T_22479 = add(_T_22478, _T_22457) @[exu_mul_ctl.scala 137:112] + node _T_22480 = add(_T_22479, _T_22458) @[exu_mul_ctl.scala 137:112] + node _T_22481 = add(_T_22480, _T_22459) @[exu_mul_ctl.scala 137:112] + node _T_22482 = add(_T_22481, _T_22460) @[exu_mul_ctl.scala 137:112] + node _T_22483 = add(_T_22482, _T_22461) @[exu_mul_ctl.scala 137:112] + node _T_22484 = add(_T_22483, _T_22462) @[exu_mul_ctl.scala 137:112] + node _T_22485 = add(_T_22484, _T_22463) @[exu_mul_ctl.scala 137:112] + node _T_22486 = add(_T_22485, _T_22464) @[exu_mul_ctl.scala 137:112] + node _T_22487 = add(_T_22486, _T_22465) @[exu_mul_ctl.scala 137:112] + node _T_22488 = add(_T_22487, _T_22466) @[exu_mul_ctl.scala 137:112] + node _T_22489 = add(_T_22488, _T_22467) @[exu_mul_ctl.scala 137:112] + node _T_22490 = add(_T_22489, _T_22468) @[exu_mul_ctl.scala 137:112] + node _T_22491 = add(_T_22490, _T_22469) @[exu_mul_ctl.scala 137:112] + node _T_22492 = add(_T_22491, _T_22470) @[exu_mul_ctl.scala 137:112] + node _T_22493 = add(_T_22492, _T_22471) @[exu_mul_ctl.scala 137:112] + node _T_22494 = add(_T_22493, _T_22472) @[exu_mul_ctl.scala 137:112] + node _T_22495 = add(_T_22494, _T_22473) @[exu_mul_ctl.scala 137:112] + node _T_22496 = add(_T_22495, _T_22474) @[exu_mul_ctl.scala 137:112] + node _T_22497 = add(_T_22496, _T_22475) @[exu_mul_ctl.scala 137:112] + node _T_22498 = eq(_T_22497, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22499 = bits(_T_22498, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22500 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_22501 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22502 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22503 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22504 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22505 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22506 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22507 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22508 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22509 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22510 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22511 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22512 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22513 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22514 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22515 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22516 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22517 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22518 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22519 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22520 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22521 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22522 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22523 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22524 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22525 = add(_T_22501, _T_22502) @[exu_mul_ctl.scala 137:112] + node _T_22526 = add(_T_22525, _T_22503) @[exu_mul_ctl.scala 137:112] + node _T_22527 = add(_T_22526, _T_22504) @[exu_mul_ctl.scala 137:112] + node _T_22528 = add(_T_22527, _T_22505) @[exu_mul_ctl.scala 137:112] + node _T_22529 = add(_T_22528, _T_22506) @[exu_mul_ctl.scala 137:112] + node _T_22530 = add(_T_22529, _T_22507) @[exu_mul_ctl.scala 137:112] + node _T_22531 = add(_T_22530, _T_22508) @[exu_mul_ctl.scala 137:112] + node _T_22532 = add(_T_22531, _T_22509) @[exu_mul_ctl.scala 137:112] + node _T_22533 = add(_T_22532, _T_22510) @[exu_mul_ctl.scala 137:112] + node _T_22534 = add(_T_22533, _T_22511) @[exu_mul_ctl.scala 137:112] + node _T_22535 = add(_T_22534, _T_22512) @[exu_mul_ctl.scala 137:112] + node _T_22536 = add(_T_22535, _T_22513) @[exu_mul_ctl.scala 137:112] + node _T_22537 = add(_T_22536, _T_22514) @[exu_mul_ctl.scala 137:112] + node _T_22538 = add(_T_22537, _T_22515) @[exu_mul_ctl.scala 137:112] + node _T_22539 = add(_T_22538, _T_22516) @[exu_mul_ctl.scala 137:112] + node _T_22540 = add(_T_22539, _T_22517) @[exu_mul_ctl.scala 137:112] + node _T_22541 = add(_T_22540, _T_22518) @[exu_mul_ctl.scala 137:112] + node _T_22542 = add(_T_22541, _T_22519) @[exu_mul_ctl.scala 137:112] + node _T_22543 = add(_T_22542, _T_22520) @[exu_mul_ctl.scala 137:112] + node _T_22544 = add(_T_22543, _T_22521) @[exu_mul_ctl.scala 137:112] + node _T_22545 = add(_T_22544, _T_22522) @[exu_mul_ctl.scala 137:112] + node _T_22546 = add(_T_22545, _T_22523) @[exu_mul_ctl.scala 137:112] + node _T_22547 = add(_T_22546, _T_22524) @[exu_mul_ctl.scala 137:112] + node _T_22548 = eq(_T_22547, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22549 = bits(_T_22548, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22550 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_22551 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22552 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22553 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22554 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22555 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22556 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22557 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22558 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22559 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22560 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22561 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22562 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22563 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22564 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22565 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22566 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22567 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22568 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22569 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22570 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22571 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22572 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22573 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22574 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22575 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22576 = add(_T_22551, _T_22552) @[exu_mul_ctl.scala 137:112] + node _T_22577 = add(_T_22576, _T_22553) @[exu_mul_ctl.scala 137:112] + node _T_22578 = add(_T_22577, _T_22554) @[exu_mul_ctl.scala 137:112] + node _T_22579 = add(_T_22578, _T_22555) @[exu_mul_ctl.scala 137:112] + node _T_22580 = add(_T_22579, _T_22556) @[exu_mul_ctl.scala 137:112] + node _T_22581 = add(_T_22580, _T_22557) @[exu_mul_ctl.scala 137:112] + node _T_22582 = add(_T_22581, _T_22558) @[exu_mul_ctl.scala 137:112] + node _T_22583 = add(_T_22582, _T_22559) @[exu_mul_ctl.scala 137:112] + node _T_22584 = add(_T_22583, _T_22560) @[exu_mul_ctl.scala 137:112] + node _T_22585 = add(_T_22584, _T_22561) @[exu_mul_ctl.scala 137:112] + node _T_22586 = add(_T_22585, _T_22562) @[exu_mul_ctl.scala 137:112] + node _T_22587 = add(_T_22586, _T_22563) @[exu_mul_ctl.scala 137:112] + node _T_22588 = add(_T_22587, _T_22564) @[exu_mul_ctl.scala 137:112] + node _T_22589 = add(_T_22588, _T_22565) @[exu_mul_ctl.scala 137:112] + node _T_22590 = add(_T_22589, _T_22566) @[exu_mul_ctl.scala 137:112] + node _T_22591 = add(_T_22590, _T_22567) @[exu_mul_ctl.scala 137:112] + node _T_22592 = add(_T_22591, _T_22568) @[exu_mul_ctl.scala 137:112] + node _T_22593 = add(_T_22592, _T_22569) @[exu_mul_ctl.scala 137:112] + node _T_22594 = add(_T_22593, _T_22570) @[exu_mul_ctl.scala 137:112] + node _T_22595 = add(_T_22594, _T_22571) @[exu_mul_ctl.scala 137:112] + node _T_22596 = add(_T_22595, _T_22572) @[exu_mul_ctl.scala 137:112] + node _T_22597 = add(_T_22596, _T_22573) @[exu_mul_ctl.scala 137:112] + node _T_22598 = add(_T_22597, _T_22574) @[exu_mul_ctl.scala 137:112] + node _T_22599 = add(_T_22598, _T_22575) @[exu_mul_ctl.scala 137:112] + node _T_22600 = eq(_T_22599, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22601 = bits(_T_22600, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22602 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_22603 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22604 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22605 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22606 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22607 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22608 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22609 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22610 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22611 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22612 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22613 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22614 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22615 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22616 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22617 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22618 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22619 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22620 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22621 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22622 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22623 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22624 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22625 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22626 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22627 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22628 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22629 = add(_T_22603, _T_22604) @[exu_mul_ctl.scala 137:112] + node _T_22630 = add(_T_22629, _T_22605) @[exu_mul_ctl.scala 137:112] + node _T_22631 = add(_T_22630, _T_22606) @[exu_mul_ctl.scala 137:112] + node _T_22632 = add(_T_22631, _T_22607) @[exu_mul_ctl.scala 137:112] + node _T_22633 = add(_T_22632, _T_22608) @[exu_mul_ctl.scala 137:112] + node _T_22634 = add(_T_22633, _T_22609) @[exu_mul_ctl.scala 137:112] + node _T_22635 = add(_T_22634, _T_22610) @[exu_mul_ctl.scala 137:112] + node _T_22636 = add(_T_22635, _T_22611) @[exu_mul_ctl.scala 137:112] + node _T_22637 = add(_T_22636, _T_22612) @[exu_mul_ctl.scala 137:112] + node _T_22638 = add(_T_22637, _T_22613) @[exu_mul_ctl.scala 137:112] + node _T_22639 = add(_T_22638, _T_22614) @[exu_mul_ctl.scala 137:112] + node _T_22640 = add(_T_22639, _T_22615) @[exu_mul_ctl.scala 137:112] + node _T_22641 = add(_T_22640, _T_22616) @[exu_mul_ctl.scala 137:112] + node _T_22642 = add(_T_22641, _T_22617) @[exu_mul_ctl.scala 137:112] + node _T_22643 = add(_T_22642, _T_22618) @[exu_mul_ctl.scala 137:112] + node _T_22644 = add(_T_22643, _T_22619) @[exu_mul_ctl.scala 137:112] + node _T_22645 = add(_T_22644, _T_22620) @[exu_mul_ctl.scala 137:112] + node _T_22646 = add(_T_22645, _T_22621) @[exu_mul_ctl.scala 137:112] + node _T_22647 = add(_T_22646, _T_22622) @[exu_mul_ctl.scala 137:112] + node _T_22648 = add(_T_22647, _T_22623) @[exu_mul_ctl.scala 137:112] + node _T_22649 = add(_T_22648, _T_22624) @[exu_mul_ctl.scala 137:112] + node _T_22650 = add(_T_22649, _T_22625) @[exu_mul_ctl.scala 137:112] + node _T_22651 = add(_T_22650, _T_22626) @[exu_mul_ctl.scala 137:112] + node _T_22652 = add(_T_22651, _T_22627) @[exu_mul_ctl.scala 137:112] + node _T_22653 = add(_T_22652, _T_22628) @[exu_mul_ctl.scala 137:112] + node _T_22654 = eq(_T_22653, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22655 = bits(_T_22654, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22656 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_22657 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22658 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22659 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22660 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22661 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22662 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22663 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22664 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22665 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22666 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22667 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22668 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22669 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22670 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22671 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22672 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22673 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22674 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22675 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22676 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22677 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22678 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22679 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22680 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22681 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22682 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22683 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22684 = add(_T_22657, _T_22658) @[exu_mul_ctl.scala 137:112] + node _T_22685 = add(_T_22684, _T_22659) @[exu_mul_ctl.scala 137:112] + node _T_22686 = add(_T_22685, _T_22660) @[exu_mul_ctl.scala 137:112] + node _T_22687 = add(_T_22686, _T_22661) @[exu_mul_ctl.scala 137:112] + node _T_22688 = add(_T_22687, _T_22662) @[exu_mul_ctl.scala 137:112] + node _T_22689 = add(_T_22688, _T_22663) @[exu_mul_ctl.scala 137:112] + node _T_22690 = add(_T_22689, _T_22664) @[exu_mul_ctl.scala 137:112] + node _T_22691 = add(_T_22690, _T_22665) @[exu_mul_ctl.scala 137:112] + node _T_22692 = add(_T_22691, _T_22666) @[exu_mul_ctl.scala 137:112] + node _T_22693 = add(_T_22692, _T_22667) @[exu_mul_ctl.scala 137:112] + node _T_22694 = add(_T_22693, _T_22668) @[exu_mul_ctl.scala 137:112] + node _T_22695 = add(_T_22694, _T_22669) @[exu_mul_ctl.scala 137:112] + node _T_22696 = add(_T_22695, _T_22670) @[exu_mul_ctl.scala 137:112] + node _T_22697 = add(_T_22696, _T_22671) @[exu_mul_ctl.scala 137:112] + node _T_22698 = add(_T_22697, _T_22672) @[exu_mul_ctl.scala 137:112] + node _T_22699 = add(_T_22698, _T_22673) @[exu_mul_ctl.scala 137:112] + node _T_22700 = add(_T_22699, _T_22674) @[exu_mul_ctl.scala 137:112] + node _T_22701 = add(_T_22700, _T_22675) @[exu_mul_ctl.scala 137:112] + node _T_22702 = add(_T_22701, _T_22676) @[exu_mul_ctl.scala 137:112] + node _T_22703 = add(_T_22702, _T_22677) @[exu_mul_ctl.scala 137:112] + node _T_22704 = add(_T_22703, _T_22678) @[exu_mul_ctl.scala 137:112] + node _T_22705 = add(_T_22704, _T_22679) @[exu_mul_ctl.scala 137:112] + node _T_22706 = add(_T_22705, _T_22680) @[exu_mul_ctl.scala 137:112] + node _T_22707 = add(_T_22706, _T_22681) @[exu_mul_ctl.scala 137:112] + node _T_22708 = add(_T_22707, _T_22682) @[exu_mul_ctl.scala 137:112] + node _T_22709 = add(_T_22708, _T_22683) @[exu_mul_ctl.scala 137:112] + node _T_22710 = eq(_T_22709, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22711 = bits(_T_22710, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22712 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_22713 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22714 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22715 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22716 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22717 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22718 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22719 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22720 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22721 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22722 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22723 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22724 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22725 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22726 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22727 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22728 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22729 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22730 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22731 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22732 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22733 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22734 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22735 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22736 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22737 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22738 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22739 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22740 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_22741 = add(_T_22713, _T_22714) @[exu_mul_ctl.scala 137:112] + node _T_22742 = add(_T_22741, _T_22715) @[exu_mul_ctl.scala 137:112] + node _T_22743 = add(_T_22742, _T_22716) @[exu_mul_ctl.scala 137:112] + node _T_22744 = add(_T_22743, _T_22717) @[exu_mul_ctl.scala 137:112] + node _T_22745 = add(_T_22744, _T_22718) @[exu_mul_ctl.scala 137:112] + node _T_22746 = add(_T_22745, _T_22719) @[exu_mul_ctl.scala 137:112] + node _T_22747 = add(_T_22746, _T_22720) @[exu_mul_ctl.scala 137:112] + node _T_22748 = add(_T_22747, _T_22721) @[exu_mul_ctl.scala 137:112] + node _T_22749 = add(_T_22748, _T_22722) @[exu_mul_ctl.scala 137:112] + node _T_22750 = add(_T_22749, _T_22723) @[exu_mul_ctl.scala 137:112] + node _T_22751 = add(_T_22750, _T_22724) @[exu_mul_ctl.scala 137:112] + node _T_22752 = add(_T_22751, _T_22725) @[exu_mul_ctl.scala 137:112] + node _T_22753 = add(_T_22752, _T_22726) @[exu_mul_ctl.scala 137:112] + node _T_22754 = add(_T_22753, _T_22727) @[exu_mul_ctl.scala 137:112] + node _T_22755 = add(_T_22754, _T_22728) @[exu_mul_ctl.scala 137:112] + node _T_22756 = add(_T_22755, _T_22729) @[exu_mul_ctl.scala 137:112] + node _T_22757 = add(_T_22756, _T_22730) @[exu_mul_ctl.scala 137:112] + node _T_22758 = add(_T_22757, _T_22731) @[exu_mul_ctl.scala 137:112] + node _T_22759 = add(_T_22758, _T_22732) @[exu_mul_ctl.scala 137:112] + node _T_22760 = add(_T_22759, _T_22733) @[exu_mul_ctl.scala 137:112] + node _T_22761 = add(_T_22760, _T_22734) @[exu_mul_ctl.scala 137:112] + node _T_22762 = add(_T_22761, _T_22735) @[exu_mul_ctl.scala 137:112] + node _T_22763 = add(_T_22762, _T_22736) @[exu_mul_ctl.scala 137:112] + node _T_22764 = add(_T_22763, _T_22737) @[exu_mul_ctl.scala 137:112] + node _T_22765 = add(_T_22764, _T_22738) @[exu_mul_ctl.scala 137:112] + node _T_22766 = add(_T_22765, _T_22739) @[exu_mul_ctl.scala 137:112] + node _T_22767 = add(_T_22766, _T_22740) @[exu_mul_ctl.scala 137:112] + node _T_22768 = eq(_T_22767, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22769 = bits(_T_22768, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22770 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_22771 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22772 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22773 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22774 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22775 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22776 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22777 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22778 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22779 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22780 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22781 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22782 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22783 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22784 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22785 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22786 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22787 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22788 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22789 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22790 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22791 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22792 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22793 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22794 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22795 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22796 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22797 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22798 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_22799 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_22800 = add(_T_22771, _T_22772) @[exu_mul_ctl.scala 137:112] + node _T_22801 = add(_T_22800, _T_22773) @[exu_mul_ctl.scala 137:112] + node _T_22802 = add(_T_22801, _T_22774) @[exu_mul_ctl.scala 137:112] + node _T_22803 = add(_T_22802, _T_22775) @[exu_mul_ctl.scala 137:112] + node _T_22804 = add(_T_22803, _T_22776) @[exu_mul_ctl.scala 137:112] + node _T_22805 = add(_T_22804, _T_22777) @[exu_mul_ctl.scala 137:112] + node _T_22806 = add(_T_22805, _T_22778) @[exu_mul_ctl.scala 137:112] + node _T_22807 = add(_T_22806, _T_22779) @[exu_mul_ctl.scala 137:112] + node _T_22808 = add(_T_22807, _T_22780) @[exu_mul_ctl.scala 137:112] + node _T_22809 = add(_T_22808, _T_22781) @[exu_mul_ctl.scala 137:112] + node _T_22810 = add(_T_22809, _T_22782) @[exu_mul_ctl.scala 137:112] + node _T_22811 = add(_T_22810, _T_22783) @[exu_mul_ctl.scala 137:112] + node _T_22812 = add(_T_22811, _T_22784) @[exu_mul_ctl.scala 137:112] + node _T_22813 = add(_T_22812, _T_22785) @[exu_mul_ctl.scala 137:112] + node _T_22814 = add(_T_22813, _T_22786) @[exu_mul_ctl.scala 137:112] + node _T_22815 = add(_T_22814, _T_22787) @[exu_mul_ctl.scala 137:112] + node _T_22816 = add(_T_22815, _T_22788) @[exu_mul_ctl.scala 137:112] + node _T_22817 = add(_T_22816, _T_22789) @[exu_mul_ctl.scala 137:112] + node _T_22818 = add(_T_22817, _T_22790) @[exu_mul_ctl.scala 137:112] + node _T_22819 = add(_T_22818, _T_22791) @[exu_mul_ctl.scala 137:112] + node _T_22820 = add(_T_22819, _T_22792) @[exu_mul_ctl.scala 137:112] + node _T_22821 = add(_T_22820, _T_22793) @[exu_mul_ctl.scala 137:112] + node _T_22822 = add(_T_22821, _T_22794) @[exu_mul_ctl.scala 137:112] + node _T_22823 = add(_T_22822, _T_22795) @[exu_mul_ctl.scala 137:112] + node _T_22824 = add(_T_22823, _T_22796) @[exu_mul_ctl.scala 137:112] + node _T_22825 = add(_T_22824, _T_22797) @[exu_mul_ctl.scala 137:112] + node _T_22826 = add(_T_22825, _T_22798) @[exu_mul_ctl.scala 137:112] + node _T_22827 = add(_T_22826, _T_22799) @[exu_mul_ctl.scala 137:112] + node _T_22828 = eq(_T_22827, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22829 = bits(_T_22828, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22830 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_22831 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22832 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22833 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22834 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22835 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22836 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22837 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22838 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22839 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22840 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22841 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22842 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22843 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22844 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22845 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22846 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22847 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22848 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22849 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22850 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22851 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22852 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22853 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22854 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22855 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22856 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22857 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22858 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_22859 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_22860 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_22861 = add(_T_22831, _T_22832) @[exu_mul_ctl.scala 137:112] + node _T_22862 = add(_T_22861, _T_22833) @[exu_mul_ctl.scala 137:112] + node _T_22863 = add(_T_22862, _T_22834) @[exu_mul_ctl.scala 137:112] + node _T_22864 = add(_T_22863, _T_22835) @[exu_mul_ctl.scala 137:112] + node _T_22865 = add(_T_22864, _T_22836) @[exu_mul_ctl.scala 137:112] + node _T_22866 = add(_T_22865, _T_22837) @[exu_mul_ctl.scala 137:112] + node _T_22867 = add(_T_22866, _T_22838) @[exu_mul_ctl.scala 137:112] + node _T_22868 = add(_T_22867, _T_22839) @[exu_mul_ctl.scala 137:112] + node _T_22869 = add(_T_22868, _T_22840) @[exu_mul_ctl.scala 137:112] + node _T_22870 = add(_T_22869, _T_22841) @[exu_mul_ctl.scala 137:112] + node _T_22871 = add(_T_22870, _T_22842) @[exu_mul_ctl.scala 137:112] + node _T_22872 = add(_T_22871, _T_22843) @[exu_mul_ctl.scala 137:112] + node _T_22873 = add(_T_22872, _T_22844) @[exu_mul_ctl.scala 137:112] + node _T_22874 = add(_T_22873, _T_22845) @[exu_mul_ctl.scala 137:112] + node _T_22875 = add(_T_22874, _T_22846) @[exu_mul_ctl.scala 137:112] + node _T_22876 = add(_T_22875, _T_22847) @[exu_mul_ctl.scala 137:112] + node _T_22877 = add(_T_22876, _T_22848) @[exu_mul_ctl.scala 137:112] + node _T_22878 = add(_T_22877, _T_22849) @[exu_mul_ctl.scala 137:112] + node _T_22879 = add(_T_22878, _T_22850) @[exu_mul_ctl.scala 137:112] + node _T_22880 = add(_T_22879, _T_22851) @[exu_mul_ctl.scala 137:112] + node _T_22881 = add(_T_22880, _T_22852) @[exu_mul_ctl.scala 137:112] + node _T_22882 = add(_T_22881, _T_22853) @[exu_mul_ctl.scala 137:112] + node _T_22883 = add(_T_22882, _T_22854) @[exu_mul_ctl.scala 137:112] + node _T_22884 = add(_T_22883, _T_22855) @[exu_mul_ctl.scala 137:112] + node _T_22885 = add(_T_22884, _T_22856) @[exu_mul_ctl.scala 137:112] + node _T_22886 = add(_T_22885, _T_22857) @[exu_mul_ctl.scala 137:112] + node _T_22887 = add(_T_22886, _T_22858) @[exu_mul_ctl.scala 137:112] + node _T_22888 = add(_T_22887, _T_22859) @[exu_mul_ctl.scala 137:112] + node _T_22889 = add(_T_22888, _T_22860) @[exu_mul_ctl.scala 137:112] + node _T_22890 = eq(_T_22889, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22891 = bits(_T_22890, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22892 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_22893 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22894 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22895 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22896 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22897 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22898 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22899 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22900 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22901 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22902 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22903 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22904 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22905 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22906 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22907 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22908 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22909 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22910 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22911 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22912 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22913 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22914 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22915 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22916 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22917 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22918 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22919 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22920 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_22921 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_22922 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_22923 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_22924 = add(_T_22893, _T_22894) @[exu_mul_ctl.scala 137:112] + node _T_22925 = add(_T_22924, _T_22895) @[exu_mul_ctl.scala 137:112] + node _T_22926 = add(_T_22925, _T_22896) @[exu_mul_ctl.scala 137:112] + node _T_22927 = add(_T_22926, _T_22897) @[exu_mul_ctl.scala 137:112] + node _T_22928 = add(_T_22927, _T_22898) @[exu_mul_ctl.scala 137:112] + node _T_22929 = add(_T_22928, _T_22899) @[exu_mul_ctl.scala 137:112] + node _T_22930 = add(_T_22929, _T_22900) @[exu_mul_ctl.scala 137:112] + node _T_22931 = add(_T_22930, _T_22901) @[exu_mul_ctl.scala 137:112] + node _T_22932 = add(_T_22931, _T_22902) @[exu_mul_ctl.scala 137:112] + node _T_22933 = add(_T_22932, _T_22903) @[exu_mul_ctl.scala 137:112] + node _T_22934 = add(_T_22933, _T_22904) @[exu_mul_ctl.scala 137:112] + node _T_22935 = add(_T_22934, _T_22905) @[exu_mul_ctl.scala 137:112] + node _T_22936 = add(_T_22935, _T_22906) @[exu_mul_ctl.scala 137:112] + node _T_22937 = add(_T_22936, _T_22907) @[exu_mul_ctl.scala 137:112] + node _T_22938 = add(_T_22937, _T_22908) @[exu_mul_ctl.scala 137:112] + node _T_22939 = add(_T_22938, _T_22909) @[exu_mul_ctl.scala 137:112] + node _T_22940 = add(_T_22939, _T_22910) @[exu_mul_ctl.scala 137:112] + node _T_22941 = add(_T_22940, _T_22911) @[exu_mul_ctl.scala 137:112] + node _T_22942 = add(_T_22941, _T_22912) @[exu_mul_ctl.scala 137:112] + node _T_22943 = add(_T_22942, _T_22913) @[exu_mul_ctl.scala 137:112] + node _T_22944 = add(_T_22943, _T_22914) @[exu_mul_ctl.scala 137:112] + node _T_22945 = add(_T_22944, _T_22915) @[exu_mul_ctl.scala 137:112] + node _T_22946 = add(_T_22945, _T_22916) @[exu_mul_ctl.scala 137:112] + node _T_22947 = add(_T_22946, _T_22917) @[exu_mul_ctl.scala 137:112] + node _T_22948 = add(_T_22947, _T_22918) @[exu_mul_ctl.scala 137:112] + node _T_22949 = add(_T_22948, _T_22919) @[exu_mul_ctl.scala 137:112] + node _T_22950 = add(_T_22949, _T_22920) @[exu_mul_ctl.scala 137:112] + node _T_22951 = add(_T_22950, _T_22921) @[exu_mul_ctl.scala 137:112] + node _T_22952 = add(_T_22951, _T_22922) @[exu_mul_ctl.scala 137:112] + node _T_22953 = add(_T_22952, _T_22923) @[exu_mul_ctl.scala 137:112] + node _T_22954 = eq(_T_22953, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22955 = bits(_T_22954, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22956 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_22957 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22958 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22959 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22960 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22961 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22962 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22963 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22964 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22965 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22966 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22967 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22968 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22969 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22970 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22971 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22972 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22973 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22974 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22975 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22976 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22977 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22978 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22979 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22980 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22981 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22982 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22983 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22984 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_22985 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_22986 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_22987 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_22988 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_22989 = add(_T_22957, _T_22958) @[exu_mul_ctl.scala 137:112] + node _T_22990 = add(_T_22989, _T_22959) @[exu_mul_ctl.scala 137:112] + node _T_22991 = add(_T_22990, _T_22960) @[exu_mul_ctl.scala 137:112] + node _T_22992 = add(_T_22991, _T_22961) @[exu_mul_ctl.scala 137:112] + node _T_22993 = add(_T_22992, _T_22962) @[exu_mul_ctl.scala 137:112] + node _T_22994 = add(_T_22993, _T_22963) @[exu_mul_ctl.scala 137:112] + node _T_22995 = add(_T_22994, _T_22964) @[exu_mul_ctl.scala 137:112] + node _T_22996 = add(_T_22995, _T_22965) @[exu_mul_ctl.scala 137:112] + node _T_22997 = add(_T_22996, _T_22966) @[exu_mul_ctl.scala 137:112] + node _T_22998 = add(_T_22997, _T_22967) @[exu_mul_ctl.scala 137:112] + node _T_22999 = add(_T_22998, _T_22968) @[exu_mul_ctl.scala 137:112] + node _T_23000 = add(_T_22999, _T_22969) @[exu_mul_ctl.scala 137:112] + node _T_23001 = add(_T_23000, _T_22970) @[exu_mul_ctl.scala 137:112] + node _T_23002 = add(_T_23001, _T_22971) @[exu_mul_ctl.scala 137:112] + node _T_23003 = add(_T_23002, _T_22972) @[exu_mul_ctl.scala 137:112] + node _T_23004 = add(_T_23003, _T_22973) @[exu_mul_ctl.scala 137:112] + node _T_23005 = add(_T_23004, _T_22974) @[exu_mul_ctl.scala 137:112] + node _T_23006 = add(_T_23005, _T_22975) @[exu_mul_ctl.scala 137:112] + node _T_23007 = add(_T_23006, _T_22976) @[exu_mul_ctl.scala 137:112] + node _T_23008 = add(_T_23007, _T_22977) @[exu_mul_ctl.scala 137:112] + node _T_23009 = add(_T_23008, _T_22978) @[exu_mul_ctl.scala 137:112] + node _T_23010 = add(_T_23009, _T_22979) @[exu_mul_ctl.scala 137:112] + node _T_23011 = add(_T_23010, _T_22980) @[exu_mul_ctl.scala 137:112] + node _T_23012 = add(_T_23011, _T_22981) @[exu_mul_ctl.scala 137:112] + node _T_23013 = add(_T_23012, _T_22982) @[exu_mul_ctl.scala 137:112] + node _T_23014 = add(_T_23013, _T_22983) @[exu_mul_ctl.scala 137:112] + node _T_23015 = add(_T_23014, _T_22984) @[exu_mul_ctl.scala 137:112] + node _T_23016 = add(_T_23015, _T_22985) @[exu_mul_ctl.scala 137:112] + node _T_23017 = add(_T_23016, _T_22986) @[exu_mul_ctl.scala 137:112] + node _T_23018 = add(_T_23017, _T_22987) @[exu_mul_ctl.scala 137:112] + node _T_23019 = add(_T_23018, _T_22988) @[exu_mul_ctl.scala 137:112] + node _T_23020 = eq(_T_23019, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_23021 = bits(_T_23020, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23022 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_23023 = mux(_T_23021, _T_23022, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_23024 = mux(_T_22955, _T_22956, _T_23023) @[Mux.scala 98:16] + node _T_23025 = mux(_T_22891, _T_22892, _T_23024) @[Mux.scala 98:16] + node _T_23026 = mux(_T_22829, _T_22830, _T_23025) @[Mux.scala 98:16] + node _T_23027 = mux(_T_22769, _T_22770, _T_23026) @[Mux.scala 98:16] + node _T_23028 = mux(_T_22711, _T_22712, _T_23027) @[Mux.scala 98:16] + node _T_23029 = mux(_T_22655, _T_22656, _T_23028) @[Mux.scala 98:16] + node _T_23030 = mux(_T_22601, _T_22602, _T_23029) @[Mux.scala 98:16] + node _T_23031 = mux(_T_22549, _T_22550, _T_23030) @[Mux.scala 98:16] + node _T_23032 = mux(_T_22499, _T_22500, _T_23031) @[Mux.scala 98:16] + node _T_23033 = mux(_T_22451, _T_22452, _T_23032) @[Mux.scala 98:16] + node _T_23034 = mux(_T_22405, _T_22406, _T_23033) @[Mux.scala 98:16] + node _T_23035 = mux(_T_22361, _T_22362, _T_23034) @[Mux.scala 98:16] + node _T_23036 = mux(_T_22319, _T_22320, _T_23035) @[Mux.scala 98:16] + node _T_23037 = mux(_T_22279, _T_22280, _T_23036) @[Mux.scala 98:16] + node _T_23038 = mux(_T_22241, _T_22242, _T_23037) @[Mux.scala 98:16] + node _T_23039 = mux(_T_22205, _T_22206, _T_23038) @[Mux.scala 98:16] + node _T_23040 = mux(_T_22171, _T_22172, _T_23039) @[Mux.scala 98:16] + node _T_23041 = mux(_T_22139, _T_22140, _T_23040) @[Mux.scala 98:16] + node _T_23042 = mux(_T_22109, _T_22110, _T_23041) @[Mux.scala 98:16] + node _T_23043 = mux(_T_22081, _T_22082, _T_23042) @[Mux.scala 98:16] + node _T_23044 = mux(_T_22055, _T_22056, _T_23043) @[Mux.scala 98:16] + node _T_23045 = mux(_T_22031, _T_22032, _T_23044) @[Mux.scala 98:16] + node _T_23046 = mux(_T_22009, _T_22010, _T_23045) @[Mux.scala 98:16] + node _T_23047 = mux(_T_21989, _T_21990, _T_23046) @[Mux.scala 98:16] + node _T_23048 = mux(_T_21971, _T_21972, _T_23047) @[Mux.scala 98:16] + node _T_23049 = mux(_T_21955, _T_21956, _T_23048) @[Mux.scala 98:16] + node _T_23050 = mux(_T_21941, _T_21942, _T_23049) @[Mux.scala 98:16] + node _T_23051 = mux(_T_21929, _T_21930, _T_23050) @[Mux.scala 98:16] + node _T_23052 = mux(_T_21919, _T_21920, _T_23051) @[Mux.scala 98:16] + node _T_23053 = mux(_T_21911, _T_21912, _T_23052) @[Mux.scala 98:16] + node _T_23054 = mux(_T_21905, _T_21906, _T_23053) @[Mux.scala 98:16] + node _T_23055 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_23056 = eq(_T_23055, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23057 = bits(_T_23056, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23058 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_23059 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23060 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23061 = add(_T_23059, _T_23060) @[exu_mul_ctl.scala 137:112] + node _T_23062 = eq(_T_23061, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23063 = bits(_T_23062, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23064 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_23065 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23066 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23067 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23068 = add(_T_23065, _T_23066) @[exu_mul_ctl.scala 137:112] + node _T_23069 = add(_T_23068, _T_23067) @[exu_mul_ctl.scala 137:112] + node _T_23070 = eq(_T_23069, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23071 = bits(_T_23070, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23072 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_23073 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23074 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23075 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23076 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23077 = add(_T_23073, _T_23074) @[exu_mul_ctl.scala 137:112] + node _T_23078 = add(_T_23077, _T_23075) @[exu_mul_ctl.scala 137:112] + node _T_23079 = add(_T_23078, _T_23076) @[exu_mul_ctl.scala 137:112] + node _T_23080 = eq(_T_23079, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23081 = bits(_T_23080, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23082 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_23083 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23084 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23085 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23086 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23087 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23088 = add(_T_23083, _T_23084) @[exu_mul_ctl.scala 137:112] + node _T_23089 = add(_T_23088, _T_23085) @[exu_mul_ctl.scala 137:112] + node _T_23090 = add(_T_23089, _T_23086) @[exu_mul_ctl.scala 137:112] + node _T_23091 = add(_T_23090, _T_23087) @[exu_mul_ctl.scala 137:112] + node _T_23092 = eq(_T_23091, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23093 = bits(_T_23092, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23094 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_23095 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23096 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23097 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23098 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23099 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23100 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23101 = add(_T_23095, _T_23096) @[exu_mul_ctl.scala 137:112] + node _T_23102 = add(_T_23101, _T_23097) @[exu_mul_ctl.scala 137:112] + node _T_23103 = add(_T_23102, _T_23098) @[exu_mul_ctl.scala 137:112] + node _T_23104 = add(_T_23103, _T_23099) @[exu_mul_ctl.scala 137:112] + node _T_23105 = add(_T_23104, _T_23100) @[exu_mul_ctl.scala 137:112] + node _T_23106 = eq(_T_23105, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23107 = bits(_T_23106, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23108 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_23109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23116 = add(_T_23109, _T_23110) @[exu_mul_ctl.scala 137:112] + node _T_23117 = add(_T_23116, _T_23111) @[exu_mul_ctl.scala 137:112] + node _T_23118 = add(_T_23117, _T_23112) @[exu_mul_ctl.scala 137:112] + node _T_23119 = add(_T_23118, _T_23113) @[exu_mul_ctl.scala 137:112] + node _T_23120 = add(_T_23119, _T_23114) @[exu_mul_ctl.scala 137:112] + node _T_23121 = add(_T_23120, _T_23115) @[exu_mul_ctl.scala 137:112] + node _T_23122 = eq(_T_23121, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23123 = bits(_T_23122, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23124 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_23125 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23126 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23127 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23128 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23129 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23130 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23131 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23132 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23133 = add(_T_23125, _T_23126) @[exu_mul_ctl.scala 137:112] + node _T_23134 = add(_T_23133, _T_23127) @[exu_mul_ctl.scala 137:112] + node _T_23135 = add(_T_23134, _T_23128) @[exu_mul_ctl.scala 137:112] + node _T_23136 = add(_T_23135, _T_23129) @[exu_mul_ctl.scala 137:112] + node _T_23137 = add(_T_23136, _T_23130) @[exu_mul_ctl.scala 137:112] + node _T_23138 = add(_T_23137, _T_23131) @[exu_mul_ctl.scala 137:112] + node _T_23139 = add(_T_23138, _T_23132) @[exu_mul_ctl.scala 137:112] + node _T_23140 = eq(_T_23139, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23141 = bits(_T_23140, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23142 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_23143 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23144 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23145 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23146 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23147 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23148 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23149 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23150 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23151 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23152 = add(_T_23143, _T_23144) @[exu_mul_ctl.scala 137:112] + node _T_23153 = add(_T_23152, _T_23145) @[exu_mul_ctl.scala 137:112] + node _T_23154 = add(_T_23153, _T_23146) @[exu_mul_ctl.scala 137:112] + node _T_23155 = add(_T_23154, _T_23147) @[exu_mul_ctl.scala 137:112] + node _T_23156 = add(_T_23155, _T_23148) @[exu_mul_ctl.scala 137:112] + node _T_23157 = add(_T_23156, _T_23149) @[exu_mul_ctl.scala 137:112] + node _T_23158 = add(_T_23157, _T_23150) @[exu_mul_ctl.scala 137:112] + node _T_23159 = add(_T_23158, _T_23151) @[exu_mul_ctl.scala 137:112] + node _T_23160 = eq(_T_23159, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23161 = bits(_T_23160, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23162 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_23163 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23164 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23165 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23166 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23167 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23168 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23169 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23170 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23171 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23172 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23173 = add(_T_23163, _T_23164) @[exu_mul_ctl.scala 137:112] + node _T_23174 = add(_T_23173, _T_23165) @[exu_mul_ctl.scala 137:112] + node _T_23175 = add(_T_23174, _T_23166) @[exu_mul_ctl.scala 137:112] + node _T_23176 = add(_T_23175, _T_23167) @[exu_mul_ctl.scala 137:112] + node _T_23177 = add(_T_23176, _T_23168) @[exu_mul_ctl.scala 137:112] + node _T_23178 = add(_T_23177, _T_23169) @[exu_mul_ctl.scala 137:112] + node _T_23179 = add(_T_23178, _T_23170) @[exu_mul_ctl.scala 137:112] + node _T_23180 = add(_T_23179, _T_23171) @[exu_mul_ctl.scala 137:112] + node _T_23181 = add(_T_23180, _T_23172) @[exu_mul_ctl.scala 137:112] + node _T_23182 = eq(_T_23181, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23183 = bits(_T_23182, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23184 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_23185 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23186 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23187 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23188 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23189 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23190 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23191 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23192 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23193 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23194 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23195 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23196 = add(_T_23185, _T_23186) @[exu_mul_ctl.scala 137:112] + node _T_23197 = add(_T_23196, _T_23187) @[exu_mul_ctl.scala 137:112] + node _T_23198 = add(_T_23197, _T_23188) @[exu_mul_ctl.scala 137:112] + node _T_23199 = add(_T_23198, _T_23189) @[exu_mul_ctl.scala 137:112] + node _T_23200 = add(_T_23199, _T_23190) @[exu_mul_ctl.scala 137:112] + node _T_23201 = add(_T_23200, _T_23191) @[exu_mul_ctl.scala 137:112] + node _T_23202 = add(_T_23201, _T_23192) @[exu_mul_ctl.scala 137:112] + node _T_23203 = add(_T_23202, _T_23193) @[exu_mul_ctl.scala 137:112] + node _T_23204 = add(_T_23203, _T_23194) @[exu_mul_ctl.scala 137:112] + node _T_23205 = add(_T_23204, _T_23195) @[exu_mul_ctl.scala 137:112] + node _T_23206 = eq(_T_23205, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23207 = bits(_T_23206, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23208 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_23209 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23210 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23211 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23212 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23213 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23214 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23215 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23216 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23217 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23218 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23219 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23220 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23221 = add(_T_23209, _T_23210) @[exu_mul_ctl.scala 137:112] + node _T_23222 = add(_T_23221, _T_23211) @[exu_mul_ctl.scala 137:112] + node _T_23223 = add(_T_23222, _T_23212) @[exu_mul_ctl.scala 137:112] + node _T_23224 = add(_T_23223, _T_23213) @[exu_mul_ctl.scala 137:112] + node _T_23225 = add(_T_23224, _T_23214) @[exu_mul_ctl.scala 137:112] + node _T_23226 = add(_T_23225, _T_23215) @[exu_mul_ctl.scala 137:112] + node _T_23227 = add(_T_23226, _T_23216) @[exu_mul_ctl.scala 137:112] + node _T_23228 = add(_T_23227, _T_23217) @[exu_mul_ctl.scala 137:112] + node _T_23229 = add(_T_23228, _T_23218) @[exu_mul_ctl.scala 137:112] + node _T_23230 = add(_T_23229, _T_23219) @[exu_mul_ctl.scala 137:112] + node _T_23231 = add(_T_23230, _T_23220) @[exu_mul_ctl.scala 137:112] + node _T_23232 = eq(_T_23231, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23233 = bits(_T_23232, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23234 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_23235 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23236 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23237 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23238 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23239 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23240 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23241 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23242 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23243 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23244 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23245 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23246 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23247 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23248 = add(_T_23235, _T_23236) @[exu_mul_ctl.scala 137:112] + node _T_23249 = add(_T_23248, _T_23237) @[exu_mul_ctl.scala 137:112] + node _T_23250 = add(_T_23249, _T_23238) @[exu_mul_ctl.scala 137:112] + node _T_23251 = add(_T_23250, _T_23239) @[exu_mul_ctl.scala 137:112] + node _T_23252 = add(_T_23251, _T_23240) @[exu_mul_ctl.scala 137:112] + node _T_23253 = add(_T_23252, _T_23241) @[exu_mul_ctl.scala 137:112] + node _T_23254 = add(_T_23253, _T_23242) @[exu_mul_ctl.scala 137:112] + node _T_23255 = add(_T_23254, _T_23243) @[exu_mul_ctl.scala 137:112] + node _T_23256 = add(_T_23255, _T_23244) @[exu_mul_ctl.scala 137:112] + node _T_23257 = add(_T_23256, _T_23245) @[exu_mul_ctl.scala 137:112] + node _T_23258 = add(_T_23257, _T_23246) @[exu_mul_ctl.scala 137:112] + node _T_23259 = add(_T_23258, _T_23247) @[exu_mul_ctl.scala 137:112] + node _T_23260 = eq(_T_23259, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23261 = bits(_T_23260, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23262 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_23263 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23264 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23265 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23266 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23267 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23268 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23269 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23270 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23271 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23272 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23273 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23274 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23275 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23276 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23277 = add(_T_23263, _T_23264) @[exu_mul_ctl.scala 137:112] + node _T_23278 = add(_T_23277, _T_23265) @[exu_mul_ctl.scala 137:112] + node _T_23279 = add(_T_23278, _T_23266) @[exu_mul_ctl.scala 137:112] + node _T_23280 = add(_T_23279, _T_23267) @[exu_mul_ctl.scala 137:112] + node _T_23281 = add(_T_23280, _T_23268) @[exu_mul_ctl.scala 137:112] + node _T_23282 = add(_T_23281, _T_23269) @[exu_mul_ctl.scala 137:112] + node _T_23283 = add(_T_23282, _T_23270) @[exu_mul_ctl.scala 137:112] + node _T_23284 = add(_T_23283, _T_23271) @[exu_mul_ctl.scala 137:112] + node _T_23285 = add(_T_23284, _T_23272) @[exu_mul_ctl.scala 137:112] + node _T_23286 = add(_T_23285, _T_23273) @[exu_mul_ctl.scala 137:112] + node _T_23287 = add(_T_23286, _T_23274) @[exu_mul_ctl.scala 137:112] + node _T_23288 = add(_T_23287, _T_23275) @[exu_mul_ctl.scala 137:112] + node _T_23289 = add(_T_23288, _T_23276) @[exu_mul_ctl.scala 137:112] + node _T_23290 = eq(_T_23289, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23291 = bits(_T_23290, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23292 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_23293 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23294 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23295 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23296 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23297 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23298 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23299 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23300 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23301 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23302 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23303 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23304 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23305 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23306 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23307 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23308 = add(_T_23293, _T_23294) @[exu_mul_ctl.scala 137:112] + node _T_23309 = add(_T_23308, _T_23295) @[exu_mul_ctl.scala 137:112] + node _T_23310 = add(_T_23309, _T_23296) @[exu_mul_ctl.scala 137:112] + node _T_23311 = add(_T_23310, _T_23297) @[exu_mul_ctl.scala 137:112] + node _T_23312 = add(_T_23311, _T_23298) @[exu_mul_ctl.scala 137:112] + node _T_23313 = add(_T_23312, _T_23299) @[exu_mul_ctl.scala 137:112] + node _T_23314 = add(_T_23313, _T_23300) @[exu_mul_ctl.scala 137:112] + node _T_23315 = add(_T_23314, _T_23301) @[exu_mul_ctl.scala 137:112] + node _T_23316 = add(_T_23315, _T_23302) @[exu_mul_ctl.scala 137:112] + node _T_23317 = add(_T_23316, _T_23303) @[exu_mul_ctl.scala 137:112] + node _T_23318 = add(_T_23317, _T_23304) @[exu_mul_ctl.scala 137:112] + node _T_23319 = add(_T_23318, _T_23305) @[exu_mul_ctl.scala 137:112] + node _T_23320 = add(_T_23319, _T_23306) @[exu_mul_ctl.scala 137:112] + node _T_23321 = add(_T_23320, _T_23307) @[exu_mul_ctl.scala 137:112] + node _T_23322 = eq(_T_23321, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23323 = bits(_T_23322, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23324 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_23325 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23326 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23327 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23328 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23329 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23330 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23331 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23332 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23333 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23334 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23335 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23336 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23337 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23338 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23339 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23340 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23341 = add(_T_23325, _T_23326) @[exu_mul_ctl.scala 137:112] + node _T_23342 = add(_T_23341, _T_23327) @[exu_mul_ctl.scala 137:112] + node _T_23343 = add(_T_23342, _T_23328) @[exu_mul_ctl.scala 137:112] + node _T_23344 = add(_T_23343, _T_23329) @[exu_mul_ctl.scala 137:112] + node _T_23345 = add(_T_23344, _T_23330) @[exu_mul_ctl.scala 137:112] + node _T_23346 = add(_T_23345, _T_23331) @[exu_mul_ctl.scala 137:112] + node _T_23347 = add(_T_23346, _T_23332) @[exu_mul_ctl.scala 137:112] + node _T_23348 = add(_T_23347, _T_23333) @[exu_mul_ctl.scala 137:112] + node _T_23349 = add(_T_23348, _T_23334) @[exu_mul_ctl.scala 137:112] + node _T_23350 = add(_T_23349, _T_23335) @[exu_mul_ctl.scala 137:112] + node _T_23351 = add(_T_23350, _T_23336) @[exu_mul_ctl.scala 137:112] + node _T_23352 = add(_T_23351, _T_23337) @[exu_mul_ctl.scala 137:112] + node _T_23353 = add(_T_23352, _T_23338) @[exu_mul_ctl.scala 137:112] + node _T_23354 = add(_T_23353, _T_23339) @[exu_mul_ctl.scala 137:112] + node _T_23355 = add(_T_23354, _T_23340) @[exu_mul_ctl.scala 137:112] + node _T_23356 = eq(_T_23355, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23357 = bits(_T_23356, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23358 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_23359 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23360 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23361 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23362 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23363 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23364 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23365 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23366 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23367 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23368 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23369 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23370 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23371 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23372 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23373 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23374 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23375 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23376 = add(_T_23359, _T_23360) @[exu_mul_ctl.scala 137:112] + node _T_23377 = add(_T_23376, _T_23361) @[exu_mul_ctl.scala 137:112] + node _T_23378 = add(_T_23377, _T_23362) @[exu_mul_ctl.scala 137:112] + node _T_23379 = add(_T_23378, _T_23363) @[exu_mul_ctl.scala 137:112] + node _T_23380 = add(_T_23379, _T_23364) @[exu_mul_ctl.scala 137:112] + node _T_23381 = add(_T_23380, _T_23365) @[exu_mul_ctl.scala 137:112] + node _T_23382 = add(_T_23381, _T_23366) @[exu_mul_ctl.scala 137:112] + node _T_23383 = add(_T_23382, _T_23367) @[exu_mul_ctl.scala 137:112] + node _T_23384 = add(_T_23383, _T_23368) @[exu_mul_ctl.scala 137:112] + node _T_23385 = add(_T_23384, _T_23369) @[exu_mul_ctl.scala 137:112] + node _T_23386 = add(_T_23385, _T_23370) @[exu_mul_ctl.scala 137:112] + node _T_23387 = add(_T_23386, _T_23371) @[exu_mul_ctl.scala 137:112] + node _T_23388 = add(_T_23387, _T_23372) @[exu_mul_ctl.scala 137:112] + node _T_23389 = add(_T_23388, _T_23373) @[exu_mul_ctl.scala 137:112] + node _T_23390 = add(_T_23389, _T_23374) @[exu_mul_ctl.scala 137:112] + node _T_23391 = add(_T_23390, _T_23375) @[exu_mul_ctl.scala 137:112] + node _T_23392 = eq(_T_23391, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23393 = bits(_T_23392, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23394 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_23395 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23396 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23397 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23398 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23399 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23400 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23401 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23402 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23403 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23404 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23405 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23406 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23407 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23408 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23409 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23410 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23411 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23412 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23413 = add(_T_23395, _T_23396) @[exu_mul_ctl.scala 137:112] + node _T_23414 = add(_T_23413, _T_23397) @[exu_mul_ctl.scala 137:112] + node _T_23415 = add(_T_23414, _T_23398) @[exu_mul_ctl.scala 137:112] + node _T_23416 = add(_T_23415, _T_23399) @[exu_mul_ctl.scala 137:112] + node _T_23417 = add(_T_23416, _T_23400) @[exu_mul_ctl.scala 137:112] + node _T_23418 = add(_T_23417, _T_23401) @[exu_mul_ctl.scala 137:112] + node _T_23419 = add(_T_23418, _T_23402) @[exu_mul_ctl.scala 137:112] + node _T_23420 = add(_T_23419, _T_23403) @[exu_mul_ctl.scala 137:112] + node _T_23421 = add(_T_23420, _T_23404) @[exu_mul_ctl.scala 137:112] + node _T_23422 = add(_T_23421, _T_23405) @[exu_mul_ctl.scala 137:112] + node _T_23423 = add(_T_23422, _T_23406) @[exu_mul_ctl.scala 137:112] + node _T_23424 = add(_T_23423, _T_23407) @[exu_mul_ctl.scala 137:112] + node _T_23425 = add(_T_23424, _T_23408) @[exu_mul_ctl.scala 137:112] + node _T_23426 = add(_T_23425, _T_23409) @[exu_mul_ctl.scala 137:112] + node _T_23427 = add(_T_23426, _T_23410) @[exu_mul_ctl.scala 137:112] + node _T_23428 = add(_T_23427, _T_23411) @[exu_mul_ctl.scala 137:112] + node _T_23429 = add(_T_23428, _T_23412) @[exu_mul_ctl.scala 137:112] + node _T_23430 = eq(_T_23429, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23431 = bits(_T_23430, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23432 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_23433 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23434 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23435 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23436 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23437 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23438 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23439 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23440 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23441 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23442 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23443 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23444 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23445 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23446 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23447 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23448 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23449 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23450 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23451 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23452 = add(_T_23433, _T_23434) @[exu_mul_ctl.scala 137:112] + node _T_23453 = add(_T_23452, _T_23435) @[exu_mul_ctl.scala 137:112] + node _T_23454 = add(_T_23453, _T_23436) @[exu_mul_ctl.scala 137:112] + node _T_23455 = add(_T_23454, _T_23437) @[exu_mul_ctl.scala 137:112] + node _T_23456 = add(_T_23455, _T_23438) @[exu_mul_ctl.scala 137:112] + node _T_23457 = add(_T_23456, _T_23439) @[exu_mul_ctl.scala 137:112] + node _T_23458 = add(_T_23457, _T_23440) @[exu_mul_ctl.scala 137:112] + node _T_23459 = add(_T_23458, _T_23441) @[exu_mul_ctl.scala 137:112] + node _T_23460 = add(_T_23459, _T_23442) @[exu_mul_ctl.scala 137:112] + node _T_23461 = add(_T_23460, _T_23443) @[exu_mul_ctl.scala 137:112] + node _T_23462 = add(_T_23461, _T_23444) @[exu_mul_ctl.scala 137:112] + node _T_23463 = add(_T_23462, _T_23445) @[exu_mul_ctl.scala 137:112] + node _T_23464 = add(_T_23463, _T_23446) @[exu_mul_ctl.scala 137:112] + node _T_23465 = add(_T_23464, _T_23447) @[exu_mul_ctl.scala 137:112] + node _T_23466 = add(_T_23465, _T_23448) @[exu_mul_ctl.scala 137:112] + node _T_23467 = add(_T_23466, _T_23449) @[exu_mul_ctl.scala 137:112] + node _T_23468 = add(_T_23467, _T_23450) @[exu_mul_ctl.scala 137:112] + node _T_23469 = add(_T_23468, _T_23451) @[exu_mul_ctl.scala 137:112] + node _T_23470 = eq(_T_23469, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23471 = bits(_T_23470, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23472 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_23473 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23474 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23475 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23476 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23477 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23478 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23479 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23480 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23481 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23482 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23483 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23484 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23485 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23486 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23487 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23488 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23489 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23490 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23491 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23492 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23493 = add(_T_23473, _T_23474) @[exu_mul_ctl.scala 137:112] + node _T_23494 = add(_T_23493, _T_23475) @[exu_mul_ctl.scala 137:112] + node _T_23495 = add(_T_23494, _T_23476) @[exu_mul_ctl.scala 137:112] + node _T_23496 = add(_T_23495, _T_23477) @[exu_mul_ctl.scala 137:112] + node _T_23497 = add(_T_23496, _T_23478) @[exu_mul_ctl.scala 137:112] + node _T_23498 = add(_T_23497, _T_23479) @[exu_mul_ctl.scala 137:112] + node _T_23499 = add(_T_23498, _T_23480) @[exu_mul_ctl.scala 137:112] + node _T_23500 = add(_T_23499, _T_23481) @[exu_mul_ctl.scala 137:112] + node _T_23501 = add(_T_23500, _T_23482) @[exu_mul_ctl.scala 137:112] + node _T_23502 = add(_T_23501, _T_23483) @[exu_mul_ctl.scala 137:112] + node _T_23503 = add(_T_23502, _T_23484) @[exu_mul_ctl.scala 137:112] + node _T_23504 = add(_T_23503, _T_23485) @[exu_mul_ctl.scala 137:112] + node _T_23505 = add(_T_23504, _T_23486) @[exu_mul_ctl.scala 137:112] + node _T_23506 = add(_T_23505, _T_23487) @[exu_mul_ctl.scala 137:112] + node _T_23507 = add(_T_23506, _T_23488) @[exu_mul_ctl.scala 137:112] + node _T_23508 = add(_T_23507, _T_23489) @[exu_mul_ctl.scala 137:112] + node _T_23509 = add(_T_23508, _T_23490) @[exu_mul_ctl.scala 137:112] + node _T_23510 = add(_T_23509, _T_23491) @[exu_mul_ctl.scala 137:112] + node _T_23511 = add(_T_23510, _T_23492) @[exu_mul_ctl.scala 137:112] + node _T_23512 = eq(_T_23511, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23513 = bits(_T_23512, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23514 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_23515 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23516 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23517 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23518 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23519 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23520 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23521 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23522 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23523 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23524 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23525 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23526 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23527 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23528 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23529 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23530 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23531 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23532 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23533 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23534 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23535 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23536 = add(_T_23515, _T_23516) @[exu_mul_ctl.scala 137:112] + node _T_23537 = add(_T_23536, _T_23517) @[exu_mul_ctl.scala 137:112] + node _T_23538 = add(_T_23537, _T_23518) @[exu_mul_ctl.scala 137:112] + node _T_23539 = add(_T_23538, _T_23519) @[exu_mul_ctl.scala 137:112] + node _T_23540 = add(_T_23539, _T_23520) @[exu_mul_ctl.scala 137:112] + node _T_23541 = add(_T_23540, _T_23521) @[exu_mul_ctl.scala 137:112] + node _T_23542 = add(_T_23541, _T_23522) @[exu_mul_ctl.scala 137:112] + node _T_23543 = add(_T_23542, _T_23523) @[exu_mul_ctl.scala 137:112] + node _T_23544 = add(_T_23543, _T_23524) @[exu_mul_ctl.scala 137:112] + node _T_23545 = add(_T_23544, _T_23525) @[exu_mul_ctl.scala 137:112] + node _T_23546 = add(_T_23545, _T_23526) @[exu_mul_ctl.scala 137:112] + node _T_23547 = add(_T_23546, _T_23527) @[exu_mul_ctl.scala 137:112] + node _T_23548 = add(_T_23547, _T_23528) @[exu_mul_ctl.scala 137:112] + node _T_23549 = add(_T_23548, _T_23529) @[exu_mul_ctl.scala 137:112] + node _T_23550 = add(_T_23549, _T_23530) @[exu_mul_ctl.scala 137:112] + node _T_23551 = add(_T_23550, _T_23531) @[exu_mul_ctl.scala 137:112] + node _T_23552 = add(_T_23551, _T_23532) @[exu_mul_ctl.scala 137:112] + node _T_23553 = add(_T_23552, _T_23533) @[exu_mul_ctl.scala 137:112] + node _T_23554 = add(_T_23553, _T_23534) @[exu_mul_ctl.scala 137:112] + node _T_23555 = add(_T_23554, _T_23535) @[exu_mul_ctl.scala 137:112] + node _T_23556 = eq(_T_23555, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23557 = bits(_T_23556, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23558 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_23559 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23560 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23561 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23562 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23563 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23564 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23565 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23566 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23567 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23568 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23569 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23570 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23571 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23572 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23573 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23574 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23575 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23576 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23577 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23578 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23579 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23580 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23581 = add(_T_23559, _T_23560) @[exu_mul_ctl.scala 137:112] + node _T_23582 = add(_T_23581, _T_23561) @[exu_mul_ctl.scala 137:112] + node _T_23583 = add(_T_23582, _T_23562) @[exu_mul_ctl.scala 137:112] + node _T_23584 = add(_T_23583, _T_23563) @[exu_mul_ctl.scala 137:112] + node _T_23585 = add(_T_23584, _T_23564) @[exu_mul_ctl.scala 137:112] + node _T_23586 = add(_T_23585, _T_23565) @[exu_mul_ctl.scala 137:112] + node _T_23587 = add(_T_23586, _T_23566) @[exu_mul_ctl.scala 137:112] + node _T_23588 = add(_T_23587, _T_23567) @[exu_mul_ctl.scala 137:112] + node _T_23589 = add(_T_23588, _T_23568) @[exu_mul_ctl.scala 137:112] + node _T_23590 = add(_T_23589, _T_23569) @[exu_mul_ctl.scala 137:112] + node _T_23591 = add(_T_23590, _T_23570) @[exu_mul_ctl.scala 137:112] + node _T_23592 = add(_T_23591, _T_23571) @[exu_mul_ctl.scala 137:112] + node _T_23593 = add(_T_23592, _T_23572) @[exu_mul_ctl.scala 137:112] + node _T_23594 = add(_T_23593, _T_23573) @[exu_mul_ctl.scala 137:112] + node _T_23595 = add(_T_23594, _T_23574) @[exu_mul_ctl.scala 137:112] + node _T_23596 = add(_T_23595, _T_23575) @[exu_mul_ctl.scala 137:112] + node _T_23597 = add(_T_23596, _T_23576) @[exu_mul_ctl.scala 137:112] + node _T_23598 = add(_T_23597, _T_23577) @[exu_mul_ctl.scala 137:112] + node _T_23599 = add(_T_23598, _T_23578) @[exu_mul_ctl.scala 137:112] + node _T_23600 = add(_T_23599, _T_23579) @[exu_mul_ctl.scala 137:112] + node _T_23601 = add(_T_23600, _T_23580) @[exu_mul_ctl.scala 137:112] + node _T_23602 = eq(_T_23601, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23603 = bits(_T_23602, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23604 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_23605 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23606 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23607 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23608 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23609 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23610 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23611 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23612 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23613 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23614 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23615 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23616 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23617 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23618 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23619 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23620 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23621 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23622 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23623 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23624 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23625 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23626 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23627 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23628 = add(_T_23605, _T_23606) @[exu_mul_ctl.scala 137:112] + node _T_23629 = add(_T_23628, _T_23607) @[exu_mul_ctl.scala 137:112] + node _T_23630 = add(_T_23629, _T_23608) @[exu_mul_ctl.scala 137:112] + node _T_23631 = add(_T_23630, _T_23609) @[exu_mul_ctl.scala 137:112] + node _T_23632 = add(_T_23631, _T_23610) @[exu_mul_ctl.scala 137:112] + node _T_23633 = add(_T_23632, _T_23611) @[exu_mul_ctl.scala 137:112] + node _T_23634 = add(_T_23633, _T_23612) @[exu_mul_ctl.scala 137:112] + node _T_23635 = add(_T_23634, _T_23613) @[exu_mul_ctl.scala 137:112] + node _T_23636 = add(_T_23635, _T_23614) @[exu_mul_ctl.scala 137:112] + node _T_23637 = add(_T_23636, _T_23615) @[exu_mul_ctl.scala 137:112] + node _T_23638 = add(_T_23637, _T_23616) @[exu_mul_ctl.scala 137:112] + node _T_23639 = add(_T_23638, _T_23617) @[exu_mul_ctl.scala 137:112] + node _T_23640 = add(_T_23639, _T_23618) @[exu_mul_ctl.scala 137:112] + node _T_23641 = add(_T_23640, _T_23619) @[exu_mul_ctl.scala 137:112] + node _T_23642 = add(_T_23641, _T_23620) @[exu_mul_ctl.scala 137:112] + node _T_23643 = add(_T_23642, _T_23621) @[exu_mul_ctl.scala 137:112] + node _T_23644 = add(_T_23643, _T_23622) @[exu_mul_ctl.scala 137:112] + node _T_23645 = add(_T_23644, _T_23623) @[exu_mul_ctl.scala 137:112] + node _T_23646 = add(_T_23645, _T_23624) @[exu_mul_ctl.scala 137:112] + node _T_23647 = add(_T_23646, _T_23625) @[exu_mul_ctl.scala 137:112] + node _T_23648 = add(_T_23647, _T_23626) @[exu_mul_ctl.scala 137:112] + node _T_23649 = add(_T_23648, _T_23627) @[exu_mul_ctl.scala 137:112] + node _T_23650 = eq(_T_23649, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23651 = bits(_T_23650, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23652 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_23653 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23654 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23655 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23656 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23657 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23658 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23659 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23660 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23661 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23662 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23663 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23664 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23665 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23666 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23667 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23668 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23669 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23670 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23671 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23672 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23673 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23674 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23675 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23676 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23677 = add(_T_23653, _T_23654) @[exu_mul_ctl.scala 137:112] + node _T_23678 = add(_T_23677, _T_23655) @[exu_mul_ctl.scala 137:112] + node _T_23679 = add(_T_23678, _T_23656) @[exu_mul_ctl.scala 137:112] + node _T_23680 = add(_T_23679, _T_23657) @[exu_mul_ctl.scala 137:112] + node _T_23681 = add(_T_23680, _T_23658) @[exu_mul_ctl.scala 137:112] + node _T_23682 = add(_T_23681, _T_23659) @[exu_mul_ctl.scala 137:112] + node _T_23683 = add(_T_23682, _T_23660) @[exu_mul_ctl.scala 137:112] + node _T_23684 = add(_T_23683, _T_23661) @[exu_mul_ctl.scala 137:112] + node _T_23685 = add(_T_23684, _T_23662) @[exu_mul_ctl.scala 137:112] + node _T_23686 = add(_T_23685, _T_23663) @[exu_mul_ctl.scala 137:112] + node _T_23687 = add(_T_23686, _T_23664) @[exu_mul_ctl.scala 137:112] + node _T_23688 = add(_T_23687, _T_23665) @[exu_mul_ctl.scala 137:112] + node _T_23689 = add(_T_23688, _T_23666) @[exu_mul_ctl.scala 137:112] + node _T_23690 = add(_T_23689, _T_23667) @[exu_mul_ctl.scala 137:112] + node _T_23691 = add(_T_23690, _T_23668) @[exu_mul_ctl.scala 137:112] + node _T_23692 = add(_T_23691, _T_23669) @[exu_mul_ctl.scala 137:112] + node _T_23693 = add(_T_23692, _T_23670) @[exu_mul_ctl.scala 137:112] + node _T_23694 = add(_T_23693, _T_23671) @[exu_mul_ctl.scala 137:112] + node _T_23695 = add(_T_23694, _T_23672) @[exu_mul_ctl.scala 137:112] + node _T_23696 = add(_T_23695, _T_23673) @[exu_mul_ctl.scala 137:112] + node _T_23697 = add(_T_23696, _T_23674) @[exu_mul_ctl.scala 137:112] + node _T_23698 = add(_T_23697, _T_23675) @[exu_mul_ctl.scala 137:112] + node _T_23699 = add(_T_23698, _T_23676) @[exu_mul_ctl.scala 137:112] + node _T_23700 = eq(_T_23699, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23701 = bits(_T_23700, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23702 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_23703 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23704 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23705 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23706 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23707 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23708 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23709 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23710 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23711 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23712 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23713 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23714 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23715 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23716 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23717 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23718 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23719 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23720 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23721 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23722 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23723 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23724 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23725 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23726 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23727 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_23728 = add(_T_23703, _T_23704) @[exu_mul_ctl.scala 137:112] + node _T_23729 = add(_T_23728, _T_23705) @[exu_mul_ctl.scala 137:112] + node _T_23730 = add(_T_23729, _T_23706) @[exu_mul_ctl.scala 137:112] + node _T_23731 = add(_T_23730, _T_23707) @[exu_mul_ctl.scala 137:112] + node _T_23732 = add(_T_23731, _T_23708) @[exu_mul_ctl.scala 137:112] + node _T_23733 = add(_T_23732, _T_23709) @[exu_mul_ctl.scala 137:112] + node _T_23734 = add(_T_23733, _T_23710) @[exu_mul_ctl.scala 137:112] + node _T_23735 = add(_T_23734, _T_23711) @[exu_mul_ctl.scala 137:112] + node _T_23736 = add(_T_23735, _T_23712) @[exu_mul_ctl.scala 137:112] + node _T_23737 = add(_T_23736, _T_23713) @[exu_mul_ctl.scala 137:112] + node _T_23738 = add(_T_23737, _T_23714) @[exu_mul_ctl.scala 137:112] + node _T_23739 = add(_T_23738, _T_23715) @[exu_mul_ctl.scala 137:112] + node _T_23740 = add(_T_23739, _T_23716) @[exu_mul_ctl.scala 137:112] + node _T_23741 = add(_T_23740, _T_23717) @[exu_mul_ctl.scala 137:112] + node _T_23742 = add(_T_23741, _T_23718) @[exu_mul_ctl.scala 137:112] + node _T_23743 = add(_T_23742, _T_23719) @[exu_mul_ctl.scala 137:112] + node _T_23744 = add(_T_23743, _T_23720) @[exu_mul_ctl.scala 137:112] + node _T_23745 = add(_T_23744, _T_23721) @[exu_mul_ctl.scala 137:112] + node _T_23746 = add(_T_23745, _T_23722) @[exu_mul_ctl.scala 137:112] + node _T_23747 = add(_T_23746, _T_23723) @[exu_mul_ctl.scala 137:112] + node _T_23748 = add(_T_23747, _T_23724) @[exu_mul_ctl.scala 137:112] + node _T_23749 = add(_T_23748, _T_23725) @[exu_mul_ctl.scala 137:112] + node _T_23750 = add(_T_23749, _T_23726) @[exu_mul_ctl.scala 137:112] + node _T_23751 = add(_T_23750, _T_23727) @[exu_mul_ctl.scala 137:112] + node _T_23752 = eq(_T_23751, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23753 = bits(_T_23752, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23754 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_23755 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23756 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23757 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23758 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23759 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23760 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23761 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23762 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23763 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23764 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23765 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23766 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23767 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23768 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23769 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23770 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23771 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23772 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23773 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23774 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23775 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23776 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23777 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23778 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23779 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_23780 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_23781 = add(_T_23755, _T_23756) @[exu_mul_ctl.scala 137:112] + node _T_23782 = add(_T_23781, _T_23757) @[exu_mul_ctl.scala 137:112] + node _T_23783 = add(_T_23782, _T_23758) @[exu_mul_ctl.scala 137:112] + node _T_23784 = add(_T_23783, _T_23759) @[exu_mul_ctl.scala 137:112] + node _T_23785 = add(_T_23784, _T_23760) @[exu_mul_ctl.scala 137:112] + node _T_23786 = add(_T_23785, _T_23761) @[exu_mul_ctl.scala 137:112] + node _T_23787 = add(_T_23786, _T_23762) @[exu_mul_ctl.scala 137:112] + node _T_23788 = add(_T_23787, _T_23763) @[exu_mul_ctl.scala 137:112] + node _T_23789 = add(_T_23788, _T_23764) @[exu_mul_ctl.scala 137:112] + node _T_23790 = add(_T_23789, _T_23765) @[exu_mul_ctl.scala 137:112] + node _T_23791 = add(_T_23790, _T_23766) @[exu_mul_ctl.scala 137:112] + node _T_23792 = add(_T_23791, _T_23767) @[exu_mul_ctl.scala 137:112] + node _T_23793 = add(_T_23792, _T_23768) @[exu_mul_ctl.scala 137:112] + node _T_23794 = add(_T_23793, _T_23769) @[exu_mul_ctl.scala 137:112] + node _T_23795 = add(_T_23794, _T_23770) @[exu_mul_ctl.scala 137:112] + node _T_23796 = add(_T_23795, _T_23771) @[exu_mul_ctl.scala 137:112] + node _T_23797 = add(_T_23796, _T_23772) @[exu_mul_ctl.scala 137:112] + node _T_23798 = add(_T_23797, _T_23773) @[exu_mul_ctl.scala 137:112] + node _T_23799 = add(_T_23798, _T_23774) @[exu_mul_ctl.scala 137:112] + node _T_23800 = add(_T_23799, _T_23775) @[exu_mul_ctl.scala 137:112] + node _T_23801 = add(_T_23800, _T_23776) @[exu_mul_ctl.scala 137:112] + node _T_23802 = add(_T_23801, _T_23777) @[exu_mul_ctl.scala 137:112] + node _T_23803 = add(_T_23802, _T_23778) @[exu_mul_ctl.scala 137:112] + node _T_23804 = add(_T_23803, _T_23779) @[exu_mul_ctl.scala 137:112] + node _T_23805 = add(_T_23804, _T_23780) @[exu_mul_ctl.scala 137:112] + node _T_23806 = eq(_T_23805, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23807 = bits(_T_23806, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23808 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_23809 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23810 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23811 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23812 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23813 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23814 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23815 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23816 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23817 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23818 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23819 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23820 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23821 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23822 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23823 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23824 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23825 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23826 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23827 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23828 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23829 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23830 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23831 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23832 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23833 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_23834 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_23835 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_23836 = add(_T_23809, _T_23810) @[exu_mul_ctl.scala 137:112] + node _T_23837 = add(_T_23836, _T_23811) @[exu_mul_ctl.scala 137:112] + node _T_23838 = add(_T_23837, _T_23812) @[exu_mul_ctl.scala 137:112] + node _T_23839 = add(_T_23838, _T_23813) @[exu_mul_ctl.scala 137:112] + node _T_23840 = add(_T_23839, _T_23814) @[exu_mul_ctl.scala 137:112] + node _T_23841 = add(_T_23840, _T_23815) @[exu_mul_ctl.scala 137:112] + node _T_23842 = add(_T_23841, _T_23816) @[exu_mul_ctl.scala 137:112] + node _T_23843 = add(_T_23842, _T_23817) @[exu_mul_ctl.scala 137:112] + node _T_23844 = add(_T_23843, _T_23818) @[exu_mul_ctl.scala 137:112] + node _T_23845 = add(_T_23844, _T_23819) @[exu_mul_ctl.scala 137:112] + node _T_23846 = add(_T_23845, _T_23820) @[exu_mul_ctl.scala 137:112] + node _T_23847 = add(_T_23846, _T_23821) @[exu_mul_ctl.scala 137:112] + node _T_23848 = add(_T_23847, _T_23822) @[exu_mul_ctl.scala 137:112] + node _T_23849 = add(_T_23848, _T_23823) @[exu_mul_ctl.scala 137:112] + node _T_23850 = add(_T_23849, _T_23824) @[exu_mul_ctl.scala 137:112] + node _T_23851 = add(_T_23850, _T_23825) @[exu_mul_ctl.scala 137:112] + node _T_23852 = add(_T_23851, _T_23826) @[exu_mul_ctl.scala 137:112] + node _T_23853 = add(_T_23852, _T_23827) @[exu_mul_ctl.scala 137:112] + node _T_23854 = add(_T_23853, _T_23828) @[exu_mul_ctl.scala 137:112] + node _T_23855 = add(_T_23854, _T_23829) @[exu_mul_ctl.scala 137:112] + node _T_23856 = add(_T_23855, _T_23830) @[exu_mul_ctl.scala 137:112] + node _T_23857 = add(_T_23856, _T_23831) @[exu_mul_ctl.scala 137:112] + node _T_23858 = add(_T_23857, _T_23832) @[exu_mul_ctl.scala 137:112] + node _T_23859 = add(_T_23858, _T_23833) @[exu_mul_ctl.scala 137:112] + node _T_23860 = add(_T_23859, _T_23834) @[exu_mul_ctl.scala 137:112] + node _T_23861 = add(_T_23860, _T_23835) @[exu_mul_ctl.scala 137:112] + node _T_23862 = eq(_T_23861, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23863 = bits(_T_23862, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23864 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_23865 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23866 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23867 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23868 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23869 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23870 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23871 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23872 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23873 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23874 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23875 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23876 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23877 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23878 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23879 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23880 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23881 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23882 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23883 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23884 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23885 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23886 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23887 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23888 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23889 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_23890 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_23891 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_23892 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_23893 = add(_T_23865, _T_23866) @[exu_mul_ctl.scala 137:112] + node _T_23894 = add(_T_23893, _T_23867) @[exu_mul_ctl.scala 137:112] + node _T_23895 = add(_T_23894, _T_23868) @[exu_mul_ctl.scala 137:112] + node _T_23896 = add(_T_23895, _T_23869) @[exu_mul_ctl.scala 137:112] + node _T_23897 = add(_T_23896, _T_23870) @[exu_mul_ctl.scala 137:112] + node _T_23898 = add(_T_23897, _T_23871) @[exu_mul_ctl.scala 137:112] + node _T_23899 = add(_T_23898, _T_23872) @[exu_mul_ctl.scala 137:112] + node _T_23900 = add(_T_23899, _T_23873) @[exu_mul_ctl.scala 137:112] + node _T_23901 = add(_T_23900, _T_23874) @[exu_mul_ctl.scala 137:112] + node _T_23902 = add(_T_23901, _T_23875) @[exu_mul_ctl.scala 137:112] + node _T_23903 = add(_T_23902, _T_23876) @[exu_mul_ctl.scala 137:112] + node _T_23904 = add(_T_23903, _T_23877) @[exu_mul_ctl.scala 137:112] + node _T_23905 = add(_T_23904, _T_23878) @[exu_mul_ctl.scala 137:112] + node _T_23906 = add(_T_23905, _T_23879) @[exu_mul_ctl.scala 137:112] + node _T_23907 = add(_T_23906, _T_23880) @[exu_mul_ctl.scala 137:112] + node _T_23908 = add(_T_23907, _T_23881) @[exu_mul_ctl.scala 137:112] + node _T_23909 = add(_T_23908, _T_23882) @[exu_mul_ctl.scala 137:112] + node _T_23910 = add(_T_23909, _T_23883) @[exu_mul_ctl.scala 137:112] + node _T_23911 = add(_T_23910, _T_23884) @[exu_mul_ctl.scala 137:112] + node _T_23912 = add(_T_23911, _T_23885) @[exu_mul_ctl.scala 137:112] + node _T_23913 = add(_T_23912, _T_23886) @[exu_mul_ctl.scala 137:112] + node _T_23914 = add(_T_23913, _T_23887) @[exu_mul_ctl.scala 137:112] + node _T_23915 = add(_T_23914, _T_23888) @[exu_mul_ctl.scala 137:112] + node _T_23916 = add(_T_23915, _T_23889) @[exu_mul_ctl.scala 137:112] + node _T_23917 = add(_T_23916, _T_23890) @[exu_mul_ctl.scala 137:112] + node _T_23918 = add(_T_23917, _T_23891) @[exu_mul_ctl.scala 137:112] + node _T_23919 = add(_T_23918, _T_23892) @[exu_mul_ctl.scala 137:112] + node _T_23920 = eq(_T_23919, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23921 = bits(_T_23920, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23922 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_23923 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23924 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23925 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23926 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23927 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23928 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23929 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23930 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23931 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23932 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23933 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23934 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23935 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23936 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23937 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23938 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23939 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23940 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23941 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23942 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23943 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23944 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23945 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23946 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23947 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_23948 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_23949 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_23950 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_23951 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_23952 = add(_T_23923, _T_23924) @[exu_mul_ctl.scala 137:112] + node _T_23953 = add(_T_23952, _T_23925) @[exu_mul_ctl.scala 137:112] + node _T_23954 = add(_T_23953, _T_23926) @[exu_mul_ctl.scala 137:112] + node _T_23955 = add(_T_23954, _T_23927) @[exu_mul_ctl.scala 137:112] + node _T_23956 = add(_T_23955, _T_23928) @[exu_mul_ctl.scala 137:112] + node _T_23957 = add(_T_23956, _T_23929) @[exu_mul_ctl.scala 137:112] + node _T_23958 = add(_T_23957, _T_23930) @[exu_mul_ctl.scala 137:112] + node _T_23959 = add(_T_23958, _T_23931) @[exu_mul_ctl.scala 137:112] + node _T_23960 = add(_T_23959, _T_23932) @[exu_mul_ctl.scala 137:112] + node _T_23961 = add(_T_23960, _T_23933) @[exu_mul_ctl.scala 137:112] + node _T_23962 = add(_T_23961, _T_23934) @[exu_mul_ctl.scala 137:112] + node _T_23963 = add(_T_23962, _T_23935) @[exu_mul_ctl.scala 137:112] + node _T_23964 = add(_T_23963, _T_23936) @[exu_mul_ctl.scala 137:112] + node _T_23965 = add(_T_23964, _T_23937) @[exu_mul_ctl.scala 137:112] + node _T_23966 = add(_T_23965, _T_23938) @[exu_mul_ctl.scala 137:112] + node _T_23967 = add(_T_23966, _T_23939) @[exu_mul_ctl.scala 137:112] + node _T_23968 = add(_T_23967, _T_23940) @[exu_mul_ctl.scala 137:112] + node _T_23969 = add(_T_23968, _T_23941) @[exu_mul_ctl.scala 137:112] + node _T_23970 = add(_T_23969, _T_23942) @[exu_mul_ctl.scala 137:112] + node _T_23971 = add(_T_23970, _T_23943) @[exu_mul_ctl.scala 137:112] + node _T_23972 = add(_T_23971, _T_23944) @[exu_mul_ctl.scala 137:112] + node _T_23973 = add(_T_23972, _T_23945) @[exu_mul_ctl.scala 137:112] + node _T_23974 = add(_T_23973, _T_23946) @[exu_mul_ctl.scala 137:112] + node _T_23975 = add(_T_23974, _T_23947) @[exu_mul_ctl.scala 137:112] + node _T_23976 = add(_T_23975, _T_23948) @[exu_mul_ctl.scala 137:112] + node _T_23977 = add(_T_23976, _T_23949) @[exu_mul_ctl.scala 137:112] + node _T_23978 = add(_T_23977, _T_23950) @[exu_mul_ctl.scala 137:112] + node _T_23979 = add(_T_23978, _T_23951) @[exu_mul_ctl.scala 137:112] + node _T_23980 = eq(_T_23979, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23981 = bits(_T_23980, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23982 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_23983 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23984 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23985 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23986 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23987 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23988 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23989 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23990 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23991 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23992 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23993 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23994 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23995 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23996 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23997 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23998 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23999 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24000 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24001 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24002 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24003 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24004 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24005 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24006 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24007 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24008 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_24009 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_24010 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_24011 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_24012 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_24013 = add(_T_23983, _T_23984) @[exu_mul_ctl.scala 137:112] + node _T_24014 = add(_T_24013, _T_23985) @[exu_mul_ctl.scala 137:112] + node _T_24015 = add(_T_24014, _T_23986) @[exu_mul_ctl.scala 137:112] + node _T_24016 = add(_T_24015, _T_23987) @[exu_mul_ctl.scala 137:112] + node _T_24017 = add(_T_24016, _T_23988) @[exu_mul_ctl.scala 137:112] + node _T_24018 = add(_T_24017, _T_23989) @[exu_mul_ctl.scala 137:112] + node _T_24019 = add(_T_24018, _T_23990) @[exu_mul_ctl.scala 137:112] + node _T_24020 = add(_T_24019, _T_23991) @[exu_mul_ctl.scala 137:112] + node _T_24021 = add(_T_24020, _T_23992) @[exu_mul_ctl.scala 137:112] + node _T_24022 = add(_T_24021, _T_23993) @[exu_mul_ctl.scala 137:112] + node _T_24023 = add(_T_24022, _T_23994) @[exu_mul_ctl.scala 137:112] + node _T_24024 = add(_T_24023, _T_23995) @[exu_mul_ctl.scala 137:112] + node _T_24025 = add(_T_24024, _T_23996) @[exu_mul_ctl.scala 137:112] + node _T_24026 = add(_T_24025, _T_23997) @[exu_mul_ctl.scala 137:112] + node _T_24027 = add(_T_24026, _T_23998) @[exu_mul_ctl.scala 137:112] + node _T_24028 = add(_T_24027, _T_23999) @[exu_mul_ctl.scala 137:112] + node _T_24029 = add(_T_24028, _T_24000) @[exu_mul_ctl.scala 137:112] + node _T_24030 = add(_T_24029, _T_24001) @[exu_mul_ctl.scala 137:112] + node _T_24031 = add(_T_24030, _T_24002) @[exu_mul_ctl.scala 137:112] + node _T_24032 = add(_T_24031, _T_24003) @[exu_mul_ctl.scala 137:112] + node _T_24033 = add(_T_24032, _T_24004) @[exu_mul_ctl.scala 137:112] + node _T_24034 = add(_T_24033, _T_24005) @[exu_mul_ctl.scala 137:112] + node _T_24035 = add(_T_24034, _T_24006) @[exu_mul_ctl.scala 137:112] + node _T_24036 = add(_T_24035, _T_24007) @[exu_mul_ctl.scala 137:112] + node _T_24037 = add(_T_24036, _T_24008) @[exu_mul_ctl.scala 137:112] + node _T_24038 = add(_T_24037, _T_24009) @[exu_mul_ctl.scala 137:112] + node _T_24039 = add(_T_24038, _T_24010) @[exu_mul_ctl.scala 137:112] + node _T_24040 = add(_T_24039, _T_24011) @[exu_mul_ctl.scala 137:112] + node _T_24041 = add(_T_24040, _T_24012) @[exu_mul_ctl.scala 137:112] + node _T_24042 = eq(_T_24041, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_24043 = bits(_T_24042, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24044 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_24045 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24046 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24047 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24048 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24049 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24050 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24051 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24052 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24053 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24054 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24055 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24056 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24057 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24058 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24059 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24060 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24061 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24062 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24063 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24064 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24065 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24066 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24067 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24068 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24069 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24070 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_24071 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_24072 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_24073 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_24074 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_24075 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_24076 = add(_T_24045, _T_24046) @[exu_mul_ctl.scala 137:112] + node _T_24077 = add(_T_24076, _T_24047) @[exu_mul_ctl.scala 137:112] + node _T_24078 = add(_T_24077, _T_24048) @[exu_mul_ctl.scala 137:112] + node _T_24079 = add(_T_24078, _T_24049) @[exu_mul_ctl.scala 137:112] + node _T_24080 = add(_T_24079, _T_24050) @[exu_mul_ctl.scala 137:112] + node _T_24081 = add(_T_24080, _T_24051) @[exu_mul_ctl.scala 137:112] + node _T_24082 = add(_T_24081, _T_24052) @[exu_mul_ctl.scala 137:112] + node _T_24083 = add(_T_24082, _T_24053) @[exu_mul_ctl.scala 137:112] + node _T_24084 = add(_T_24083, _T_24054) @[exu_mul_ctl.scala 137:112] + node _T_24085 = add(_T_24084, _T_24055) @[exu_mul_ctl.scala 137:112] + node _T_24086 = add(_T_24085, _T_24056) @[exu_mul_ctl.scala 137:112] + node _T_24087 = add(_T_24086, _T_24057) @[exu_mul_ctl.scala 137:112] + node _T_24088 = add(_T_24087, _T_24058) @[exu_mul_ctl.scala 137:112] + node _T_24089 = add(_T_24088, _T_24059) @[exu_mul_ctl.scala 137:112] + node _T_24090 = add(_T_24089, _T_24060) @[exu_mul_ctl.scala 137:112] + node _T_24091 = add(_T_24090, _T_24061) @[exu_mul_ctl.scala 137:112] + node _T_24092 = add(_T_24091, _T_24062) @[exu_mul_ctl.scala 137:112] + node _T_24093 = add(_T_24092, _T_24063) @[exu_mul_ctl.scala 137:112] + node _T_24094 = add(_T_24093, _T_24064) @[exu_mul_ctl.scala 137:112] + node _T_24095 = add(_T_24094, _T_24065) @[exu_mul_ctl.scala 137:112] + node _T_24096 = add(_T_24095, _T_24066) @[exu_mul_ctl.scala 137:112] + node _T_24097 = add(_T_24096, _T_24067) @[exu_mul_ctl.scala 137:112] + node _T_24098 = add(_T_24097, _T_24068) @[exu_mul_ctl.scala 137:112] + node _T_24099 = add(_T_24098, _T_24069) @[exu_mul_ctl.scala 137:112] + node _T_24100 = add(_T_24099, _T_24070) @[exu_mul_ctl.scala 137:112] + node _T_24101 = add(_T_24100, _T_24071) @[exu_mul_ctl.scala 137:112] + node _T_24102 = add(_T_24101, _T_24072) @[exu_mul_ctl.scala 137:112] + node _T_24103 = add(_T_24102, _T_24073) @[exu_mul_ctl.scala 137:112] + node _T_24104 = add(_T_24103, _T_24074) @[exu_mul_ctl.scala 137:112] + node _T_24105 = add(_T_24104, _T_24075) @[exu_mul_ctl.scala 137:112] + node _T_24106 = eq(_T_24105, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_24107 = bits(_T_24106, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24108 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_24109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24116 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24117 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24118 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24119 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24120 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24121 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24122 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24123 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24124 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24125 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24126 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24127 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24128 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24129 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24130 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24131 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24132 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24133 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24134 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_24135 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_24136 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_24137 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_24138 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_24139 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_24140 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_24141 = add(_T_24109, _T_24110) @[exu_mul_ctl.scala 137:112] + node _T_24142 = add(_T_24141, _T_24111) @[exu_mul_ctl.scala 137:112] + node _T_24143 = add(_T_24142, _T_24112) @[exu_mul_ctl.scala 137:112] + node _T_24144 = add(_T_24143, _T_24113) @[exu_mul_ctl.scala 137:112] + node _T_24145 = add(_T_24144, _T_24114) @[exu_mul_ctl.scala 137:112] + node _T_24146 = add(_T_24145, _T_24115) @[exu_mul_ctl.scala 137:112] + node _T_24147 = add(_T_24146, _T_24116) @[exu_mul_ctl.scala 137:112] + node _T_24148 = add(_T_24147, _T_24117) @[exu_mul_ctl.scala 137:112] + node _T_24149 = add(_T_24148, _T_24118) @[exu_mul_ctl.scala 137:112] + node _T_24150 = add(_T_24149, _T_24119) @[exu_mul_ctl.scala 137:112] + node _T_24151 = add(_T_24150, _T_24120) @[exu_mul_ctl.scala 137:112] + node _T_24152 = add(_T_24151, _T_24121) @[exu_mul_ctl.scala 137:112] + node _T_24153 = add(_T_24152, _T_24122) @[exu_mul_ctl.scala 137:112] + node _T_24154 = add(_T_24153, _T_24123) @[exu_mul_ctl.scala 137:112] + node _T_24155 = add(_T_24154, _T_24124) @[exu_mul_ctl.scala 137:112] + node _T_24156 = add(_T_24155, _T_24125) @[exu_mul_ctl.scala 137:112] + node _T_24157 = add(_T_24156, _T_24126) @[exu_mul_ctl.scala 137:112] + node _T_24158 = add(_T_24157, _T_24127) @[exu_mul_ctl.scala 137:112] + node _T_24159 = add(_T_24158, _T_24128) @[exu_mul_ctl.scala 137:112] + node _T_24160 = add(_T_24159, _T_24129) @[exu_mul_ctl.scala 137:112] + node _T_24161 = add(_T_24160, _T_24130) @[exu_mul_ctl.scala 137:112] + node _T_24162 = add(_T_24161, _T_24131) @[exu_mul_ctl.scala 137:112] + node _T_24163 = add(_T_24162, _T_24132) @[exu_mul_ctl.scala 137:112] + node _T_24164 = add(_T_24163, _T_24133) @[exu_mul_ctl.scala 137:112] + node _T_24165 = add(_T_24164, _T_24134) @[exu_mul_ctl.scala 137:112] + node _T_24166 = add(_T_24165, _T_24135) @[exu_mul_ctl.scala 137:112] + node _T_24167 = add(_T_24166, _T_24136) @[exu_mul_ctl.scala 137:112] + node _T_24168 = add(_T_24167, _T_24137) @[exu_mul_ctl.scala 137:112] + node _T_24169 = add(_T_24168, _T_24138) @[exu_mul_ctl.scala 137:112] + node _T_24170 = add(_T_24169, _T_24139) @[exu_mul_ctl.scala 137:112] + node _T_24171 = add(_T_24170, _T_24140) @[exu_mul_ctl.scala 137:112] + node _T_24172 = eq(_T_24171, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_24173 = bits(_T_24172, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24174 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_24175 = mux(_T_24173, _T_24174, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_24176 = mux(_T_24107, _T_24108, _T_24175) @[Mux.scala 98:16] + node _T_24177 = mux(_T_24043, _T_24044, _T_24176) @[Mux.scala 98:16] + node _T_24178 = mux(_T_23981, _T_23982, _T_24177) @[Mux.scala 98:16] + node _T_24179 = mux(_T_23921, _T_23922, _T_24178) @[Mux.scala 98:16] + node _T_24180 = mux(_T_23863, _T_23864, _T_24179) @[Mux.scala 98:16] + node _T_24181 = mux(_T_23807, _T_23808, _T_24180) @[Mux.scala 98:16] + node _T_24182 = mux(_T_23753, _T_23754, _T_24181) @[Mux.scala 98:16] + node _T_24183 = mux(_T_23701, _T_23702, _T_24182) @[Mux.scala 98:16] + node _T_24184 = mux(_T_23651, _T_23652, _T_24183) @[Mux.scala 98:16] + node _T_24185 = mux(_T_23603, _T_23604, _T_24184) @[Mux.scala 98:16] + node _T_24186 = mux(_T_23557, _T_23558, _T_24185) @[Mux.scala 98:16] + node _T_24187 = mux(_T_23513, _T_23514, _T_24186) @[Mux.scala 98:16] + node _T_24188 = mux(_T_23471, _T_23472, _T_24187) @[Mux.scala 98:16] + node _T_24189 = mux(_T_23431, _T_23432, _T_24188) @[Mux.scala 98:16] + node _T_24190 = mux(_T_23393, _T_23394, _T_24189) @[Mux.scala 98:16] + node _T_24191 = mux(_T_23357, _T_23358, _T_24190) @[Mux.scala 98:16] + node _T_24192 = mux(_T_23323, _T_23324, _T_24191) @[Mux.scala 98:16] + node _T_24193 = mux(_T_23291, _T_23292, _T_24192) @[Mux.scala 98:16] + node _T_24194 = mux(_T_23261, _T_23262, _T_24193) @[Mux.scala 98:16] + node _T_24195 = mux(_T_23233, _T_23234, _T_24194) @[Mux.scala 98:16] + node _T_24196 = mux(_T_23207, _T_23208, _T_24195) @[Mux.scala 98:16] + node _T_24197 = mux(_T_23183, _T_23184, _T_24196) @[Mux.scala 98:16] + node _T_24198 = mux(_T_23161, _T_23162, _T_24197) @[Mux.scala 98:16] + node _T_24199 = mux(_T_23141, _T_23142, _T_24198) @[Mux.scala 98:16] + node _T_24200 = mux(_T_23123, _T_23124, _T_24199) @[Mux.scala 98:16] + node _T_24201 = mux(_T_23107, _T_23108, _T_24200) @[Mux.scala 98:16] + node _T_24202 = mux(_T_23093, _T_23094, _T_24201) @[Mux.scala 98:16] + node _T_24203 = mux(_T_23081, _T_23082, _T_24202) @[Mux.scala 98:16] + node _T_24204 = mux(_T_23071, _T_23072, _T_24203) @[Mux.scala 98:16] + node _T_24205 = mux(_T_23063, _T_23064, _T_24204) @[Mux.scala 98:16] + node _T_24206 = mux(_T_23057, _T_23058, _T_24205) @[Mux.scala 98:16] + node _T_24207 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_24208 = eq(_T_24207, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24209 = bits(_T_24208, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24210 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_24211 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24212 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24213 = add(_T_24211, _T_24212) @[exu_mul_ctl.scala 137:112] + node _T_24214 = eq(_T_24213, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24215 = bits(_T_24214, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24216 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_24217 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24218 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24219 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24220 = add(_T_24217, _T_24218) @[exu_mul_ctl.scala 137:112] + node _T_24221 = add(_T_24220, _T_24219) @[exu_mul_ctl.scala 137:112] + node _T_24222 = eq(_T_24221, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24223 = bits(_T_24222, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24224 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_24225 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24226 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24227 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24228 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24229 = add(_T_24225, _T_24226) @[exu_mul_ctl.scala 137:112] + node _T_24230 = add(_T_24229, _T_24227) @[exu_mul_ctl.scala 137:112] + node _T_24231 = add(_T_24230, _T_24228) @[exu_mul_ctl.scala 137:112] + node _T_24232 = eq(_T_24231, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24233 = bits(_T_24232, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24234 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_24235 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24236 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24237 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24238 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24239 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24240 = add(_T_24235, _T_24236) @[exu_mul_ctl.scala 137:112] + node _T_24241 = add(_T_24240, _T_24237) @[exu_mul_ctl.scala 137:112] + node _T_24242 = add(_T_24241, _T_24238) @[exu_mul_ctl.scala 137:112] + node _T_24243 = add(_T_24242, _T_24239) @[exu_mul_ctl.scala 137:112] + node _T_24244 = eq(_T_24243, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24245 = bits(_T_24244, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24246 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_24247 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24248 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24249 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24250 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24251 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24252 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24253 = add(_T_24247, _T_24248) @[exu_mul_ctl.scala 137:112] + node _T_24254 = add(_T_24253, _T_24249) @[exu_mul_ctl.scala 137:112] + node _T_24255 = add(_T_24254, _T_24250) @[exu_mul_ctl.scala 137:112] + node _T_24256 = add(_T_24255, _T_24251) @[exu_mul_ctl.scala 137:112] + node _T_24257 = add(_T_24256, _T_24252) @[exu_mul_ctl.scala 137:112] + node _T_24258 = eq(_T_24257, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24259 = bits(_T_24258, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24260 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_24261 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24262 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24263 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24264 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24265 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24266 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24267 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24268 = add(_T_24261, _T_24262) @[exu_mul_ctl.scala 137:112] + node _T_24269 = add(_T_24268, _T_24263) @[exu_mul_ctl.scala 137:112] + node _T_24270 = add(_T_24269, _T_24264) @[exu_mul_ctl.scala 137:112] + node _T_24271 = add(_T_24270, _T_24265) @[exu_mul_ctl.scala 137:112] + node _T_24272 = add(_T_24271, _T_24266) @[exu_mul_ctl.scala 137:112] + node _T_24273 = add(_T_24272, _T_24267) @[exu_mul_ctl.scala 137:112] + node _T_24274 = eq(_T_24273, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24275 = bits(_T_24274, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24276 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_24277 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24278 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24279 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24280 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24281 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24282 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24283 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24284 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24285 = add(_T_24277, _T_24278) @[exu_mul_ctl.scala 137:112] + node _T_24286 = add(_T_24285, _T_24279) @[exu_mul_ctl.scala 137:112] + node _T_24287 = add(_T_24286, _T_24280) @[exu_mul_ctl.scala 137:112] + node _T_24288 = add(_T_24287, _T_24281) @[exu_mul_ctl.scala 137:112] + node _T_24289 = add(_T_24288, _T_24282) @[exu_mul_ctl.scala 137:112] + node _T_24290 = add(_T_24289, _T_24283) @[exu_mul_ctl.scala 137:112] + node _T_24291 = add(_T_24290, _T_24284) @[exu_mul_ctl.scala 137:112] + node _T_24292 = eq(_T_24291, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24293 = bits(_T_24292, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24294 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_24295 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24296 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24297 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24298 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24299 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24300 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24301 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24302 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24303 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24304 = add(_T_24295, _T_24296) @[exu_mul_ctl.scala 137:112] + node _T_24305 = add(_T_24304, _T_24297) @[exu_mul_ctl.scala 137:112] + node _T_24306 = add(_T_24305, _T_24298) @[exu_mul_ctl.scala 137:112] + node _T_24307 = add(_T_24306, _T_24299) @[exu_mul_ctl.scala 137:112] + node _T_24308 = add(_T_24307, _T_24300) @[exu_mul_ctl.scala 137:112] + node _T_24309 = add(_T_24308, _T_24301) @[exu_mul_ctl.scala 137:112] + node _T_24310 = add(_T_24309, _T_24302) @[exu_mul_ctl.scala 137:112] + node _T_24311 = add(_T_24310, _T_24303) @[exu_mul_ctl.scala 137:112] + node _T_24312 = eq(_T_24311, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24313 = bits(_T_24312, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24314 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_24315 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24316 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24317 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24318 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24319 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24320 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24321 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24322 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24323 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24324 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24325 = add(_T_24315, _T_24316) @[exu_mul_ctl.scala 137:112] + node _T_24326 = add(_T_24325, _T_24317) @[exu_mul_ctl.scala 137:112] + node _T_24327 = add(_T_24326, _T_24318) @[exu_mul_ctl.scala 137:112] + node _T_24328 = add(_T_24327, _T_24319) @[exu_mul_ctl.scala 137:112] + node _T_24329 = add(_T_24328, _T_24320) @[exu_mul_ctl.scala 137:112] + node _T_24330 = add(_T_24329, _T_24321) @[exu_mul_ctl.scala 137:112] + node _T_24331 = add(_T_24330, _T_24322) @[exu_mul_ctl.scala 137:112] + node _T_24332 = add(_T_24331, _T_24323) @[exu_mul_ctl.scala 137:112] + node _T_24333 = add(_T_24332, _T_24324) @[exu_mul_ctl.scala 137:112] + node _T_24334 = eq(_T_24333, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24335 = bits(_T_24334, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24336 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_24337 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24338 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24339 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24340 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24341 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24342 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24343 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24344 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24345 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24346 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24347 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24348 = add(_T_24337, _T_24338) @[exu_mul_ctl.scala 137:112] + node _T_24349 = add(_T_24348, _T_24339) @[exu_mul_ctl.scala 137:112] + node _T_24350 = add(_T_24349, _T_24340) @[exu_mul_ctl.scala 137:112] + node _T_24351 = add(_T_24350, _T_24341) @[exu_mul_ctl.scala 137:112] + node _T_24352 = add(_T_24351, _T_24342) @[exu_mul_ctl.scala 137:112] + node _T_24353 = add(_T_24352, _T_24343) @[exu_mul_ctl.scala 137:112] + node _T_24354 = add(_T_24353, _T_24344) @[exu_mul_ctl.scala 137:112] + node _T_24355 = add(_T_24354, _T_24345) @[exu_mul_ctl.scala 137:112] + node _T_24356 = add(_T_24355, _T_24346) @[exu_mul_ctl.scala 137:112] + node _T_24357 = add(_T_24356, _T_24347) @[exu_mul_ctl.scala 137:112] + node _T_24358 = eq(_T_24357, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24359 = bits(_T_24358, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24360 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_24361 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24362 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24363 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24364 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24365 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24366 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24367 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24368 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24369 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24370 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24371 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24372 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24373 = add(_T_24361, _T_24362) @[exu_mul_ctl.scala 137:112] + node _T_24374 = add(_T_24373, _T_24363) @[exu_mul_ctl.scala 137:112] + node _T_24375 = add(_T_24374, _T_24364) @[exu_mul_ctl.scala 137:112] + node _T_24376 = add(_T_24375, _T_24365) @[exu_mul_ctl.scala 137:112] + node _T_24377 = add(_T_24376, _T_24366) @[exu_mul_ctl.scala 137:112] + node _T_24378 = add(_T_24377, _T_24367) @[exu_mul_ctl.scala 137:112] + node _T_24379 = add(_T_24378, _T_24368) @[exu_mul_ctl.scala 137:112] + node _T_24380 = add(_T_24379, _T_24369) @[exu_mul_ctl.scala 137:112] + node _T_24381 = add(_T_24380, _T_24370) @[exu_mul_ctl.scala 137:112] + node _T_24382 = add(_T_24381, _T_24371) @[exu_mul_ctl.scala 137:112] + node _T_24383 = add(_T_24382, _T_24372) @[exu_mul_ctl.scala 137:112] + node _T_24384 = eq(_T_24383, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24385 = bits(_T_24384, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24386 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_24387 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24388 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24389 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24390 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24391 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24392 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24393 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24394 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24395 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24396 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24397 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24398 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24399 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24400 = add(_T_24387, _T_24388) @[exu_mul_ctl.scala 137:112] + node _T_24401 = add(_T_24400, _T_24389) @[exu_mul_ctl.scala 137:112] + node _T_24402 = add(_T_24401, _T_24390) @[exu_mul_ctl.scala 137:112] + node _T_24403 = add(_T_24402, _T_24391) @[exu_mul_ctl.scala 137:112] + node _T_24404 = add(_T_24403, _T_24392) @[exu_mul_ctl.scala 137:112] + node _T_24405 = add(_T_24404, _T_24393) @[exu_mul_ctl.scala 137:112] + node _T_24406 = add(_T_24405, _T_24394) @[exu_mul_ctl.scala 137:112] + node _T_24407 = add(_T_24406, _T_24395) @[exu_mul_ctl.scala 137:112] + node _T_24408 = add(_T_24407, _T_24396) @[exu_mul_ctl.scala 137:112] + node _T_24409 = add(_T_24408, _T_24397) @[exu_mul_ctl.scala 137:112] + node _T_24410 = add(_T_24409, _T_24398) @[exu_mul_ctl.scala 137:112] + node _T_24411 = add(_T_24410, _T_24399) @[exu_mul_ctl.scala 137:112] + node _T_24412 = eq(_T_24411, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24413 = bits(_T_24412, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24414 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_24415 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24416 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24417 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24418 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24419 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24420 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24421 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24422 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24423 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24424 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24425 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24426 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24427 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24428 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24429 = add(_T_24415, _T_24416) @[exu_mul_ctl.scala 137:112] + node _T_24430 = add(_T_24429, _T_24417) @[exu_mul_ctl.scala 137:112] + node _T_24431 = add(_T_24430, _T_24418) @[exu_mul_ctl.scala 137:112] + node _T_24432 = add(_T_24431, _T_24419) @[exu_mul_ctl.scala 137:112] + node _T_24433 = add(_T_24432, _T_24420) @[exu_mul_ctl.scala 137:112] + node _T_24434 = add(_T_24433, _T_24421) @[exu_mul_ctl.scala 137:112] + node _T_24435 = add(_T_24434, _T_24422) @[exu_mul_ctl.scala 137:112] + node _T_24436 = add(_T_24435, _T_24423) @[exu_mul_ctl.scala 137:112] + node _T_24437 = add(_T_24436, _T_24424) @[exu_mul_ctl.scala 137:112] + node _T_24438 = add(_T_24437, _T_24425) @[exu_mul_ctl.scala 137:112] + node _T_24439 = add(_T_24438, _T_24426) @[exu_mul_ctl.scala 137:112] + node _T_24440 = add(_T_24439, _T_24427) @[exu_mul_ctl.scala 137:112] + node _T_24441 = add(_T_24440, _T_24428) @[exu_mul_ctl.scala 137:112] + node _T_24442 = eq(_T_24441, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24443 = bits(_T_24442, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24444 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_24445 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24446 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24447 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24448 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24449 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24450 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24451 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24452 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24453 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24454 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24455 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24456 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24457 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24458 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24459 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24460 = add(_T_24445, _T_24446) @[exu_mul_ctl.scala 137:112] + node _T_24461 = add(_T_24460, _T_24447) @[exu_mul_ctl.scala 137:112] + node _T_24462 = add(_T_24461, _T_24448) @[exu_mul_ctl.scala 137:112] + node _T_24463 = add(_T_24462, _T_24449) @[exu_mul_ctl.scala 137:112] + node _T_24464 = add(_T_24463, _T_24450) @[exu_mul_ctl.scala 137:112] + node _T_24465 = add(_T_24464, _T_24451) @[exu_mul_ctl.scala 137:112] + node _T_24466 = add(_T_24465, _T_24452) @[exu_mul_ctl.scala 137:112] + node _T_24467 = add(_T_24466, _T_24453) @[exu_mul_ctl.scala 137:112] + node _T_24468 = add(_T_24467, _T_24454) @[exu_mul_ctl.scala 137:112] + node _T_24469 = add(_T_24468, _T_24455) @[exu_mul_ctl.scala 137:112] + node _T_24470 = add(_T_24469, _T_24456) @[exu_mul_ctl.scala 137:112] + node _T_24471 = add(_T_24470, _T_24457) @[exu_mul_ctl.scala 137:112] + node _T_24472 = add(_T_24471, _T_24458) @[exu_mul_ctl.scala 137:112] + node _T_24473 = add(_T_24472, _T_24459) @[exu_mul_ctl.scala 137:112] + node _T_24474 = eq(_T_24473, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24475 = bits(_T_24474, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24476 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_24477 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24478 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24479 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24480 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24481 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24482 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24483 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24484 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24485 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24486 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24487 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24488 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24489 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24490 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24491 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24492 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24493 = add(_T_24477, _T_24478) @[exu_mul_ctl.scala 137:112] + node _T_24494 = add(_T_24493, _T_24479) @[exu_mul_ctl.scala 137:112] + node _T_24495 = add(_T_24494, _T_24480) @[exu_mul_ctl.scala 137:112] + node _T_24496 = add(_T_24495, _T_24481) @[exu_mul_ctl.scala 137:112] + node _T_24497 = add(_T_24496, _T_24482) @[exu_mul_ctl.scala 137:112] + node _T_24498 = add(_T_24497, _T_24483) @[exu_mul_ctl.scala 137:112] + node _T_24499 = add(_T_24498, _T_24484) @[exu_mul_ctl.scala 137:112] + node _T_24500 = add(_T_24499, _T_24485) @[exu_mul_ctl.scala 137:112] + node _T_24501 = add(_T_24500, _T_24486) @[exu_mul_ctl.scala 137:112] + node _T_24502 = add(_T_24501, _T_24487) @[exu_mul_ctl.scala 137:112] + node _T_24503 = add(_T_24502, _T_24488) @[exu_mul_ctl.scala 137:112] + node _T_24504 = add(_T_24503, _T_24489) @[exu_mul_ctl.scala 137:112] + node _T_24505 = add(_T_24504, _T_24490) @[exu_mul_ctl.scala 137:112] + node _T_24506 = add(_T_24505, _T_24491) @[exu_mul_ctl.scala 137:112] + node _T_24507 = add(_T_24506, _T_24492) @[exu_mul_ctl.scala 137:112] + node _T_24508 = eq(_T_24507, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24509 = bits(_T_24508, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24510 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_24511 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24512 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24513 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24514 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24515 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24516 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24517 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24518 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24519 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24520 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24521 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24522 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24523 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24524 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24525 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24526 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24527 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24528 = add(_T_24511, _T_24512) @[exu_mul_ctl.scala 137:112] + node _T_24529 = add(_T_24528, _T_24513) @[exu_mul_ctl.scala 137:112] + node _T_24530 = add(_T_24529, _T_24514) @[exu_mul_ctl.scala 137:112] + node _T_24531 = add(_T_24530, _T_24515) @[exu_mul_ctl.scala 137:112] + node _T_24532 = add(_T_24531, _T_24516) @[exu_mul_ctl.scala 137:112] + node _T_24533 = add(_T_24532, _T_24517) @[exu_mul_ctl.scala 137:112] + node _T_24534 = add(_T_24533, _T_24518) @[exu_mul_ctl.scala 137:112] + node _T_24535 = add(_T_24534, _T_24519) @[exu_mul_ctl.scala 137:112] + node _T_24536 = add(_T_24535, _T_24520) @[exu_mul_ctl.scala 137:112] + node _T_24537 = add(_T_24536, _T_24521) @[exu_mul_ctl.scala 137:112] + node _T_24538 = add(_T_24537, _T_24522) @[exu_mul_ctl.scala 137:112] + node _T_24539 = add(_T_24538, _T_24523) @[exu_mul_ctl.scala 137:112] + node _T_24540 = add(_T_24539, _T_24524) @[exu_mul_ctl.scala 137:112] + node _T_24541 = add(_T_24540, _T_24525) @[exu_mul_ctl.scala 137:112] + node _T_24542 = add(_T_24541, _T_24526) @[exu_mul_ctl.scala 137:112] + node _T_24543 = add(_T_24542, _T_24527) @[exu_mul_ctl.scala 137:112] + node _T_24544 = eq(_T_24543, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24545 = bits(_T_24544, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24546 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_24547 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24548 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24549 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24550 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24551 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24552 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24553 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24554 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24555 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24556 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24557 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24558 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24559 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24560 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24561 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24562 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24563 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24564 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24565 = add(_T_24547, _T_24548) @[exu_mul_ctl.scala 137:112] + node _T_24566 = add(_T_24565, _T_24549) @[exu_mul_ctl.scala 137:112] + node _T_24567 = add(_T_24566, _T_24550) @[exu_mul_ctl.scala 137:112] + node _T_24568 = add(_T_24567, _T_24551) @[exu_mul_ctl.scala 137:112] + node _T_24569 = add(_T_24568, _T_24552) @[exu_mul_ctl.scala 137:112] + node _T_24570 = add(_T_24569, _T_24553) @[exu_mul_ctl.scala 137:112] + node _T_24571 = add(_T_24570, _T_24554) @[exu_mul_ctl.scala 137:112] + node _T_24572 = add(_T_24571, _T_24555) @[exu_mul_ctl.scala 137:112] + node _T_24573 = add(_T_24572, _T_24556) @[exu_mul_ctl.scala 137:112] + node _T_24574 = add(_T_24573, _T_24557) @[exu_mul_ctl.scala 137:112] + node _T_24575 = add(_T_24574, _T_24558) @[exu_mul_ctl.scala 137:112] + node _T_24576 = add(_T_24575, _T_24559) @[exu_mul_ctl.scala 137:112] + node _T_24577 = add(_T_24576, _T_24560) @[exu_mul_ctl.scala 137:112] + node _T_24578 = add(_T_24577, _T_24561) @[exu_mul_ctl.scala 137:112] + node _T_24579 = add(_T_24578, _T_24562) @[exu_mul_ctl.scala 137:112] + node _T_24580 = add(_T_24579, _T_24563) @[exu_mul_ctl.scala 137:112] + node _T_24581 = add(_T_24580, _T_24564) @[exu_mul_ctl.scala 137:112] + node _T_24582 = eq(_T_24581, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24583 = bits(_T_24582, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24584 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_24585 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24586 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24587 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24588 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24589 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24590 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24591 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24592 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24593 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24594 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24595 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24596 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24597 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24598 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24599 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24600 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24601 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24602 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24603 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24604 = add(_T_24585, _T_24586) @[exu_mul_ctl.scala 137:112] + node _T_24605 = add(_T_24604, _T_24587) @[exu_mul_ctl.scala 137:112] + node _T_24606 = add(_T_24605, _T_24588) @[exu_mul_ctl.scala 137:112] + node _T_24607 = add(_T_24606, _T_24589) @[exu_mul_ctl.scala 137:112] + node _T_24608 = add(_T_24607, _T_24590) @[exu_mul_ctl.scala 137:112] + node _T_24609 = add(_T_24608, _T_24591) @[exu_mul_ctl.scala 137:112] + node _T_24610 = add(_T_24609, _T_24592) @[exu_mul_ctl.scala 137:112] + node _T_24611 = add(_T_24610, _T_24593) @[exu_mul_ctl.scala 137:112] + node _T_24612 = add(_T_24611, _T_24594) @[exu_mul_ctl.scala 137:112] + node _T_24613 = add(_T_24612, _T_24595) @[exu_mul_ctl.scala 137:112] + node _T_24614 = add(_T_24613, _T_24596) @[exu_mul_ctl.scala 137:112] + node _T_24615 = add(_T_24614, _T_24597) @[exu_mul_ctl.scala 137:112] + node _T_24616 = add(_T_24615, _T_24598) @[exu_mul_ctl.scala 137:112] + node _T_24617 = add(_T_24616, _T_24599) @[exu_mul_ctl.scala 137:112] + node _T_24618 = add(_T_24617, _T_24600) @[exu_mul_ctl.scala 137:112] + node _T_24619 = add(_T_24618, _T_24601) @[exu_mul_ctl.scala 137:112] + node _T_24620 = add(_T_24619, _T_24602) @[exu_mul_ctl.scala 137:112] + node _T_24621 = add(_T_24620, _T_24603) @[exu_mul_ctl.scala 137:112] + node _T_24622 = eq(_T_24621, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24623 = bits(_T_24622, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24624 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_24625 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24626 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24627 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24628 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24629 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24630 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24631 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24632 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24633 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24634 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24635 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24636 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24637 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24638 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24639 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24640 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24641 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24642 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24643 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24644 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24645 = add(_T_24625, _T_24626) @[exu_mul_ctl.scala 137:112] + node _T_24646 = add(_T_24645, _T_24627) @[exu_mul_ctl.scala 137:112] + node _T_24647 = add(_T_24646, _T_24628) @[exu_mul_ctl.scala 137:112] + node _T_24648 = add(_T_24647, _T_24629) @[exu_mul_ctl.scala 137:112] + node _T_24649 = add(_T_24648, _T_24630) @[exu_mul_ctl.scala 137:112] + node _T_24650 = add(_T_24649, _T_24631) @[exu_mul_ctl.scala 137:112] + node _T_24651 = add(_T_24650, _T_24632) @[exu_mul_ctl.scala 137:112] + node _T_24652 = add(_T_24651, _T_24633) @[exu_mul_ctl.scala 137:112] + node _T_24653 = add(_T_24652, _T_24634) @[exu_mul_ctl.scala 137:112] + node _T_24654 = add(_T_24653, _T_24635) @[exu_mul_ctl.scala 137:112] + node _T_24655 = add(_T_24654, _T_24636) @[exu_mul_ctl.scala 137:112] + node _T_24656 = add(_T_24655, _T_24637) @[exu_mul_ctl.scala 137:112] + node _T_24657 = add(_T_24656, _T_24638) @[exu_mul_ctl.scala 137:112] + node _T_24658 = add(_T_24657, _T_24639) @[exu_mul_ctl.scala 137:112] + node _T_24659 = add(_T_24658, _T_24640) @[exu_mul_ctl.scala 137:112] + node _T_24660 = add(_T_24659, _T_24641) @[exu_mul_ctl.scala 137:112] + node _T_24661 = add(_T_24660, _T_24642) @[exu_mul_ctl.scala 137:112] + node _T_24662 = add(_T_24661, _T_24643) @[exu_mul_ctl.scala 137:112] + node _T_24663 = add(_T_24662, _T_24644) @[exu_mul_ctl.scala 137:112] + node _T_24664 = eq(_T_24663, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24665 = bits(_T_24664, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24666 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_24667 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24668 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24669 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24670 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24671 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24672 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24673 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24674 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24675 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24676 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24677 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24678 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24679 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24680 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24681 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24682 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24683 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24684 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24685 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24686 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24687 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24688 = add(_T_24667, _T_24668) @[exu_mul_ctl.scala 137:112] + node _T_24689 = add(_T_24688, _T_24669) @[exu_mul_ctl.scala 137:112] + node _T_24690 = add(_T_24689, _T_24670) @[exu_mul_ctl.scala 137:112] + node _T_24691 = add(_T_24690, _T_24671) @[exu_mul_ctl.scala 137:112] + node _T_24692 = add(_T_24691, _T_24672) @[exu_mul_ctl.scala 137:112] + node _T_24693 = add(_T_24692, _T_24673) @[exu_mul_ctl.scala 137:112] + node _T_24694 = add(_T_24693, _T_24674) @[exu_mul_ctl.scala 137:112] + node _T_24695 = add(_T_24694, _T_24675) @[exu_mul_ctl.scala 137:112] + node _T_24696 = add(_T_24695, _T_24676) @[exu_mul_ctl.scala 137:112] + node _T_24697 = add(_T_24696, _T_24677) @[exu_mul_ctl.scala 137:112] + node _T_24698 = add(_T_24697, _T_24678) @[exu_mul_ctl.scala 137:112] + node _T_24699 = add(_T_24698, _T_24679) @[exu_mul_ctl.scala 137:112] + node _T_24700 = add(_T_24699, _T_24680) @[exu_mul_ctl.scala 137:112] + node _T_24701 = add(_T_24700, _T_24681) @[exu_mul_ctl.scala 137:112] + node _T_24702 = add(_T_24701, _T_24682) @[exu_mul_ctl.scala 137:112] + node _T_24703 = add(_T_24702, _T_24683) @[exu_mul_ctl.scala 137:112] + node _T_24704 = add(_T_24703, _T_24684) @[exu_mul_ctl.scala 137:112] + node _T_24705 = add(_T_24704, _T_24685) @[exu_mul_ctl.scala 137:112] + node _T_24706 = add(_T_24705, _T_24686) @[exu_mul_ctl.scala 137:112] + node _T_24707 = add(_T_24706, _T_24687) @[exu_mul_ctl.scala 137:112] + node _T_24708 = eq(_T_24707, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24709 = bits(_T_24708, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24710 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_24711 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24712 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24713 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24714 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24715 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24716 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24717 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24718 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24719 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24720 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24721 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24722 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24723 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24724 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24725 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24726 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24727 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24728 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24729 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24730 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24731 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24732 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24733 = add(_T_24711, _T_24712) @[exu_mul_ctl.scala 137:112] + node _T_24734 = add(_T_24733, _T_24713) @[exu_mul_ctl.scala 137:112] + node _T_24735 = add(_T_24734, _T_24714) @[exu_mul_ctl.scala 137:112] + node _T_24736 = add(_T_24735, _T_24715) @[exu_mul_ctl.scala 137:112] + node _T_24737 = add(_T_24736, _T_24716) @[exu_mul_ctl.scala 137:112] + node _T_24738 = add(_T_24737, _T_24717) @[exu_mul_ctl.scala 137:112] + node _T_24739 = add(_T_24738, _T_24718) @[exu_mul_ctl.scala 137:112] + node _T_24740 = add(_T_24739, _T_24719) @[exu_mul_ctl.scala 137:112] + node _T_24741 = add(_T_24740, _T_24720) @[exu_mul_ctl.scala 137:112] + node _T_24742 = add(_T_24741, _T_24721) @[exu_mul_ctl.scala 137:112] + node _T_24743 = add(_T_24742, _T_24722) @[exu_mul_ctl.scala 137:112] + node _T_24744 = add(_T_24743, _T_24723) @[exu_mul_ctl.scala 137:112] + node _T_24745 = add(_T_24744, _T_24724) @[exu_mul_ctl.scala 137:112] + node _T_24746 = add(_T_24745, _T_24725) @[exu_mul_ctl.scala 137:112] + node _T_24747 = add(_T_24746, _T_24726) @[exu_mul_ctl.scala 137:112] + node _T_24748 = add(_T_24747, _T_24727) @[exu_mul_ctl.scala 137:112] + node _T_24749 = add(_T_24748, _T_24728) @[exu_mul_ctl.scala 137:112] + node _T_24750 = add(_T_24749, _T_24729) @[exu_mul_ctl.scala 137:112] + node _T_24751 = add(_T_24750, _T_24730) @[exu_mul_ctl.scala 137:112] + node _T_24752 = add(_T_24751, _T_24731) @[exu_mul_ctl.scala 137:112] + node _T_24753 = add(_T_24752, _T_24732) @[exu_mul_ctl.scala 137:112] + node _T_24754 = eq(_T_24753, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24755 = bits(_T_24754, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24756 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_24757 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24758 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24759 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24760 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24761 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24762 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24763 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24764 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24765 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24766 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24767 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24768 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24769 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24770 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24771 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24772 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24773 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24774 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24775 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24776 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24777 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24778 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24779 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24780 = add(_T_24757, _T_24758) @[exu_mul_ctl.scala 137:112] + node _T_24781 = add(_T_24780, _T_24759) @[exu_mul_ctl.scala 137:112] + node _T_24782 = add(_T_24781, _T_24760) @[exu_mul_ctl.scala 137:112] + node _T_24783 = add(_T_24782, _T_24761) @[exu_mul_ctl.scala 137:112] + node _T_24784 = add(_T_24783, _T_24762) @[exu_mul_ctl.scala 137:112] + node _T_24785 = add(_T_24784, _T_24763) @[exu_mul_ctl.scala 137:112] + node _T_24786 = add(_T_24785, _T_24764) @[exu_mul_ctl.scala 137:112] + node _T_24787 = add(_T_24786, _T_24765) @[exu_mul_ctl.scala 137:112] + node _T_24788 = add(_T_24787, _T_24766) @[exu_mul_ctl.scala 137:112] + node _T_24789 = add(_T_24788, _T_24767) @[exu_mul_ctl.scala 137:112] + node _T_24790 = add(_T_24789, _T_24768) @[exu_mul_ctl.scala 137:112] + node _T_24791 = add(_T_24790, _T_24769) @[exu_mul_ctl.scala 137:112] + node _T_24792 = add(_T_24791, _T_24770) @[exu_mul_ctl.scala 137:112] + node _T_24793 = add(_T_24792, _T_24771) @[exu_mul_ctl.scala 137:112] + node _T_24794 = add(_T_24793, _T_24772) @[exu_mul_ctl.scala 137:112] + node _T_24795 = add(_T_24794, _T_24773) @[exu_mul_ctl.scala 137:112] + node _T_24796 = add(_T_24795, _T_24774) @[exu_mul_ctl.scala 137:112] + node _T_24797 = add(_T_24796, _T_24775) @[exu_mul_ctl.scala 137:112] + node _T_24798 = add(_T_24797, _T_24776) @[exu_mul_ctl.scala 137:112] + node _T_24799 = add(_T_24798, _T_24777) @[exu_mul_ctl.scala 137:112] + node _T_24800 = add(_T_24799, _T_24778) @[exu_mul_ctl.scala 137:112] + node _T_24801 = add(_T_24800, _T_24779) @[exu_mul_ctl.scala 137:112] + node _T_24802 = eq(_T_24801, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24803 = bits(_T_24802, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24804 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_24805 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24806 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24807 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24808 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24809 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24810 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24811 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24812 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24813 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24814 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24815 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24816 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24817 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24818 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24819 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24820 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24821 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24822 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24823 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24824 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24825 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24826 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24827 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24828 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24829 = add(_T_24805, _T_24806) @[exu_mul_ctl.scala 137:112] + node _T_24830 = add(_T_24829, _T_24807) @[exu_mul_ctl.scala 137:112] + node _T_24831 = add(_T_24830, _T_24808) @[exu_mul_ctl.scala 137:112] + node _T_24832 = add(_T_24831, _T_24809) @[exu_mul_ctl.scala 137:112] + node _T_24833 = add(_T_24832, _T_24810) @[exu_mul_ctl.scala 137:112] + node _T_24834 = add(_T_24833, _T_24811) @[exu_mul_ctl.scala 137:112] + node _T_24835 = add(_T_24834, _T_24812) @[exu_mul_ctl.scala 137:112] + node _T_24836 = add(_T_24835, _T_24813) @[exu_mul_ctl.scala 137:112] + node _T_24837 = add(_T_24836, _T_24814) @[exu_mul_ctl.scala 137:112] + node _T_24838 = add(_T_24837, _T_24815) @[exu_mul_ctl.scala 137:112] + node _T_24839 = add(_T_24838, _T_24816) @[exu_mul_ctl.scala 137:112] + node _T_24840 = add(_T_24839, _T_24817) @[exu_mul_ctl.scala 137:112] + node _T_24841 = add(_T_24840, _T_24818) @[exu_mul_ctl.scala 137:112] + node _T_24842 = add(_T_24841, _T_24819) @[exu_mul_ctl.scala 137:112] + node _T_24843 = add(_T_24842, _T_24820) @[exu_mul_ctl.scala 137:112] + node _T_24844 = add(_T_24843, _T_24821) @[exu_mul_ctl.scala 137:112] + node _T_24845 = add(_T_24844, _T_24822) @[exu_mul_ctl.scala 137:112] + node _T_24846 = add(_T_24845, _T_24823) @[exu_mul_ctl.scala 137:112] + node _T_24847 = add(_T_24846, _T_24824) @[exu_mul_ctl.scala 137:112] + node _T_24848 = add(_T_24847, _T_24825) @[exu_mul_ctl.scala 137:112] + node _T_24849 = add(_T_24848, _T_24826) @[exu_mul_ctl.scala 137:112] + node _T_24850 = add(_T_24849, _T_24827) @[exu_mul_ctl.scala 137:112] + node _T_24851 = add(_T_24850, _T_24828) @[exu_mul_ctl.scala 137:112] + node _T_24852 = eq(_T_24851, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24853 = bits(_T_24852, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24854 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_24855 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24856 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24857 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24858 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24859 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24860 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24861 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24862 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24863 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24864 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24865 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24866 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24867 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24868 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24869 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24870 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24871 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24872 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24873 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24874 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24875 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24876 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24877 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24878 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24879 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24880 = add(_T_24855, _T_24856) @[exu_mul_ctl.scala 137:112] + node _T_24881 = add(_T_24880, _T_24857) @[exu_mul_ctl.scala 137:112] + node _T_24882 = add(_T_24881, _T_24858) @[exu_mul_ctl.scala 137:112] + node _T_24883 = add(_T_24882, _T_24859) @[exu_mul_ctl.scala 137:112] + node _T_24884 = add(_T_24883, _T_24860) @[exu_mul_ctl.scala 137:112] + node _T_24885 = add(_T_24884, _T_24861) @[exu_mul_ctl.scala 137:112] + node _T_24886 = add(_T_24885, _T_24862) @[exu_mul_ctl.scala 137:112] + node _T_24887 = add(_T_24886, _T_24863) @[exu_mul_ctl.scala 137:112] + node _T_24888 = add(_T_24887, _T_24864) @[exu_mul_ctl.scala 137:112] + node _T_24889 = add(_T_24888, _T_24865) @[exu_mul_ctl.scala 137:112] + node _T_24890 = add(_T_24889, _T_24866) @[exu_mul_ctl.scala 137:112] + node _T_24891 = add(_T_24890, _T_24867) @[exu_mul_ctl.scala 137:112] + node _T_24892 = add(_T_24891, _T_24868) @[exu_mul_ctl.scala 137:112] + node _T_24893 = add(_T_24892, _T_24869) @[exu_mul_ctl.scala 137:112] + node _T_24894 = add(_T_24893, _T_24870) @[exu_mul_ctl.scala 137:112] + node _T_24895 = add(_T_24894, _T_24871) @[exu_mul_ctl.scala 137:112] + node _T_24896 = add(_T_24895, _T_24872) @[exu_mul_ctl.scala 137:112] + node _T_24897 = add(_T_24896, _T_24873) @[exu_mul_ctl.scala 137:112] + node _T_24898 = add(_T_24897, _T_24874) @[exu_mul_ctl.scala 137:112] + node _T_24899 = add(_T_24898, _T_24875) @[exu_mul_ctl.scala 137:112] + node _T_24900 = add(_T_24899, _T_24876) @[exu_mul_ctl.scala 137:112] + node _T_24901 = add(_T_24900, _T_24877) @[exu_mul_ctl.scala 137:112] + node _T_24902 = add(_T_24901, _T_24878) @[exu_mul_ctl.scala 137:112] + node _T_24903 = add(_T_24902, _T_24879) @[exu_mul_ctl.scala 137:112] + node _T_24904 = eq(_T_24903, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24905 = bits(_T_24904, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24906 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_24907 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24908 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24909 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24910 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24911 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24912 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24913 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24914 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24915 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24916 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24917 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24918 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24919 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24920 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24921 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24922 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24923 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24924 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24925 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24926 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24927 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24928 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24929 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24930 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24931 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24932 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_24933 = add(_T_24907, _T_24908) @[exu_mul_ctl.scala 137:112] + node _T_24934 = add(_T_24933, _T_24909) @[exu_mul_ctl.scala 137:112] + node _T_24935 = add(_T_24934, _T_24910) @[exu_mul_ctl.scala 137:112] + node _T_24936 = add(_T_24935, _T_24911) @[exu_mul_ctl.scala 137:112] + node _T_24937 = add(_T_24936, _T_24912) @[exu_mul_ctl.scala 137:112] + node _T_24938 = add(_T_24937, _T_24913) @[exu_mul_ctl.scala 137:112] + node _T_24939 = add(_T_24938, _T_24914) @[exu_mul_ctl.scala 137:112] + node _T_24940 = add(_T_24939, _T_24915) @[exu_mul_ctl.scala 137:112] + node _T_24941 = add(_T_24940, _T_24916) @[exu_mul_ctl.scala 137:112] + node _T_24942 = add(_T_24941, _T_24917) @[exu_mul_ctl.scala 137:112] + node _T_24943 = add(_T_24942, _T_24918) @[exu_mul_ctl.scala 137:112] + node _T_24944 = add(_T_24943, _T_24919) @[exu_mul_ctl.scala 137:112] + node _T_24945 = add(_T_24944, _T_24920) @[exu_mul_ctl.scala 137:112] + node _T_24946 = add(_T_24945, _T_24921) @[exu_mul_ctl.scala 137:112] + node _T_24947 = add(_T_24946, _T_24922) @[exu_mul_ctl.scala 137:112] + node _T_24948 = add(_T_24947, _T_24923) @[exu_mul_ctl.scala 137:112] + node _T_24949 = add(_T_24948, _T_24924) @[exu_mul_ctl.scala 137:112] + node _T_24950 = add(_T_24949, _T_24925) @[exu_mul_ctl.scala 137:112] + node _T_24951 = add(_T_24950, _T_24926) @[exu_mul_ctl.scala 137:112] + node _T_24952 = add(_T_24951, _T_24927) @[exu_mul_ctl.scala 137:112] + node _T_24953 = add(_T_24952, _T_24928) @[exu_mul_ctl.scala 137:112] + node _T_24954 = add(_T_24953, _T_24929) @[exu_mul_ctl.scala 137:112] + node _T_24955 = add(_T_24954, _T_24930) @[exu_mul_ctl.scala 137:112] + node _T_24956 = add(_T_24955, _T_24931) @[exu_mul_ctl.scala 137:112] + node _T_24957 = add(_T_24956, _T_24932) @[exu_mul_ctl.scala 137:112] + node _T_24958 = eq(_T_24957, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24959 = bits(_T_24958, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24960 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_24961 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24962 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24963 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24964 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24965 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24966 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24967 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24968 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24969 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24970 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24971 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24972 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24973 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24974 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24975 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24976 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24977 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24978 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24979 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24980 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24981 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24982 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24983 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24984 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24985 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24986 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_24987 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_24988 = add(_T_24961, _T_24962) @[exu_mul_ctl.scala 137:112] + node _T_24989 = add(_T_24988, _T_24963) @[exu_mul_ctl.scala 137:112] + node _T_24990 = add(_T_24989, _T_24964) @[exu_mul_ctl.scala 137:112] + node _T_24991 = add(_T_24990, _T_24965) @[exu_mul_ctl.scala 137:112] + node _T_24992 = add(_T_24991, _T_24966) @[exu_mul_ctl.scala 137:112] + node _T_24993 = add(_T_24992, _T_24967) @[exu_mul_ctl.scala 137:112] + node _T_24994 = add(_T_24993, _T_24968) @[exu_mul_ctl.scala 137:112] + node _T_24995 = add(_T_24994, _T_24969) @[exu_mul_ctl.scala 137:112] + node _T_24996 = add(_T_24995, _T_24970) @[exu_mul_ctl.scala 137:112] + node _T_24997 = add(_T_24996, _T_24971) @[exu_mul_ctl.scala 137:112] + node _T_24998 = add(_T_24997, _T_24972) @[exu_mul_ctl.scala 137:112] + node _T_24999 = add(_T_24998, _T_24973) @[exu_mul_ctl.scala 137:112] + node _T_25000 = add(_T_24999, _T_24974) @[exu_mul_ctl.scala 137:112] + node _T_25001 = add(_T_25000, _T_24975) @[exu_mul_ctl.scala 137:112] + node _T_25002 = add(_T_25001, _T_24976) @[exu_mul_ctl.scala 137:112] + node _T_25003 = add(_T_25002, _T_24977) @[exu_mul_ctl.scala 137:112] + node _T_25004 = add(_T_25003, _T_24978) @[exu_mul_ctl.scala 137:112] + node _T_25005 = add(_T_25004, _T_24979) @[exu_mul_ctl.scala 137:112] + node _T_25006 = add(_T_25005, _T_24980) @[exu_mul_ctl.scala 137:112] + node _T_25007 = add(_T_25006, _T_24981) @[exu_mul_ctl.scala 137:112] + node _T_25008 = add(_T_25007, _T_24982) @[exu_mul_ctl.scala 137:112] + node _T_25009 = add(_T_25008, _T_24983) @[exu_mul_ctl.scala 137:112] + node _T_25010 = add(_T_25009, _T_24984) @[exu_mul_ctl.scala 137:112] + node _T_25011 = add(_T_25010, _T_24985) @[exu_mul_ctl.scala 137:112] + node _T_25012 = add(_T_25011, _T_24986) @[exu_mul_ctl.scala 137:112] + node _T_25013 = add(_T_25012, _T_24987) @[exu_mul_ctl.scala 137:112] + node _T_25014 = eq(_T_25013, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25015 = bits(_T_25014, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25016 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_25017 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25018 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25019 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25020 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25021 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25022 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25023 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25024 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25025 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25026 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25027 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25028 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25029 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25030 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25031 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25032 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25033 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25034 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25035 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25036 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25037 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25038 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25039 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25040 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25041 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_25042 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_25043 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_25044 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_25045 = add(_T_25017, _T_25018) @[exu_mul_ctl.scala 137:112] + node _T_25046 = add(_T_25045, _T_25019) @[exu_mul_ctl.scala 137:112] + node _T_25047 = add(_T_25046, _T_25020) @[exu_mul_ctl.scala 137:112] + node _T_25048 = add(_T_25047, _T_25021) @[exu_mul_ctl.scala 137:112] + node _T_25049 = add(_T_25048, _T_25022) @[exu_mul_ctl.scala 137:112] + node _T_25050 = add(_T_25049, _T_25023) @[exu_mul_ctl.scala 137:112] + node _T_25051 = add(_T_25050, _T_25024) @[exu_mul_ctl.scala 137:112] + node _T_25052 = add(_T_25051, _T_25025) @[exu_mul_ctl.scala 137:112] + node _T_25053 = add(_T_25052, _T_25026) @[exu_mul_ctl.scala 137:112] + node _T_25054 = add(_T_25053, _T_25027) @[exu_mul_ctl.scala 137:112] + node _T_25055 = add(_T_25054, _T_25028) @[exu_mul_ctl.scala 137:112] + node _T_25056 = add(_T_25055, _T_25029) @[exu_mul_ctl.scala 137:112] + node _T_25057 = add(_T_25056, _T_25030) @[exu_mul_ctl.scala 137:112] + node _T_25058 = add(_T_25057, _T_25031) @[exu_mul_ctl.scala 137:112] + node _T_25059 = add(_T_25058, _T_25032) @[exu_mul_ctl.scala 137:112] + node _T_25060 = add(_T_25059, _T_25033) @[exu_mul_ctl.scala 137:112] + node _T_25061 = add(_T_25060, _T_25034) @[exu_mul_ctl.scala 137:112] + node _T_25062 = add(_T_25061, _T_25035) @[exu_mul_ctl.scala 137:112] + node _T_25063 = add(_T_25062, _T_25036) @[exu_mul_ctl.scala 137:112] + node _T_25064 = add(_T_25063, _T_25037) @[exu_mul_ctl.scala 137:112] + node _T_25065 = add(_T_25064, _T_25038) @[exu_mul_ctl.scala 137:112] + node _T_25066 = add(_T_25065, _T_25039) @[exu_mul_ctl.scala 137:112] + node _T_25067 = add(_T_25066, _T_25040) @[exu_mul_ctl.scala 137:112] + node _T_25068 = add(_T_25067, _T_25041) @[exu_mul_ctl.scala 137:112] + node _T_25069 = add(_T_25068, _T_25042) @[exu_mul_ctl.scala 137:112] + node _T_25070 = add(_T_25069, _T_25043) @[exu_mul_ctl.scala 137:112] + node _T_25071 = add(_T_25070, _T_25044) @[exu_mul_ctl.scala 137:112] + node _T_25072 = eq(_T_25071, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25073 = bits(_T_25072, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25074 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_25075 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25076 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25077 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25078 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25079 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25080 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25081 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25082 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25083 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25084 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25085 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25086 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25087 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25088 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25089 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25090 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25091 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25092 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25093 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25094 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25095 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25096 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25097 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25098 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25099 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_25100 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_25101 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_25102 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_25103 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_25104 = add(_T_25075, _T_25076) @[exu_mul_ctl.scala 137:112] + node _T_25105 = add(_T_25104, _T_25077) @[exu_mul_ctl.scala 137:112] + node _T_25106 = add(_T_25105, _T_25078) @[exu_mul_ctl.scala 137:112] + node _T_25107 = add(_T_25106, _T_25079) @[exu_mul_ctl.scala 137:112] + node _T_25108 = add(_T_25107, _T_25080) @[exu_mul_ctl.scala 137:112] + node _T_25109 = add(_T_25108, _T_25081) @[exu_mul_ctl.scala 137:112] + node _T_25110 = add(_T_25109, _T_25082) @[exu_mul_ctl.scala 137:112] + node _T_25111 = add(_T_25110, _T_25083) @[exu_mul_ctl.scala 137:112] + node _T_25112 = add(_T_25111, _T_25084) @[exu_mul_ctl.scala 137:112] + node _T_25113 = add(_T_25112, _T_25085) @[exu_mul_ctl.scala 137:112] + node _T_25114 = add(_T_25113, _T_25086) @[exu_mul_ctl.scala 137:112] + node _T_25115 = add(_T_25114, _T_25087) @[exu_mul_ctl.scala 137:112] + node _T_25116 = add(_T_25115, _T_25088) @[exu_mul_ctl.scala 137:112] + node _T_25117 = add(_T_25116, _T_25089) @[exu_mul_ctl.scala 137:112] + node _T_25118 = add(_T_25117, _T_25090) @[exu_mul_ctl.scala 137:112] + node _T_25119 = add(_T_25118, _T_25091) @[exu_mul_ctl.scala 137:112] + node _T_25120 = add(_T_25119, _T_25092) @[exu_mul_ctl.scala 137:112] + node _T_25121 = add(_T_25120, _T_25093) @[exu_mul_ctl.scala 137:112] + node _T_25122 = add(_T_25121, _T_25094) @[exu_mul_ctl.scala 137:112] + node _T_25123 = add(_T_25122, _T_25095) @[exu_mul_ctl.scala 137:112] + node _T_25124 = add(_T_25123, _T_25096) @[exu_mul_ctl.scala 137:112] + node _T_25125 = add(_T_25124, _T_25097) @[exu_mul_ctl.scala 137:112] + node _T_25126 = add(_T_25125, _T_25098) @[exu_mul_ctl.scala 137:112] + node _T_25127 = add(_T_25126, _T_25099) @[exu_mul_ctl.scala 137:112] + node _T_25128 = add(_T_25127, _T_25100) @[exu_mul_ctl.scala 137:112] + node _T_25129 = add(_T_25128, _T_25101) @[exu_mul_ctl.scala 137:112] + node _T_25130 = add(_T_25129, _T_25102) @[exu_mul_ctl.scala 137:112] + node _T_25131 = add(_T_25130, _T_25103) @[exu_mul_ctl.scala 137:112] + node _T_25132 = eq(_T_25131, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25133 = bits(_T_25132, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25134 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_25135 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25136 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25137 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25138 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25139 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25140 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25141 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25142 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25143 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25144 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25145 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25146 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25147 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25148 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25149 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25150 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25151 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25152 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25153 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25154 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25155 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25156 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25157 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25158 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25159 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_25160 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_25161 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_25162 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_25163 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_25164 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_25165 = add(_T_25135, _T_25136) @[exu_mul_ctl.scala 137:112] + node _T_25166 = add(_T_25165, _T_25137) @[exu_mul_ctl.scala 137:112] + node _T_25167 = add(_T_25166, _T_25138) @[exu_mul_ctl.scala 137:112] + node _T_25168 = add(_T_25167, _T_25139) @[exu_mul_ctl.scala 137:112] + node _T_25169 = add(_T_25168, _T_25140) @[exu_mul_ctl.scala 137:112] + node _T_25170 = add(_T_25169, _T_25141) @[exu_mul_ctl.scala 137:112] + node _T_25171 = add(_T_25170, _T_25142) @[exu_mul_ctl.scala 137:112] + node _T_25172 = add(_T_25171, _T_25143) @[exu_mul_ctl.scala 137:112] + node _T_25173 = add(_T_25172, _T_25144) @[exu_mul_ctl.scala 137:112] + node _T_25174 = add(_T_25173, _T_25145) @[exu_mul_ctl.scala 137:112] + node _T_25175 = add(_T_25174, _T_25146) @[exu_mul_ctl.scala 137:112] + node _T_25176 = add(_T_25175, _T_25147) @[exu_mul_ctl.scala 137:112] + node _T_25177 = add(_T_25176, _T_25148) @[exu_mul_ctl.scala 137:112] + node _T_25178 = add(_T_25177, _T_25149) @[exu_mul_ctl.scala 137:112] + node _T_25179 = add(_T_25178, _T_25150) @[exu_mul_ctl.scala 137:112] + node _T_25180 = add(_T_25179, _T_25151) @[exu_mul_ctl.scala 137:112] + node _T_25181 = add(_T_25180, _T_25152) @[exu_mul_ctl.scala 137:112] + node _T_25182 = add(_T_25181, _T_25153) @[exu_mul_ctl.scala 137:112] + node _T_25183 = add(_T_25182, _T_25154) @[exu_mul_ctl.scala 137:112] + node _T_25184 = add(_T_25183, _T_25155) @[exu_mul_ctl.scala 137:112] + node _T_25185 = add(_T_25184, _T_25156) @[exu_mul_ctl.scala 137:112] + node _T_25186 = add(_T_25185, _T_25157) @[exu_mul_ctl.scala 137:112] + node _T_25187 = add(_T_25186, _T_25158) @[exu_mul_ctl.scala 137:112] + node _T_25188 = add(_T_25187, _T_25159) @[exu_mul_ctl.scala 137:112] + node _T_25189 = add(_T_25188, _T_25160) @[exu_mul_ctl.scala 137:112] + node _T_25190 = add(_T_25189, _T_25161) @[exu_mul_ctl.scala 137:112] + node _T_25191 = add(_T_25190, _T_25162) @[exu_mul_ctl.scala 137:112] + node _T_25192 = add(_T_25191, _T_25163) @[exu_mul_ctl.scala 137:112] + node _T_25193 = add(_T_25192, _T_25164) @[exu_mul_ctl.scala 137:112] + node _T_25194 = eq(_T_25193, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25195 = bits(_T_25194, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25196 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_25197 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25198 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25199 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25200 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25201 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25202 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25203 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25204 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25205 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25206 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25207 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25208 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25209 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25210 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25211 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25212 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25213 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25214 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25215 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25216 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25217 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25218 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25219 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25220 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25221 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_25222 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_25223 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_25224 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_25225 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_25226 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_25227 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_25228 = add(_T_25197, _T_25198) @[exu_mul_ctl.scala 137:112] + node _T_25229 = add(_T_25228, _T_25199) @[exu_mul_ctl.scala 137:112] + node _T_25230 = add(_T_25229, _T_25200) @[exu_mul_ctl.scala 137:112] + node _T_25231 = add(_T_25230, _T_25201) @[exu_mul_ctl.scala 137:112] + node _T_25232 = add(_T_25231, _T_25202) @[exu_mul_ctl.scala 137:112] + node _T_25233 = add(_T_25232, _T_25203) @[exu_mul_ctl.scala 137:112] + node _T_25234 = add(_T_25233, _T_25204) @[exu_mul_ctl.scala 137:112] + node _T_25235 = add(_T_25234, _T_25205) @[exu_mul_ctl.scala 137:112] + node _T_25236 = add(_T_25235, _T_25206) @[exu_mul_ctl.scala 137:112] + node _T_25237 = add(_T_25236, _T_25207) @[exu_mul_ctl.scala 137:112] + node _T_25238 = add(_T_25237, _T_25208) @[exu_mul_ctl.scala 137:112] + node _T_25239 = add(_T_25238, _T_25209) @[exu_mul_ctl.scala 137:112] + node _T_25240 = add(_T_25239, _T_25210) @[exu_mul_ctl.scala 137:112] + node _T_25241 = add(_T_25240, _T_25211) @[exu_mul_ctl.scala 137:112] + node _T_25242 = add(_T_25241, _T_25212) @[exu_mul_ctl.scala 137:112] + node _T_25243 = add(_T_25242, _T_25213) @[exu_mul_ctl.scala 137:112] + node _T_25244 = add(_T_25243, _T_25214) @[exu_mul_ctl.scala 137:112] + node _T_25245 = add(_T_25244, _T_25215) @[exu_mul_ctl.scala 137:112] + node _T_25246 = add(_T_25245, _T_25216) @[exu_mul_ctl.scala 137:112] + node _T_25247 = add(_T_25246, _T_25217) @[exu_mul_ctl.scala 137:112] + node _T_25248 = add(_T_25247, _T_25218) @[exu_mul_ctl.scala 137:112] + node _T_25249 = add(_T_25248, _T_25219) @[exu_mul_ctl.scala 137:112] + node _T_25250 = add(_T_25249, _T_25220) @[exu_mul_ctl.scala 137:112] + node _T_25251 = add(_T_25250, _T_25221) @[exu_mul_ctl.scala 137:112] + node _T_25252 = add(_T_25251, _T_25222) @[exu_mul_ctl.scala 137:112] + node _T_25253 = add(_T_25252, _T_25223) @[exu_mul_ctl.scala 137:112] + node _T_25254 = add(_T_25253, _T_25224) @[exu_mul_ctl.scala 137:112] + node _T_25255 = add(_T_25254, _T_25225) @[exu_mul_ctl.scala 137:112] + node _T_25256 = add(_T_25255, _T_25226) @[exu_mul_ctl.scala 137:112] + node _T_25257 = add(_T_25256, _T_25227) @[exu_mul_ctl.scala 137:112] + node _T_25258 = eq(_T_25257, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25259 = bits(_T_25258, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25260 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_25261 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25262 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25263 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25264 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25265 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25266 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25267 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25268 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25269 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25270 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25271 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25272 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25273 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25274 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25275 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25276 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25277 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25278 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25279 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25280 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25281 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25282 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25283 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25284 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25285 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_25286 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_25287 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_25288 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_25289 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_25290 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_25291 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_25292 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_25293 = add(_T_25261, _T_25262) @[exu_mul_ctl.scala 137:112] + node _T_25294 = add(_T_25293, _T_25263) @[exu_mul_ctl.scala 137:112] + node _T_25295 = add(_T_25294, _T_25264) @[exu_mul_ctl.scala 137:112] + node _T_25296 = add(_T_25295, _T_25265) @[exu_mul_ctl.scala 137:112] + node _T_25297 = add(_T_25296, _T_25266) @[exu_mul_ctl.scala 137:112] + node _T_25298 = add(_T_25297, _T_25267) @[exu_mul_ctl.scala 137:112] + node _T_25299 = add(_T_25298, _T_25268) @[exu_mul_ctl.scala 137:112] + node _T_25300 = add(_T_25299, _T_25269) @[exu_mul_ctl.scala 137:112] + node _T_25301 = add(_T_25300, _T_25270) @[exu_mul_ctl.scala 137:112] + node _T_25302 = add(_T_25301, _T_25271) @[exu_mul_ctl.scala 137:112] + node _T_25303 = add(_T_25302, _T_25272) @[exu_mul_ctl.scala 137:112] + node _T_25304 = add(_T_25303, _T_25273) @[exu_mul_ctl.scala 137:112] + node _T_25305 = add(_T_25304, _T_25274) @[exu_mul_ctl.scala 137:112] + node _T_25306 = add(_T_25305, _T_25275) @[exu_mul_ctl.scala 137:112] + node _T_25307 = add(_T_25306, _T_25276) @[exu_mul_ctl.scala 137:112] + node _T_25308 = add(_T_25307, _T_25277) @[exu_mul_ctl.scala 137:112] + node _T_25309 = add(_T_25308, _T_25278) @[exu_mul_ctl.scala 137:112] + node _T_25310 = add(_T_25309, _T_25279) @[exu_mul_ctl.scala 137:112] + node _T_25311 = add(_T_25310, _T_25280) @[exu_mul_ctl.scala 137:112] + node _T_25312 = add(_T_25311, _T_25281) @[exu_mul_ctl.scala 137:112] + node _T_25313 = add(_T_25312, _T_25282) @[exu_mul_ctl.scala 137:112] + node _T_25314 = add(_T_25313, _T_25283) @[exu_mul_ctl.scala 137:112] + node _T_25315 = add(_T_25314, _T_25284) @[exu_mul_ctl.scala 137:112] + node _T_25316 = add(_T_25315, _T_25285) @[exu_mul_ctl.scala 137:112] + node _T_25317 = add(_T_25316, _T_25286) @[exu_mul_ctl.scala 137:112] + node _T_25318 = add(_T_25317, _T_25287) @[exu_mul_ctl.scala 137:112] + node _T_25319 = add(_T_25318, _T_25288) @[exu_mul_ctl.scala 137:112] + node _T_25320 = add(_T_25319, _T_25289) @[exu_mul_ctl.scala 137:112] + node _T_25321 = add(_T_25320, _T_25290) @[exu_mul_ctl.scala 137:112] + node _T_25322 = add(_T_25321, _T_25291) @[exu_mul_ctl.scala 137:112] + node _T_25323 = add(_T_25322, _T_25292) @[exu_mul_ctl.scala 137:112] + node _T_25324 = eq(_T_25323, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25325 = bits(_T_25324, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25326 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_25327 = mux(_T_25325, _T_25326, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_25328 = mux(_T_25259, _T_25260, _T_25327) @[Mux.scala 98:16] + node _T_25329 = mux(_T_25195, _T_25196, _T_25328) @[Mux.scala 98:16] + node _T_25330 = mux(_T_25133, _T_25134, _T_25329) @[Mux.scala 98:16] + node _T_25331 = mux(_T_25073, _T_25074, _T_25330) @[Mux.scala 98:16] + node _T_25332 = mux(_T_25015, _T_25016, _T_25331) @[Mux.scala 98:16] + node _T_25333 = mux(_T_24959, _T_24960, _T_25332) @[Mux.scala 98:16] + node _T_25334 = mux(_T_24905, _T_24906, _T_25333) @[Mux.scala 98:16] + node _T_25335 = mux(_T_24853, _T_24854, _T_25334) @[Mux.scala 98:16] + node _T_25336 = mux(_T_24803, _T_24804, _T_25335) @[Mux.scala 98:16] + node _T_25337 = mux(_T_24755, _T_24756, _T_25336) @[Mux.scala 98:16] + node _T_25338 = mux(_T_24709, _T_24710, _T_25337) @[Mux.scala 98:16] + node _T_25339 = mux(_T_24665, _T_24666, _T_25338) @[Mux.scala 98:16] + node _T_25340 = mux(_T_24623, _T_24624, _T_25339) @[Mux.scala 98:16] + node _T_25341 = mux(_T_24583, _T_24584, _T_25340) @[Mux.scala 98:16] + node _T_25342 = mux(_T_24545, _T_24546, _T_25341) @[Mux.scala 98:16] + node _T_25343 = mux(_T_24509, _T_24510, _T_25342) @[Mux.scala 98:16] + node _T_25344 = mux(_T_24475, _T_24476, _T_25343) @[Mux.scala 98:16] + node _T_25345 = mux(_T_24443, _T_24444, _T_25344) @[Mux.scala 98:16] + node _T_25346 = mux(_T_24413, _T_24414, _T_25345) @[Mux.scala 98:16] + node _T_25347 = mux(_T_24385, _T_24386, _T_25346) @[Mux.scala 98:16] + node _T_25348 = mux(_T_24359, _T_24360, _T_25347) @[Mux.scala 98:16] + node _T_25349 = mux(_T_24335, _T_24336, _T_25348) @[Mux.scala 98:16] + node _T_25350 = mux(_T_24313, _T_24314, _T_25349) @[Mux.scala 98:16] + node _T_25351 = mux(_T_24293, _T_24294, _T_25350) @[Mux.scala 98:16] + node _T_25352 = mux(_T_24275, _T_24276, _T_25351) @[Mux.scala 98:16] + node _T_25353 = mux(_T_24259, _T_24260, _T_25352) @[Mux.scala 98:16] + node _T_25354 = mux(_T_24245, _T_24246, _T_25353) @[Mux.scala 98:16] + node _T_25355 = mux(_T_24233, _T_24234, _T_25354) @[Mux.scala 98:16] + node _T_25356 = mux(_T_24223, _T_24224, _T_25355) @[Mux.scala 98:16] + node _T_25357 = mux(_T_24215, _T_24216, _T_25356) @[Mux.scala 98:16] + node _T_25358 = mux(_T_24209, _T_24210, _T_25357) @[Mux.scala 98:16] + node _T_25359 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_25360 = eq(_T_25359, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25361 = bits(_T_25360, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25362 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_25363 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25364 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25365 = add(_T_25363, _T_25364) @[exu_mul_ctl.scala 137:112] + node _T_25366 = eq(_T_25365, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25367 = bits(_T_25366, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25368 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_25369 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25370 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25371 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25372 = add(_T_25369, _T_25370) @[exu_mul_ctl.scala 137:112] + node _T_25373 = add(_T_25372, _T_25371) @[exu_mul_ctl.scala 137:112] + node _T_25374 = eq(_T_25373, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25375 = bits(_T_25374, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25376 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_25377 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25378 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25379 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25380 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25381 = add(_T_25377, _T_25378) @[exu_mul_ctl.scala 137:112] + node _T_25382 = add(_T_25381, _T_25379) @[exu_mul_ctl.scala 137:112] + node _T_25383 = add(_T_25382, _T_25380) @[exu_mul_ctl.scala 137:112] + node _T_25384 = eq(_T_25383, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25385 = bits(_T_25384, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25386 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_25387 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25388 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25389 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25390 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25391 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25392 = add(_T_25387, _T_25388) @[exu_mul_ctl.scala 137:112] + node _T_25393 = add(_T_25392, _T_25389) @[exu_mul_ctl.scala 137:112] + node _T_25394 = add(_T_25393, _T_25390) @[exu_mul_ctl.scala 137:112] + node _T_25395 = add(_T_25394, _T_25391) @[exu_mul_ctl.scala 137:112] + node _T_25396 = eq(_T_25395, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25397 = bits(_T_25396, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25398 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_25399 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25400 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25401 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25402 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25403 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25404 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25405 = add(_T_25399, _T_25400) @[exu_mul_ctl.scala 137:112] + node _T_25406 = add(_T_25405, _T_25401) @[exu_mul_ctl.scala 137:112] + node _T_25407 = add(_T_25406, _T_25402) @[exu_mul_ctl.scala 137:112] + node _T_25408 = add(_T_25407, _T_25403) @[exu_mul_ctl.scala 137:112] + node _T_25409 = add(_T_25408, _T_25404) @[exu_mul_ctl.scala 137:112] + node _T_25410 = eq(_T_25409, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25411 = bits(_T_25410, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25412 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_25413 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25414 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25415 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25416 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25417 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25418 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25419 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25420 = add(_T_25413, _T_25414) @[exu_mul_ctl.scala 137:112] + node _T_25421 = add(_T_25420, _T_25415) @[exu_mul_ctl.scala 137:112] + node _T_25422 = add(_T_25421, _T_25416) @[exu_mul_ctl.scala 137:112] + node _T_25423 = add(_T_25422, _T_25417) @[exu_mul_ctl.scala 137:112] + node _T_25424 = add(_T_25423, _T_25418) @[exu_mul_ctl.scala 137:112] + node _T_25425 = add(_T_25424, _T_25419) @[exu_mul_ctl.scala 137:112] + node _T_25426 = eq(_T_25425, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25427 = bits(_T_25426, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25428 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_25429 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25430 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25431 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25432 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25433 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25434 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25435 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25436 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25437 = add(_T_25429, _T_25430) @[exu_mul_ctl.scala 137:112] + node _T_25438 = add(_T_25437, _T_25431) @[exu_mul_ctl.scala 137:112] + node _T_25439 = add(_T_25438, _T_25432) @[exu_mul_ctl.scala 137:112] + node _T_25440 = add(_T_25439, _T_25433) @[exu_mul_ctl.scala 137:112] + node _T_25441 = add(_T_25440, _T_25434) @[exu_mul_ctl.scala 137:112] + node _T_25442 = add(_T_25441, _T_25435) @[exu_mul_ctl.scala 137:112] + node _T_25443 = add(_T_25442, _T_25436) @[exu_mul_ctl.scala 137:112] + node _T_25444 = eq(_T_25443, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25445 = bits(_T_25444, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25446 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_25447 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25448 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25449 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25450 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25451 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25452 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25453 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25454 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25455 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25456 = add(_T_25447, _T_25448) @[exu_mul_ctl.scala 137:112] + node _T_25457 = add(_T_25456, _T_25449) @[exu_mul_ctl.scala 137:112] + node _T_25458 = add(_T_25457, _T_25450) @[exu_mul_ctl.scala 137:112] + node _T_25459 = add(_T_25458, _T_25451) @[exu_mul_ctl.scala 137:112] + node _T_25460 = add(_T_25459, _T_25452) @[exu_mul_ctl.scala 137:112] + node _T_25461 = add(_T_25460, _T_25453) @[exu_mul_ctl.scala 137:112] + node _T_25462 = add(_T_25461, _T_25454) @[exu_mul_ctl.scala 137:112] + node _T_25463 = add(_T_25462, _T_25455) @[exu_mul_ctl.scala 137:112] + node _T_25464 = eq(_T_25463, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25465 = bits(_T_25464, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25466 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_25467 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25468 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25469 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25470 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25471 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25472 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25473 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25474 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25475 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25476 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25477 = add(_T_25467, _T_25468) @[exu_mul_ctl.scala 137:112] + node _T_25478 = add(_T_25477, _T_25469) @[exu_mul_ctl.scala 137:112] + node _T_25479 = add(_T_25478, _T_25470) @[exu_mul_ctl.scala 137:112] + node _T_25480 = add(_T_25479, _T_25471) @[exu_mul_ctl.scala 137:112] + node _T_25481 = add(_T_25480, _T_25472) @[exu_mul_ctl.scala 137:112] + node _T_25482 = add(_T_25481, _T_25473) @[exu_mul_ctl.scala 137:112] + node _T_25483 = add(_T_25482, _T_25474) @[exu_mul_ctl.scala 137:112] + node _T_25484 = add(_T_25483, _T_25475) @[exu_mul_ctl.scala 137:112] + node _T_25485 = add(_T_25484, _T_25476) @[exu_mul_ctl.scala 137:112] + node _T_25486 = eq(_T_25485, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25487 = bits(_T_25486, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25488 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_25489 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25490 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25491 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25492 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25493 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25494 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25495 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25496 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25497 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25498 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25499 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25500 = add(_T_25489, _T_25490) @[exu_mul_ctl.scala 137:112] + node _T_25501 = add(_T_25500, _T_25491) @[exu_mul_ctl.scala 137:112] + node _T_25502 = add(_T_25501, _T_25492) @[exu_mul_ctl.scala 137:112] + node _T_25503 = add(_T_25502, _T_25493) @[exu_mul_ctl.scala 137:112] + node _T_25504 = add(_T_25503, _T_25494) @[exu_mul_ctl.scala 137:112] + node _T_25505 = add(_T_25504, _T_25495) @[exu_mul_ctl.scala 137:112] + node _T_25506 = add(_T_25505, _T_25496) @[exu_mul_ctl.scala 137:112] + node _T_25507 = add(_T_25506, _T_25497) @[exu_mul_ctl.scala 137:112] + node _T_25508 = add(_T_25507, _T_25498) @[exu_mul_ctl.scala 137:112] + node _T_25509 = add(_T_25508, _T_25499) @[exu_mul_ctl.scala 137:112] + node _T_25510 = eq(_T_25509, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25511 = bits(_T_25510, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25512 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_25513 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25514 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25515 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25516 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25517 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25518 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25519 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25520 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25521 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25522 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25523 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25524 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25525 = add(_T_25513, _T_25514) @[exu_mul_ctl.scala 137:112] + node _T_25526 = add(_T_25525, _T_25515) @[exu_mul_ctl.scala 137:112] + node _T_25527 = add(_T_25526, _T_25516) @[exu_mul_ctl.scala 137:112] + node _T_25528 = add(_T_25527, _T_25517) @[exu_mul_ctl.scala 137:112] + node _T_25529 = add(_T_25528, _T_25518) @[exu_mul_ctl.scala 137:112] + node _T_25530 = add(_T_25529, _T_25519) @[exu_mul_ctl.scala 137:112] + node _T_25531 = add(_T_25530, _T_25520) @[exu_mul_ctl.scala 137:112] + node _T_25532 = add(_T_25531, _T_25521) @[exu_mul_ctl.scala 137:112] + node _T_25533 = add(_T_25532, _T_25522) @[exu_mul_ctl.scala 137:112] + node _T_25534 = add(_T_25533, _T_25523) @[exu_mul_ctl.scala 137:112] + node _T_25535 = add(_T_25534, _T_25524) @[exu_mul_ctl.scala 137:112] + node _T_25536 = eq(_T_25535, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25537 = bits(_T_25536, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25538 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_25539 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25540 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25541 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25542 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25543 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25544 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25545 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25546 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25547 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25548 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25549 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25550 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25551 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25552 = add(_T_25539, _T_25540) @[exu_mul_ctl.scala 137:112] + node _T_25553 = add(_T_25552, _T_25541) @[exu_mul_ctl.scala 137:112] + node _T_25554 = add(_T_25553, _T_25542) @[exu_mul_ctl.scala 137:112] + node _T_25555 = add(_T_25554, _T_25543) @[exu_mul_ctl.scala 137:112] + node _T_25556 = add(_T_25555, _T_25544) @[exu_mul_ctl.scala 137:112] + node _T_25557 = add(_T_25556, _T_25545) @[exu_mul_ctl.scala 137:112] + node _T_25558 = add(_T_25557, _T_25546) @[exu_mul_ctl.scala 137:112] + node _T_25559 = add(_T_25558, _T_25547) @[exu_mul_ctl.scala 137:112] + node _T_25560 = add(_T_25559, _T_25548) @[exu_mul_ctl.scala 137:112] + node _T_25561 = add(_T_25560, _T_25549) @[exu_mul_ctl.scala 137:112] + node _T_25562 = add(_T_25561, _T_25550) @[exu_mul_ctl.scala 137:112] + node _T_25563 = add(_T_25562, _T_25551) @[exu_mul_ctl.scala 137:112] + node _T_25564 = eq(_T_25563, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25565 = bits(_T_25564, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25566 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_25567 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25568 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25569 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25570 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25571 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25572 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25573 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25574 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25575 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25576 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25577 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25578 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25579 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25580 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25581 = add(_T_25567, _T_25568) @[exu_mul_ctl.scala 137:112] + node _T_25582 = add(_T_25581, _T_25569) @[exu_mul_ctl.scala 137:112] + node _T_25583 = add(_T_25582, _T_25570) @[exu_mul_ctl.scala 137:112] + node _T_25584 = add(_T_25583, _T_25571) @[exu_mul_ctl.scala 137:112] + node _T_25585 = add(_T_25584, _T_25572) @[exu_mul_ctl.scala 137:112] + node _T_25586 = add(_T_25585, _T_25573) @[exu_mul_ctl.scala 137:112] + node _T_25587 = add(_T_25586, _T_25574) @[exu_mul_ctl.scala 137:112] + node _T_25588 = add(_T_25587, _T_25575) @[exu_mul_ctl.scala 137:112] + node _T_25589 = add(_T_25588, _T_25576) @[exu_mul_ctl.scala 137:112] + node _T_25590 = add(_T_25589, _T_25577) @[exu_mul_ctl.scala 137:112] + node _T_25591 = add(_T_25590, _T_25578) @[exu_mul_ctl.scala 137:112] + node _T_25592 = add(_T_25591, _T_25579) @[exu_mul_ctl.scala 137:112] + node _T_25593 = add(_T_25592, _T_25580) @[exu_mul_ctl.scala 137:112] + node _T_25594 = eq(_T_25593, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25595 = bits(_T_25594, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25596 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_25597 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25598 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25599 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25600 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25601 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25602 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25603 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25604 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25605 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25606 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25607 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25608 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25609 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25610 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25611 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25612 = add(_T_25597, _T_25598) @[exu_mul_ctl.scala 137:112] + node _T_25613 = add(_T_25612, _T_25599) @[exu_mul_ctl.scala 137:112] + node _T_25614 = add(_T_25613, _T_25600) @[exu_mul_ctl.scala 137:112] + node _T_25615 = add(_T_25614, _T_25601) @[exu_mul_ctl.scala 137:112] + node _T_25616 = add(_T_25615, _T_25602) @[exu_mul_ctl.scala 137:112] + node _T_25617 = add(_T_25616, _T_25603) @[exu_mul_ctl.scala 137:112] + node _T_25618 = add(_T_25617, _T_25604) @[exu_mul_ctl.scala 137:112] + node _T_25619 = add(_T_25618, _T_25605) @[exu_mul_ctl.scala 137:112] + node _T_25620 = add(_T_25619, _T_25606) @[exu_mul_ctl.scala 137:112] + node _T_25621 = add(_T_25620, _T_25607) @[exu_mul_ctl.scala 137:112] + node _T_25622 = add(_T_25621, _T_25608) @[exu_mul_ctl.scala 137:112] + node _T_25623 = add(_T_25622, _T_25609) @[exu_mul_ctl.scala 137:112] + node _T_25624 = add(_T_25623, _T_25610) @[exu_mul_ctl.scala 137:112] + node _T_25625 = add(_T_25624, _T_25611) @[exu_mul_ctl.scala 137:112] + node _T_25626 = eq(_T_25625, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25627 = bits(_T_25626, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25628 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_25629 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25630 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25631 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25632 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25633 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25634 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25635 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25636 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25637 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25638 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25639 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25640 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25641 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25642 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25643 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25644 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25645 = add(_T_25629, _T_25630) @[exu_mul_ctl.scala 137:112] + node _T_25646 = add(_T_25645, _T_25631) @[exu_mul_ctl.scala 137:112] + node _T_25647 = add(_T_25646, _T_25632) @[exu_mul_ctl.scala 137:112] + node _T_25648 = add(_T_25647, _T_25633) @[exu_mul_ctl.scala 137:112] + node _T_25649 = add(_T_25648, _T_25634) @[exu_mul_ctl.scala 137:112] + node _T_25650 = add(_T_25649, _T_25635) @[exu_mul_ctl.scala 137:112] + node _T_25651 = add(_T_25650, _T_25636) @[exu_mul_ctl.scala 137:112] + node _T_25652 = add(_T_25651, _T_25637) @[exu_mul_ctl.scala 137:112] + node _T_25653 = add(_T_25652, _T_25638) @[exu_mul_ctl.scala 137:112] + node _T_25654 = add(_T_25653, _T_25639) @[exu_mul_ctl.scala 137:112] + node _T_25655 = add(_T_25654, _T_25640) @[exu_mul_ctl.scala 137:112] + node _T_25656 = add(_T_25655, _T_25641) @[exu_mul_ctl.scala 137:112] + node _T_25657 = add(_T_25656, _T_25642) @[exu_mul_ctl.scala 137:112] + node _T_25658 = add(_T_25657, _T_25643) @[exu_mul_ctl.scala 137:112] + node _T_25659 = add(_T_25658, _T_25644) @[exu_mul_ctl.scala 137:112] + node _T_25660 = eq(_T_25659, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25661 = bits(_T_25660, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25662 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_25663 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25664 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25665 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25666 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25667 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25668 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25669 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25670 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25671 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25672 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25673 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25674 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25675 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25676 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25677 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25678 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25679 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25680 = add(_T_25663, _T_25664) @[exu_mul_ctl.scala 137:112] + node _T_25681 = add(_T_25680, _T_25665) @[exu_mul_ctl.scala 137:112] + node _T_25682 = add(_T_25681, _T_25666) @[exu_mul_ctl.scala 137:112] + node _T_25683 = add(_T_25682, _T_25667) @[exu_mul_ctl.scala 137:112] + node _T_25684 = add(_T_25683, _T_25668) @[exu_mul_ctl.scala 137:112] + node _T_25685 = add(_T_25684, _T_25669) @[exu_mul_ctl.scala 137:112] + node _T_25686 = add(_T_25685, _T_25670) @[exu_mul_ctl.scala 137:112] + node _T_25687 = add(_T_25686, _T_25671) @[exu_mul_ctl.scala 137:112] + node _T_25688 = add(_T_25687, _T_25672) @[exu_mul_ctl.scala 137:112] + node _T_25689 = add(_T_25688, _T_25673) @[exu_mul_ctl.scala 137:112] + node _T_25690 = add(_T_25689, _T_25674) @[exu_mul_ctl.scala 137:112] + node _T_25691 = add(_T_25690, _T_25675) @[exu_mul_ctl.scala 137:112] + node _T_25692 = add(_T_25691, _T_25676) @[exu_mul_ctl.scala 137:112] + node _T_25693 = add(_T_25692, _T_25677) @[exu_mul_ctl.scala 137:112] + node _T_25694 = add(_T_25693, _T_25678) @[exu_mul_ctl.scala 137:112] + node _T_25695 = add(_T_25694, _T_25679) @[exu_mul_ctl.scala 137:112] + node _T_25696 = eq(_T_25695, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25697 = bits(_T_25696, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25698 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_25699 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25700 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25701 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25702 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25703 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25704 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25705 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25706 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25707 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25708 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25709 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25710 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25711 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25712 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25713 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25714 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25715 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25716 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25717 = add(_T_25699, _T_25700) @[exu_mul_ctl.scala 137:112] + node _T_25718 = add(_T_25717, _T_25701) @[exu_mul_ctl.scala 137:112] + node _T_25719 = add(_T_25718, _T_25702) @[exu_mul_ctl.scala 137:112] + node _T_25720 = add(_T_25719, _T_25703) @[exu_mul_ctl.scala 137:112] + node _T_25721 = add(_T_25720, _T_25704) @[exu_mul_ctl.scala 137:112] + node _T_25722 = add(_T_25721, _T_25705) @[exu_mul_ctl.scala 137:112] + node _T_25723 = add(_T_25722, _T_25706) @[exu_mul_ctl.scala 137:112] + node _T_25724 = add(_T_25723, _T_25707) @[exu_mul_ctl.scala 137:112] + node _T_25725 = add(_T_25724, _T_25708) @[exu_mul_ctl.scala 137:112] + node _T_25726 = add(_T_25725, _T_25709) @[exu_mul_ctl.scala 137:112] + node _T_25727 = add(_T_25726, _T_25710) @[exu_mul_ctl.scala 137:112] + node _T_25728 = add(_T_25727, _T_25711) @[exu_mul_ctl.scala 137:112] + node _T_25729 = add(_T_25728, _T_25712) @[exu_mul_ctl.scala 137:112] + node _T_25730 = add(_T_25729, _T_25713) @[exu_mul_ctl.scala 137:112] + node _T_25731 = add(_T_25730, _T_25714) @[exu_mul_ctl.scala 137:112] + node _T_25732 = add(_T_25731, _T_25715) @[exu_mul_ctl.scala 137:112] + node _T_25733 = add(_T_25732, _T_25716) @[exu_mul_ctl.scala 137:112] + node _T_25734 = eq(_T_25733, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25735 = bits(_T_25734, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25736 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_25737 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25738 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25739 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25740 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25741 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25742 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25743 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25744 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25745 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25746 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25747 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25748 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25749 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25750 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25751 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25752 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25753 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25754 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25755 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25756 = add(_T_25737, _T_25738) @[exu_mul_ctl.scala 137:112] + node _T_25757 = add(_T_25756, _T_25739) @[exu_mul_ctl.scala 137:112] + node _T_25758 = add(_T_25757, _T_25740) @[exu_mul_ctl.scala 137:112] + node _T_25759 = add(_T_25758, _T_25741) @[exu_mul_ctl.scala 137:112] + node _T_25760 = add(_T_25759, _T_25742) @[exu_mul_ctl.scala 137:112] + node _T_25761 = add(_T_25760, _T_25743) @[exu_mul_ctl.scala 137:112] + node _T_25762 = add(_T_25761, _T_25744) @[exu_mul_ctl.scala 137:112] + node _T_25763 = add(_T_25762, _T_25745) @[exu_mul_ctl.scala 137:112] + node _T_25764 = add(_T_25763, _T_25746) @[exu_mul_ctl.scala 137:112] + node _T_25765 = add(_T_25764, _T_25747) @[exu_mul_ctl.scala 137:112] + node _T_25766 = add(_T_25765, _T_25748) @[exu_mul_ctl.scala 137:112] + node _T_25767 = add(_T_25766, _T_25749) @[exu_mul_ctl.scala 137:112] + node _T_25768 = add(_T_25767, _T_25750) @[exu_mul_ctl.scala 137:112] + node _T_25769 = add(_T_25768, _T_25751) @[exu_mul_ctl.scala 137:112] + node _T_25770 = add(_T_25769, _T_25752) @[exu_mul_ctl.scala 137:112] + node _T_25771 = add(_T_25770, _T_25753) @[exu_mul_ctl.scala 137:112] + node _T_25772 = add(_T_25771, _T_25754) @[exu_mul_ctl.scala 137:112] + node _T_25773 = add(_T_25772, _T_25755) @[exu_mul_ctl.scala 137:112] + node _T_25774 = eq(_T_25773, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25775 = bits(_T_25774, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25776 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_25777 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25778 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25779 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25780 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25781 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25782 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25783 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25784 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25785 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25786 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25787 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25788 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25789 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25790 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25791 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25792 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25793 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25794 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25795 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25796 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25797 = add(_T_25777, _T_25778) @[exu_mul_ctl.scala 137:112] + node _T_25798 = add(_T_25797, _T_25779) @[exu_mul_ctl.scala 137:112] + node _T_25799 = add(_T_25798, _T_25780) @[exu_mul_ctl.scala 137:112] + node _T_25800 = add(_T_25799, _T_25781) @[exu_mul_ctl.scala 137:112] + node _T_25801 = add(_T_25800, _T_25782) @[exu_mul_ctl.scala 137:112] + node _T_25802 = add(_T_25801, _T_25783) @[exu_mul_ctl.scala 137:112] + node _T_25803 = add(_T_25802, _T_25784) @[exu_mul_ctl.scala 137:112] + node _T_25804 = add(_T_25803, _T_25785) @[exu_mul_ctl.scala 137:112] + node _T_25805 = add(_T_25804, _T_25786) @[exu_mul_ctl.scala 137:112] + node _T_25806 = add(_T_25805, _T_25787) @[exu_mul_ctl.scala 137:112] + node _T_25807 = add(_T_25806, _T_25788) @[exu_mul_ctl.scala 137:112] + node _T_25808 = add(_T_25807, _T_25789) @[exu_mul_ctl.scala 137:112] + node _T_25809 = add(_T_25808, _T_25790) @[exu_mul_ctl.scala 137:112] + node _T_25810 = add(_T_25809, _T_25791) @[exu_mul_ctl.scala 137:112] + node _T_25811 = add(_T_25810, _T_25792) @[exu_mul_ctl.scala 137:112] + node _T_25812 = add(_T_25811, _T_25793) @[exu_mul_ctl.scala 137:112] + node _T_25813 = add(_T_25812, _T_25794) @[exu_mul_ctl.scala 137:112] + node _T_25814 = add(_T_25813, _T_25795) @[exu_mul_ctl.scala 137:112] + node _T_25815 = add(_T_25814, _T_25796) @[exu_mul_ctl.scala 137:112] + node _T_25816 = eq(_T_25815, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25817 = bits(_T_25816, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25818 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_25819 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25820 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25821 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25822 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25823 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25824 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25825 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25826 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25827 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25828 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25829 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25830 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25831 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25832 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25833 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25834 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25835 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25836 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25837 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25838 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25839 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25840 = add(_T_25819, _T_25820) @[exu_mul_ctl.scala 137:112] + node _T_25841 = add(_T_25840, _T_25821) @[exu_mul_ctl.scala 137:112] + node _T_25842 = add(_T_25841, _T_25822) @[exu_mul_ctl.scala 137:112] + node _T_25843 = add(_T_25842, _T_25823) @[exu_mul_ctl.scala 137:112] + node _T_25844 = add(_T_25843, _T_25824) @[exu_mul_ctl.scala 137:112] + node _T_25845 = add(_T_25844, _T_25825) @[exu_mul_ctl.scala 137:112] + node _T_25846 = add(_T_25845, _T_25826) @[exu_mul_ctl.scala 137:112] + node _T_25847 = add(_T_25846, _T_25827) @[exu_mul_ctl.scala 137:112] + node _T_25848 = add(_T_25847, _T_25828) @[exu_mul_ctl.scala 137:112] + node _T_25849 = add(_T_25848, _T_25829) @[exu_mul_ctl.scala 137:112] + node _T_25850 = add(_T_25849, _T_25830) @[exu_mul_ctl.scala 137:112] + node _T_25851 = add(_T_25850, _T_25831) @[exu_mul_ctl.scala 137:112] + node _T_25852 = add(_T_25851, _T_25832) @[exu_mul_ctl.scala 137:112] + node _T_25853 = add(_T_25852, _T_25833) @[exu_mul_ctl.scala 137:112] + node _T_25854 = add(_T_25853, _T_25834) @[exu_mul_ctl.scala 137:112] + node _T_25855 = add(_T_25854, _T_25835) @[exu_mul_ctl.scala 137:112] + node _T_25856 = add(_T_25855, _T_25836) @[exu_mul_ctl.scala 137:112] + node _T_25857 = add(_T_25856, _T_25837) @[exu_mul_ctl.scala 137:112] + node _T_25858 = add(_T_25857, _T_25838) @[exu_mul_ctl.scala 137:112] + node _T_25859 = add(_T_25858, _T_25839) @[exu_mul_ctl.scala 137:112] + node _T_25860 = eq(_T_25859, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25861 = bits(_T_25860, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25862 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_25863 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25864 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25865 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25866 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25867 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25868 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25869 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25870 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25871 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25872 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25873 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25874 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25875 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25876 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25877 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25878 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25879 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25880 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25881 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25882 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25883 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25884 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25885 = add(_T_25863, _T_25864) @[exu_mul_ctl.scala 137:112] + node _T_25886 = add(_T_25885, _T_25865) @[exu_mul_ctl.scala 137:112] + node _T_25887 = add(_T_25886, _T_25866) @[exu_mul_ctl.scala 137:112] + node _T_25888 = add(_T_25887, _T_25867) @[exu_mul_ctl.scala 137:112] + node _T_25889 = add(_T_25888, _T_25868) @[exu_mul_ctl.scala 137:112] + node _T_25890 = add(_T_25889, _T_25869) @[exu_mul_ctl.scala 137:112] + node _T_25891 = add(_T_25890, _T_25870) @[exu_mul_ctl.scala 137:112] + node _T_25892 = add(_T_25891, _T_25871) @[exu_mul_ctl.scala 137:112] + node _T_25893 = add(_T_25892, _T_25872) @[exu_mul_ctl.scala 137:112] + node _T_25894 = add(_T_25893, _T_25873) @[exu_mul_ctl.scala 137:112] + node _T_25895 = add(_T_25894, _T_25874) @[exu_mul_ctl.scala 137:112] + node _T_25896 = add(_T_25895, _T_25875) @[exu_mul_ctl.scala 137:112] + node _T_25897 = add(_T_25896, _T_25876) @[exu_mul_ctl.scala 137:112] + node _T_25898 = add(_T_25897, _T_25877) @[exu_mul_ctl.scala 137:112] + node _T_25899 = add(_T_25898, _T_25878) @[exu_mul_ctl.scala 137:112] + node _T_25900 = add(_T_25899, _T_25879) @[exu_mul_ctl.scala 137:112] + node _T_25901 = add(_T_25900, _T_25880) @[exu_mul_ctl.scala 137:112] + node _T_25902 = add(_T_25901, _T_25881) @[exu_mul_ctl.scala 137:112] + node _T_25903 = add(_T_25902, _T_25882) @[exu_mul_ctl.scala 137:112] + node _T_25904 = add(_T_25903, _T_25883) @[exu_mul_ctl.scala 137:112] + node _T_25905 = add(_T_25904, _T_25884) @[exu_mul_ctl.scala 137:112] + node _T_25906 = eq(_T_25905, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25907 = bits(_T_25906, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25908 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_25909 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25910 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25911 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25912 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25913 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25914 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25915 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25916 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25917 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25918 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25919 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25920 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25921 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25922 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25923 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25924 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25925 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25926 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25927 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25928 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25929 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25930 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25931 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25932 = add(_T_25909, _T_25910) @[exu_mul_ctl.scala 137:112] + node _T_25933 = add(_T_25932, _T_25911) @[exu_mul_ctl.scala 137:112] + node _T_25934 = add(_T_25933, _T_25912) @[exu_mul_ctl.scala 137:112] + node _T_25935 = add(_T_25934, _T_25913) @[exu_mul_ctl.scala 137:112] + node _T_25936 = add(_T_25935, _T_25914) @[exu_mul_ctl.scala 137:112] + node _T_25937 = add(_T_25936, _T_25915) @[exu_mul_ctl.scala 137:112] + node _T_25938 = add(_T_25937, _T_25916) @[exu_mul_ctl.scala 137:112] + node _T_25939 = add(_T_25938, _T_25917) @[exu_mul_ctl.scala 137:112] + node _T_25940 = add(_T_25939, _T_25918) @[exu_mul_ctl.scala 137:112] + node _T_25941 = add(_T_25940, _T_25919) @[exu_mul_ctl.scala 137:112] + node _T_25942 = add(_T_25941, _T_25920) @[exu_mul_ctl.scala 137:112] + node _T_25943 = add(_T_25942, _T_25921) @[exu_mul_ctl.scala 137:112] + node _T_25944 = add(_T_25943, _T_25922) @[exu_mul_ctl.scala 137:112] + node _T_25945 = add(_T_25944, _T_25923) @[exu_mul_ctl.scala 137:112] + node _T_25946 = add(_T_25945, _T_25924) @[exu_mul_ctl.scala 137:112] + node _T_25947 = add(_T_25946, _T_25925) @[exu_mul_ctl.scala 137:112] + node _T_25948 = add(_T_25947, _T_25926) @[exu_mul_ctl.scala 137:112] + node _T_25949 = add(_T_25948, _T_25927) @[exu_mul_ctl.scala 137:112] + node _T_25950 = add(_T_25949, _T_25928) @[exu_mul_ctl.scala 137:112] + node _T_25951 = add(_T_25950, _T_25929) @[exu_mul_ctl.scala 137:112] + node _T_25952 = add(_T_25951, _T_25930) @[exu_mul_ctl.scala 137:112] + node _T_25953 = add(_T_25952, _T_25931) @[exu_mul_ctl.scala 137:112] + node _T_25954 = eq(_T_25953, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25955 = bits(_T_25954, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25956 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_25957 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25958 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25959 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25960 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25961 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25962 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25963 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25964 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25965 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25966 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25967 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25968 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25969 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25970 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25971 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25972 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25973 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25974 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25975 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25976 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25977 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25978 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25979 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25980 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25981 = add(_T_25957, _T_25958) @[exu_mul_ctl.scala 137:112] + node _T_25982 = add(_T_25981, _T_25959) @[exu_mul_ctl.scala 137:112] + node _T_25983 = add(_T_25982, _T_25960) @[exu_mul_ctl.scala 137:112] + node _T_25984 = add(_T_25983, _T_25961) @[exu_mul_ctl.scala 137:112] + node _T_25985 = add(_T_25984, _T_25962) @[exu_mul_ctl.scala 137:112] + node _T_25986 = add(_T_25985, _T_25963) @[exu_mul_ctl.scala 137:112] + node _T_25987 = add(_T_25986, _T_25964) @[exu_mul_ctl.scala 137:112] + node _T_25988 = add(_T_25987, _T_25965) @[exu_mul_ctl.scala 137:112] + node _T_25989 = add(_T_25988, _T_25966) @[exu_mul_ctl.scala 137:112] + node _T_25990 = add(_T_25989, _T_25967) @[exu_mul_ctl.scala 137:112] + node _T_25991 = add(_T_25990, _T_25968) @[exu_mul_ctl.scala 137:112] + node _T_25992 = add(_T_25991, _T_25969) @[exu_mul_ctl.scala 137:112] + node _T_25993 = add(_T_25992, _T_25970) @[exu_mul_ctl.scala 137:112] + node _T_25994 = add(_T_25993, _T_25971) @[exu_mul_ctl.scala 137:112] + node _T_25995 = add(_T_25994, _T_25972) @[exu_mul_ctl.scala 137:112] + node _T_25996 = add(_T_25995, _T_25973) @[exu_mul_ctl.scala 137:112] + node _T_25997 = add(_T_25996, _T_25974) @[exu_mul_ctl.scala 137:112] + node _T_25998 = add(_T_25997, _T_25975) @[exu_mul_ctl.scala 137:112] + node _T_25999 = add(_T_25998, _T_25976) @[exu_mul_ctl.scala 137:112] + node _T_26000 = add(_T_25999, _T_25977) @[exu_mul_ctl.scala 137:112] + node _T_26001 = add(_T_26000, _T_25978) @[exu_mul_ctl.scala 137:112] + node _T_26002 = add(_T_26001, _T_25979) @[exu_mul_ctl.scala 137:112] + node _T_26003 = add(_T_26002, _T_25980) @[exu_mul_ctl.scala 137:112] + node _T_26004 = eq(_T_26003, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26005 = bits(_T_26004, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26006 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_26007 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26008 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26009 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26010 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26011 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26012 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26013 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26014 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26015 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26016 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26017 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26018 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26019 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26020 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26021 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26022 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26023 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26024 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26025 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26026 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26027 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26028 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26029 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26030 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26031 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26032 = add(_T_26007, _T_26008) @[exu_mul_ctl.scala 137:112] + node _T_26033 = add(_T_26032, _T_26009) @[exu_mul_ctl.scala 137:112] + node _T_26034 = add(_T_26033, _T_26010) @[exu_mul_ctl.scala 137:112] + node _T_26035 = add(_T_26034, _T_26011) @[exu_mul_ctl.scala 137:112] + node _T_26036 = add(_T_26035, _T_26012) @[exu_mul_ctl.scala 137:112] + node _T_26037 = add(_T_26036, _T_26013) @[exu_mul_ctl.scala 137:112] + node _T_26038 = add(_T_26037, _T_26014) @[exu_mul_ctl.scala 137:112] + node _T_26039 = add(_T_26038, _T_26015) @[exu_mul_ctl.scala 137:112] + node _T_26040 = add(_T_26039, _T_26016) @[exu_mul_ctl.scala 137:112] + node _T_26041 = add(_T_26040, _T_26017) @[exu_mul_ctl.scala 137:112] + node _T_26042 = add(_T_26041, _T_26018) @[exu_mul_ctl.scala 137:112] + node _T_26043 = add(_T_26042, _T_26019) @[exu_mul_ctl.scala 137:112] + node _T_26044 = add(_T_26043, _T_26020) @[exu_mul_ctl.scala 137:112] + node _T_26045 = add(_T_26044, _T_26021) @[exu_mul_ctl.scala 137:112] + node _T_26046 = add(_T_26045, _T_26022) @[exu_mul_ctl.scala 137:112] + node _T_26047 = add(_T_26046, _T_26023) @[exu_mul_ctl.scala 137:112] + node _T_26048 = add(_T_26047, _T_26024) @[exu_mul_ctl.scala 137:112] + node _T_26049 = add(_T_26048, _T_26025) @[exu_mul_ctl.scala 137:112] + node _T_26050 = add(_T_26049, _T_26026) @[exu_mul_ctl.scala 137:112] + node _T_26051 = add(_T_26050, _T_26027) @[exu_mul_ctl.scala 137:112] + node _T_26052 = add(_T_26051, _T_26028) @[exu_mul_ctl.scala 137:112] + node _T_26053 = add(_T_26052, _T_26029) @[exu_mul_ctl.scala 137:112] + node _T_26054 = add(_T_26053, _T_26030) @[exu_mul_ctl.scala 137:112] + node _T_26055 = add(_T_26054, _T_26031) @[exu_mul_ctl.scala 137:112] + node _T_26056 = eq(_T_26055, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26057 = bits(_T_26056, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26058 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_26059 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26060 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26061 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26062 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26063 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26064 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26065 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26066 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26067 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26068 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26069 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26070 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26071 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26072 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26073 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26074 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26075 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26076 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26077 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26078 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26079 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26080 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26081 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26082 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26083 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26084 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26085 = add(_T_26059, _T_26060) @[exu_mul_ctl.scala 137:112] + node _T_26086 = add(_T_26085, _T_26061) @[exu_mul_ctl.scala 137:112] + node _T_26087 = add(_T_26086, _T_26062) @[exu_mul_ctl.scala 137:112] + node _T_26088 = add(_T_26087, _T_26063) @[exu_mul_ctl.scala 137:112] + node _T_26089 = add(_T_26088, _T_26064) @[exu_mul_ctl.scala 137:112] + node _T_26090 = add(_T_26089, _T_26065) @[exu_mul_ctl.scala 137:112] + node _T_26091 = add(_T_26090, _T_26066) @[exu_mul_ctl.scala 137:112] + node _T_26092 = add(_T_26091, _T_26067) @[exu_mul_ctl.scala 137:112] + node _T_26093 = add(_T_26092, _T_26068) @[exu_mul_ctl.scala 137:112] + node _T_26094 = add(_T_26093, _T_26069) @[exu_mul_ctl.scala 137:112] + node _T_26095 = add(_T_26094, _T_26070) @[exu_mul_ctl.scala 137:112] + node _T_26096 = add(_T_26095, _T_26071) @[exu_mul_ctl.scala 137:112] + node _T_26097 = add(_T_26096, _T_26072) @[exu_mul_ctl.scala 137:112] + node _T_26098 = add(_T_26097, _T_26073) @[exu_mul_ctl.scala 137:112] + node _T_26099 = add(_T_26098, _T_26074) @[exu_mul_ctl.scala 137:112] + node _T_26100 = add(_T_26099, _T_26075) @[exu_mul_ctl.scala 137:112] + node _T_26101 = add(_T_26100, _T_26076) @[exu_mul_ctl.scala 137:112] + node _T_26102 = add(_T_26101, _T_26077) @[exu_mul_ctl.scala 137:112] + node _T_26103 = add(_T_26102, _T_26078) @[exu_mul_ctl.scala 137:112] + node _T_26104 = add(_T_26103, _T_26079) @[exu_mul_ctl.scala 137:112] + node _T_26105 = add(_T_26104, _T_26080) @[exu_mul_ctl.scala 137:112] + node _T_26106 = add(_T_26105, _T_26081) @[exu_mul_ctl.scala 137:112] + node _T_26107 = add(_T_26106, _T_26082) @[exu_mul_ctl.scala 137:112] + node _T_26108 = add(_T_26107, _T_26083) @[exu_mul_ctl.scala 137:112] + node _T_26109 = add(_T_26108, _T_26084) @[exu_mul_ctl.scala 137:112] + node _T_26110 = eq(_T_26109, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26111 = bits(_T_26110, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26112 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_26113 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26114 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26115 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26116 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26117 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26118 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26119 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26120 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26121 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26122 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26123 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26124 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26125 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26126 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26127 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26128 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26129 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26130 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26131 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26132 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26133 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26134 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26135 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26136 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26137 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26138 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26139 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26140 = add(_T_26113, _T_26114) @[exu_mul_ctl.scala 137:112] + node _T_26141 = add(_T_26140, _T_26115) @[exu_mul_ctl.scala 137:112] + node _T_26142 = add(_T_26141, _T_26116) @[exu_mul_ctl.scala 137:112] + node _T_26143 = add(_T_26142, _T_26117) @[exu_mul_ctl.scala 137:112] + node _T_26144 = add(_T_26143, _T_26118) @[exu_mul_ctl.scala 137:112] + node _T_26145 = add(_T_26144, _T_26119) @[exu_mul_ctl.scala 137:112] + node _T_26146 = add(_T_26145, _T_26120) @[exu_mul_ctl.scala 137:112] + node _T_26147 = add(_T_26146, _T_26121) @[exu_mul_ctl.scala 137:112] + node _T_26148 = add(_T_26147, _T_26122) @[exu_mul_ctl.scala 137:112] + node _T_26149 = add(_T_26148, _T_26123) @[exu_mul_ctl.scala 137:112] + node _T_26150 = add(_T_26149, _T_26124) @[exu_mul_ctl.scala 137:112] + node _T_26151 = add(_T_26150, _T_26125) @[exu_mul_ctl.scala 137:112] + node _T_26152 = add(_T_26151, _T_26126) @[exu_mul_ctl.scala 137:112] + node _T_26153 = add(_T_26152, _T_26127) @[exu_mul_ctl.scala 137:112] + node _T_26154 = add(_T_26153, _T_26128) @[exu_mul_ctl.scala 137:112] + node _T_26155 = add(_T_26154, _T_26129) @[exu_mul_ctl.scala 137:112] + node _T_26156 = add(_T_26155, _T_26130) @[exu_mul_ctl.scala 137:112] + node _T_26157 = add(_T_26156, _T_26131) @[exu_mul_ctl.scala 137:112] + node _T_26158 = add(_T_26157, _T_26132) @[exu_mul_ctl.scala 137:112] + node _T_26159 = add(_T_26158, _T_26133) @[exu_mul_ctl.scala 137:112] + node _T_26160 = add(_T_26159, _T_26134) @[exu_mul_ctl.scala 137:112] + node _T_26161 = add(_T_26160, _T_26135) @[exu_mul_ctl.scala 137:112] + node _T_26162 = add(_T_26161, _T_26136) @[exu_mul_ctl.scala 137:112] + node _T_26163 = add(_T_26162, _T_26137) @[exu_mul_ctl.scala 137:112] + node _T_26164 = add(_T_26163, _T_26138) @[exu_mul_ctl.scala 137:112] + node _T_26165 = add(_T_26164, _T_26139) @[exu_mul_ctl.scala 137:112] + node _T_26166 = eq(_T_26165, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26167 = bits(_T_26166, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26168 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_26169 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26170 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26171 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26172 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26173 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26174 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26175 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26176 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26177 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26178 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26179 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26180 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26181 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26182 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26183 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26184 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26185 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26186 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26187 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26188 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26189 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26190 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26191 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26192 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26193 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26194 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26195 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26196 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_26197 = add(_T_26169, _T_26170) @[exu_mul_ctl.scala 137:112] + node _T_26198 = add(_T_26197, _T_26171) @[exu_mul_ctl.scala 137:112] + node _T_26199 = add(_T_26198, _T_26172) @[exu_mul_ctl.scala 137:112] + node _T_26200 = add(_T_26199, _T_26173) @[exu_mul_ctl.scala 137:112] + node _T_26201 = add(_T_26200, _T_26174) @[exu_mul_ctl.scala 137:112] + node _T_26202 = add(_T_26201, _T_26175) @[exu_mul_ctl.scala 137:112] + node _T_26203 = add(_T_26202, _T_26176) @[exu_mul_ctl.scala 137:112] + node _T_26204 = add(_T_26203, _T_26177) @[exu_mul_ctl.scala 137:112] + node _T_26205 = add(_T_26204, _T_26178) @[exu_mul_ctl.scala 137:112] + node _T_26206 = add(_T_26205, _T_26179) @[exu_mul_ctl.scala 137:112] + node _T_26207 = add(_T_26206, _T_26180) @[exu_mul_ctl.scala 137:112] + node _T_26208 = add(_T_26207, _T_26181) @[exu_mul_ctl.scala 137:112] + node _T_26209 = add(_T_26208, _T_26182) @[exu_mul_ctl.scala 137:112] + node _T_26210 = add(_T_26209, _T_26183) @[exu_mul_ctl.scala 137:112] + node _T_26211 = add(_T_26210, _T_26184) @[exu_mul_ctl.scala 137:112] + node _T_26212 = add(_T_26211, _T_26185) @[exu_mul_ctl.scala 137:112] + node _T_26213 = add(_T_26212, _T_26186) @[exu_mul_ctl.scala 137:112] + node _T_26214 = add(_T_26213, _T_26187) @[exu_mul_ctl.scala 137:112] + node _T_26215 = add(_T_26214, _T_26188) @[exu_mul_ctl.scala 137:112] + node _T_26216 = add(_T_26215, _T_26189) @[exu_mul_ctl.scala 137:112] + node _T_26217 = add(_T_26216, _T_26190) @[exu_mul_ctl.scala 137:112] + node _T_26218 = add(_T_26217, _T_26191) @[exu_mul_ctl.scala 137:112] + node _T_26219 = add(_T_26218, _T_26192) @[exu_mul_ctl.scala 137:112] + node _T_26220 = add(_T_26219, _T_26193) @[exu_mul_ctl.scala 137:112] + node _T_26221 = add(_T_26220, _T_26194) @[exu_mul_ctl.scala 137:112] + node _T_26222 = add(_T_26221, _T_26195) @[exu_mul_ctl.scala 137:112] + node _T_26223 = add(_T_26222, _T_26196) @[exu_mul_ctl.scala 137:112] + node _T_26224 = eq(_T_26223, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26225 = bits(_T_26224, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26226 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_26227 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26228 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26229 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26230 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26231 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26232 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26233 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26234 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26235 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26236 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26237 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26238 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26239 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26240 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26241 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26242 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26243 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26244 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26245 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26246 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26247 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26248 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26249 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26250 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26251 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26252 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26253 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26254 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_26255 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_26256 = add(_T_26227, _T_26228) @[exu_mul_ctl.scala 137:112] + node _T_26257 = add(_T_26256, _T_26229) @[exu_mul_ctl.scala 137:112] + node _T_26258 = add(_T_26257, _T_26230) @[exu_mul_ctl.scala 137:112] + node _T_26259 = add(_T_26258, _T_26231) @[exu_mul_ctl.scala 137:112] + node _T_26260 = add(_T_26259, _T_26232) @[exu_mul_ctl.scala 137:112] + node _T_26261 = add(_T_26260, _T_26233) @[exu_mul_ctl.scala 137:112] + node _T_26262 = add(_T_26261, _T_26234) @[exu_mul_ctl.scala 137:112] + node _T_26263 = add(_T_26262, _T_26235) @[exu_mul_ctl.scala 137:112] + node _T_26264 = add(_T_26263, _T_26236) @[exu_mul_ctl.scala 137:112] + node _T_26265 = add(_T_26264, _T_26237) @[exu_mul_ctl.scala 137:112] + node _T_26266 = add(_T_26265, _T_26238) @[exu_mul_ctl.scala 137:112] + node _T_26267 = add(_T_26266, _T_26239) @[exu_mul_ctl.scala 137:112] + node _T_26268 = add(_T_26267, _T_26240) @[exu_mul_ctl.scala 137:112] + node _T_26269 = add(_T_26268, _T_26241) @[exu_mul_ctl.scala 137:112] + node _T_26270 = add(_T_26269, _T_26242) @[exu_mul_ctl.scala 137:112] + node _T_26271 = add(_T_26270, _T_26243) @[exu_mul_ctl.scala 137:112] + node _T_26272 = add(_T_26271, _T_26244) @[exu_mul_ctl.scala 137:112] + node _T_26273 = add(_T_26272, _T_26245) @[exu_mul_ctl.scala 137:112] + node _T_26274 = add(_T_26273, _T_26246) @[exu_mul_ctl.scala 137:112] + node _T_26275 = add(_T_26274, _T_26247) @[exu_mul_ctl.scala 137:112] + node _T_26276 = add(_T_26275, _T_26248) @[exu_mul_ctl.scala 137:112] + node _T_26277 = add(_T_26276, _T_26249) @[exu_mul_ctl.scala 137:112] + node _T_26278 = add(_T_26277, _T_26250) @[exu_mul_ctl.scala 137:112] + node _T_26279 = add(_T_26278, _T_26251) @[exu_mul_ctl.scala 137:112] + node _T_26280 = add(_T_26279, _T_26252) @[exu_mul_ctl.scala 137:112] + node _T_26281 = add(_T_26280, _T_26253) @[exu_mul_ctl.scala 137:112] + node _T_26282 = add(_T_26281, _T_26254) @[exu_mul_ctl.scala 137:112] + node _T_26283 = add(_T_26282, _T_26255) @[exu_mul_ctl.scala 137:112] + node _T_26284 = eq(_T_26283, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26285 = bits(_T_26284, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26286 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_26287 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26288 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26289 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26290 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26291 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26292 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26293 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26294 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26295 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26296 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26297 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26298 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26299 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26300 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26301 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26302 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26303 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26304 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26305 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26306 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26307 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26308 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26309 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26310 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26311 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26312 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26313 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26314 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_26315 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_26316 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_26317 = add(_T_26287, _T_26288) @[exu_mul_ctl.scala 137:112] + node _T_26318 = add(_T_26317, _T_26289) @[exu_mul_ctl.scala 137:112] + node _T_26319 = add(_T_26318, _T_26290) @[exu_mul_ctl.scala 137:112] + node _T_26320 = add(_T_26319, _T_26291) @[exu_mul_ctl.scala 137:112] + node _T_26321 = add(_T_26320, _T_26292) @[exu_mul_ctl.scala 137:112] + node _T_26322 = add(_T_26321, _T_26293) @[exu_mul_ctl.scala 137:112] + node _T_26323 = add(_T_26322, _T_26294) @[exu_mul_ctl.scala 137:112] + node _T_26324 = add(_T_26323, _T_26295) @[exu_mul_ctl.scala 137:112] + node _T_26325 = add(_T_26324, _T_26296) @[exu_mul_ctl.scala 137:112] + node _T_26326 = add(_T_26325, _T_26297) @[exu_mul_ctl.scala 137:112] + node _T_26327 = add(_T_26326, _T_26298) @[exu_mul_ctl.scala 137:112] + node _T_26328 = add(_T_26327, _T_26299) @[exu_mul_ctl.scala 137:112] + node _T_26329 = add(_T_26328, _T_26300) @[exu_mul_ctl.scala 137:112] + node _T_26330 = add(_T_26329, _T_26301) @[exu_mul_ctl.scala 137:112] + node _T_26331 = add(_T_26330, _T_26302) @[exu_mul_ctl.scala 137:112] + node _T_26332 = add(_T_26331, _T_26303) @[exu_mul_ctl.scala 137:112] + node _T_26333 = add(_T_26332, _T_26304) @[exu_mul_ctl.scala 137:112] + node _T_26334 = add(_T_26333, _T_26305) @[exu_mul_ctl.scala 137:112] + node _T_26335 = add(_T_26334, _T_26306) @[exu_mul_ctl.scala 137:112] + node _T_26336 = add(_T_26335, _T_26307) @[exu_mul_ctl.scala 137:112] + node _T_26337 = add(_T_26336, _T_26308) @[exu_mul_ctl.scala 137:112] + node _T_26338 = add(_T_26337, _T_26309) @[exu_mul_ctl.scala 137:112] + node _T_26339 = add(_T_26338, _T_26310) @[exu_mul_ctl.scala 137:112] + node _T_26340 = add(_T_26339, _T_26311) @[exu_mul_ctl.scala 137:112] + node _T_26341 = add(_T_26340, _T_26312) @[exu_mul_ctl.scala 137:112] + node _T_26342 = add(_T_26341, _T_26313) @[exu_mul_ctl.scala 137:112] + node _T_26343 = add(_T_26342, _T_26314) @[exu_mul_ctl.scala 137:112] + node _T_26344 = add(_T_26343, _T_26315) @[exu_mul_ctl.scala 137:112] + node _T_26345 = add(_T_26344, _T_26316) @[exu_mul_ctl.scala 137:112] + node _T_26346 = eq(_T_26345, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26347 = bits(_T_26346, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26348 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_26349 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26350 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26351 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26352 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26353 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26354 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26355 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26356 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26357 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26358 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26359 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26360 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26361 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26362 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26363 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26364 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26365 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26366 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26367 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26368 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26369 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26370 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26371 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26372 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26373 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26374 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26375 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26376 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_26377 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_26378 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_26379 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_26380 = add(_T_26349, _T_26350) @[exu_mul_ctl.scala 137:112] + node _T_26381 = add(_T_26380, _T_26351) @[exu_mul_ctl.scala 137:112] + node _T_26382 = add(_T_26381, _T_26352) @[exu_mul_ctl.scala 137:112] + node _T_26383 = add(_T_26382, _T_26353) @[exu_mul_ctl.scala 137:112] + node _T_26384 = add(_T_26383, _T_26354) @[exu_mul_ctl.scala 137:112] + node _T_26385 = add(_T_26384, _T_26355) @[exu_mul_ctl.scala 137:112] + node _T_26386 = add(_T_26385, _T_26356) @[exu_mul_ctl.scala 137:112] + node _T_26387 = add(_T_26386, _T_26357) @[exu_mul_ctl.scala 137:112] + node _T_26388 = add(_T_26387, _T_26358) @[exu_mul_ctl.scala 137:112] + node _T_26389 = add(_T_26388, _T_26359) @[exu_mul_ctl.scala 137:112] + node _T_26390 = add(_T_26389, _T_26360) @[exu_mul_ctl.scala 137:112] + node _T_26391 = add(_T_26390, _T_26361) @[exu_mul_ctl.scala 137:112] + node _T_26392 = add(_T_26391, _T_26362) @[exu_mul_ctl.scala 137:112] + node _T_26393 = add(_T_26392, _T_26363) @[exu_mul_ctl.scala 137:112] + node _T_26394 = add(_T_26393, _T_26364) @[exu_mul_ctl.scala 137:112] + node _T_26395 = add(_T_26394, _T_26365) @[exu_mul_ctl.scala 137:112] + node _T_26396 = add(_T_26395, _T_26366) @[exu_mul_ctl.scala 137:112] + node _T_26397 = add(_T_26396, _T_26367) @[exu_mul_ctl.scala 137:112] + node _T_26398 = add(_T_26397, _T_26368) @[exu_mul_ctl.scala 137:112] + node _T_26399 = add(_T_26398, _T_26369) @[exu_mul_ctl.scala 137:112] + node _T_26400 = add(_T_26399, _T_26370) @[exu_mul_ctl.scala 137:112] + node _T_26401 = add(_T_26400, _T_26371) @[exu_mul_ctl.scala 137:112] + node _T_26402 = add(_T_26401, _T_26372) @[exu_mul_ctl.scala 137:112] + node _T_26403 = add(_T_26402, _T_26373) @[exu_mul_ctl.scala 137:112] + node _T_26404 = add(_T_26403, _T_26374) @[exu_mul_ctl.scala 137:112] + node _T_26405 = add(_T_26404, _T_26375) @[exu_mul_ctl.scala 137:112] + node _T_26406 = add(_T_26405, _T_26376) @[exu_mul_ctl.scala 137:112] + node _T_26407 = add(_T_26406, _T_26377) @[exu_mul_ctl.scala 137:112] + node _T_26408 = add(_T_26407, _T_26378) @[exu_mul_ctl.scala 137:112] + node _T_26409 = add(_T_26408, _T_26379) @[exu_mul_ctl.scala 137:112] + node _T_26410 = eq(_T_26409, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26411 = bits(_T_26410, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26412 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_26413 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26414 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26415 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26416 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26417 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26418 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26419 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26420 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26421 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26422 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26423 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26424 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26425 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26426 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26427 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26428 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26429 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26430 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26431 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26432 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26433 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26434 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26435 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26436 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26437 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26438 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26439 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26440 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_26441 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_26442 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_26443 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_26444 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_26445 = add(_T_26413, _T_26414) @[exu_mul_ctl.scala 137:112] + node _T_26446 = add(_T_26445, _T_26415) @[exu_mul_ctl.scala 137:112] + node _T_26447 = add(_T_26446, _T_26416) @[exu_mul_ctl.scala 137:112] + node _T_26448 = add(_T_26447, _T_26417) @[exu_mul_ctl.scala 137:112] + node _T_26449 = add(_T_26448, _T_26418) @[exu_mul_ctl.scala 137:112] + node _T_26450 = add(_T_26449, _T_26419) @[exu_mul_ctl.scala 137:112] + node _T_26451 = add(_T_26450, _T_26420) @[exu_mul_ctl.scala 137:112] + node _T_26452 = add(_T_26451, _T_26421) @[exu_mul_ctl.scala 137:112] + node _T_26453 = add(_T_26452, _T_26422) @[exu_mul_ctl.scala 137:112] + node _T_26454 = add(_T_26453, _T_26423) @[exu_mul_ctl.scala 137:112] + node _T_26455 = add(_T_26454, _T_26424) @[exu_mul_ctl.scala 137:112] + node _T_26456 = add(_T_26455, _T_26425) @[exu_mul_ctl.scala 137:112] + node _T_26457 = add(_T_26456, _T_26426) @[exu_mul_ctl.scala 137:112] + node _T_26458 = add(_T_26457, _T_26427) @[exu_mul_ctl.scala 137:112] + node _T_26459 = add(_T_26458, _T_26428) @[exu_mul_ctl.scala 137:112] + node _T_26460 = add(_T_26459, _T_26429) @[exu_mul_ctl.scala 137:112] + node _T_26461 = add(_T_26460, _T_26430) @[exu_mul_ctl.scala 137:112] + node _T_26462 = add(_T_26461, _T_26431) @[exu_mul_ctl.scala 137:112] + node _T_26463 = add(_T_26462, _T_26432) @[exu_mul_ctl.scala 137:112] + node _T_26464 = add(_T_26463, _T_26433) @[exu_mul_ctl.scala 137:112] + node _T_26465 = add(_T_26464, _T_26434) @[exu_mul_ctl.scala 137:112] + node _T_26466 = add(_T_26465, _T_26435) @[exu_mul_ctl.scala 137:112] + node _T_26467 = add(_T_26466, _T_26436) @[exu_mul_ctl.scala 137:112] + node _T_26468 = add(_T_26467, _T_26437) @[exu_mul_ctl.scala 137:112] + node _T_26469 = add(_T_26468, _T_26438) @[exu_mul_ctl.scala 137:112] + node _T_26470 = add(_T_26469, _T_26439) @[exu_mul_ctl.scala 137:112] + node _T_26471 = add(_T_26470, _T_26440) @[exu_mul_ctl.scala 137:112] + node _T_26472 = add(_T_26471, _T_26441) @[exu_mul_ctl.scala 137:112] + node _T_26473 = add(_T_26472, _T_26442) @[exu_mul_ctl.scala 137:112] + node _T_26474 = add(_T_26473, _T_26443) @[exu_mul_ctl.scala 137:112] + node _T_26475 = add(_T_26474, _T_26444) @[exu_mul_ctl.scala 137:112] + node _T_26476 = eq(_T_26475, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26477 = bits(_T_26476, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26478 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_26479 = mux(_T_26477, _T_26478, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_26480 = mux(_T_26411, _T_26412, _T_26479) @[Mux.scala 98:16] + node _T_26481 = mux(_T_26347, _T_26348, _T_26480) @[Mux.scala 98:16] + node _T_26482 = mux(_T_26285, _T_26286, _T_26481) @[Mux.scala 98:16] + node _T_26483 = mux(_T_26225, _T_26226, _T_26482) @[Mux.scala 98:16] + node _T_26484 = mux(_T_26167, _T_26168, _T_26483) @[Mux.scala 98:16] + node _T_26485 = mux(_T_26111, _T_26112, _T_26484) @[Mux.scala 98:16] + node _T_26486 = mux(_T_26057, _T_26058, _T_26485) @[Mux.scala 98:16] + node _T_26487 = mux(_T_26005, _T_26006, _T_26486) @[Mux.scala 98:16] + node _T_26488 = mux(_T_25955, _T_25956, _T_26487) @[Mux.scala 98:16] + node _T_26489 = mux(_T_25907, _T_25908, _T_26488) @[Mux.scala 98:16] + node _T_26490 = mux(_T_25861, _T_25862, _T_26489) @[Mux.scala 98:16] + node _T_26491 = mux(_T_25817, _T_25818, _T_26490) @[Mux.scala 98:16] + node _T_26492 = mux(_T_25775, _T_25776, _T_26491) @[Mux.scala 98:16] + node _T_26493 = mux(_T_25735, _T_25736, _T_26492) @[Mux.scala 98:16] + node _T_26494 = mux(_T_25697, _T_25698, _T_26493) @[Mux.scala 98:16] + node _T_26495 = mux(_T_25661, _T_25662, _T_26494) @[Mux.scala 98:16] + node _T_26496 = mux(_T_25627, _T_25628, _T_26495) @[Mux.scala 98:16] + node _T_26497 = mux(_T_25595, _T_25596, _T_26496) @[Mux.scala 98:16] + node _T_26498 = mux(_T_25565, _T_25566, _T_26497) @[Mux.scala 98:16] + node _T_26499 = mux(_T_25537, _T_25538, _T_26498) @[Mux.scala 98:16] + node _T_26500 = mux(_T_25511, _T_25512, _T_26499) @[Mux.scala 98:16] + node _T_26501 = mux(_T_25487, _T_25488, _T_26500) @[Mux.scala 98:16] + node _T_26502 = mux(_T_25465, _T_25466, _T_26501) @[Mux.scala 98:16] + node _T_26503 = mux(_T_25445, _T_25446, _T_26502) @[Mux.scala 98:16] + node _T_26504 = mux(_T_25427, _T_25428, _T_26503) @[Mux.scala 98:16] + node _T_26505 = mux(_T_25411, _T_25412, _T_26504) @[Mux.scala 98:16] + node _T_26506 = mux(_T_25397, _T_25398, _T_26505) @[Mux.scala 98:16] + node _T_26507 = mux(_T_25385, _T_25386, _T_26506) @[Mux.scala 98:16] + node _T_26508 = mux(_T_25375, _T_25376, _T_26507) @[Mux.scala 98:16] + node _T_26509 = mux(_T_25367, _T_25368, _T_26508) @[Mux.scala 98:16] + node _T_26510 = mux(_T_25361, _T_25362, _T_26509) @[Mux.scala 98:16] + node _T_26511 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_26512 = eq(_T_26511, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26513 = bits(_T_26512, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26514 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_26515 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26516 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26517 = add(_T_26515, _T_26516) @[exu_mul_ctl.scala 137:112] + node _T_26518 = eq(_T_26517, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26519 = bits(_T_26518, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26520 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_26521 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26522 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26523 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26524 = add(_T_26521, _T_26522) @[exu_mul_ctl.scala 137:112] + node _T_26525 = add(_T_26524, _T_26523) @[exu_mul_ctl.scala 137:112] + node _T_26526 = eq(_T_26525, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26527 = bits(_T_26526, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26528 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_26529 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26530 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26531 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26532 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26533 = add(_T_26529, _T_26530) @[exu_mul_ctl.scala 137:112] + node _T_26534 = add(_T_26533, _T_26531) @[exu_mul_ctl.scala 137:112] + node _T_26535 = add(_T_26534, _T_26532) @[exu_mul_ctl.scala 137:112] + node _T_26536 = eq(_T_26535, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26537 = bits(_T_26536, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26538 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_26539 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26540 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26541 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26542 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26543 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26544 = add(_T_26539, _T_26540) @[exu_mul_ctl.scala 137:112] + node _T_26545 = add(_T_26544, _T_26541) @[exu_mul_ctl.scala 137:112] + node _T_26546 = add(_T_26545, _T_26542) @[exu_mul_ctl.scala 137:112] + node _T_26547 = add(_T_26546, _T_26543) @[exu_mul_ctl.scala 137:112] + node _T_26548 = eq(_T_26547, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26549 = bits(_T_26548, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26550 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_26551 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26552 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26553 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26554 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26555 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26556 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26557 = add(_T_26551, _T_26552) @[exu_mul_ctl.scala 137:112] + node _T_26558 = add(_T_26557, _T_26553) @[exu_mul_ctl.scala 137:112] + node _T_26559 = add(_T_26558, _T_26554) @[exu_mul_ctl.scala 137:112] + node _T_26560 = add(_T_26559, _T_26555) @[exu_mul_ctl.scala 137:112] + node _T_26561 = add(_T_26560, _T_26556) @[exu_mul_ctl.scala 137:112] + node _T_26562 = eq(_T_26561, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26563 = bits(_T_26562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26564 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_26565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26572 = add(_T_26565, _T_26566) @[exu_mul_ctl.scala 137:112] + node _T_26573 = add(_T_26572, _T_26567) @[exu_mul_ctl.scala 137:112] + node _T_26574 = add(_T_26573, _T_26568) @[exu_mul_ctl.scala 137:112] + node _T_26575 = add(_T_26574, _T_26569) @[exu_mul_ctl.scala 137:112] + node _T_26576 = add(_T_26575, _T_26570) @[exu_mul_ctl.scala 137:112] + node _T_26577 = add(_T_26576, _T_26571) @[exu_mul_ctl.scala 137:112] + node _T_26578 = eq(_T_26577, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26579 = bits(_T_26578, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26580 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_26581 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26582 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26583 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26584 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26585 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26586 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26587 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26588 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26589 = add(_T_26581, _T_26582) @[exu_mul_ctl.scala 137:112] + node _T_26590 = add(_T_26589, _T_26583) @[exu_mul_ctl.scala 137:112] + node _T_26591 = add(_T_26590, _T_26584) @[exu_mul_ctl.scala 137:112] + node _T_26592 = add(_T_26591, _T_26585) @[exu_mul_ctl.scala 137:112] + node _T_26593 = add(_T_26592, _T_26586) @[exu_mul_ctl.scala 137:112] + node _T_26594 = add(_T_26593, _T_26587) @[exu_mul_ctl.scala 137:112] + node _T_26595 = add(_T_26594, _T_26588) @[exu_mul_ctl.scala 137:112] + node _T_26596 = eq(_T_26595, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26597 = bits(_T_26596, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26598 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_26599 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26600 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26601 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26602 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26603 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26604 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26605 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26606 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26607 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26608 = add(_T_26599, _T_26600) @[exu_mul_ctl.scala 137:112] + node _T_26609 = add(_T_26608, _T_26601) @[exu_mul_ctl.scala 137:112] + node _T_26610 = add(_T_26609, _T_26602) @[exu_mul_ctl.scala 137:112] + node _T_26611 = add(_T_26610, _T_26603) @[exu_mul_ctl.scala 137:112] + node _T_26612 = add(_T_26611, _T_26604) @[exu_mul_ctl.scala 137:112] + node _T_26613 = add(_T_26612, _T_26605) @[exu_mul_ctl.scala 137:112] + node _T_26614 = add(_T_26613, _T_26606) @[exu_mul_ctl.scala 137:112] + node _T_26615 = add(_T_26614, _T_26607) @[exu_mul_ctl.scala 137:112] + node _T_26616 = eq(_T_26615, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26617 = bits(_T_26616, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26618 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_26619 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26620 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26621 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26622 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26623 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26624 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26625 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26626 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26627 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26628 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26629 = add(_T_26619, _T_26620) @[exu_mul_ctl.scala 137:112] + node _T_26630 = add(_T_26629, _T_26621) @[exu_mul_ctl.scala 137:112] + node _T_26631 = add(_T_26630, _T_26622) @[exu_mul_ctl.scala 137:112] + node _T_26632 = add(_T_26631, _T_26623) @[exu_mul_ctl.scala 137:112] + node _T_26633 = add(_T_26632, _T_26624) @[exu_mul_ctl.scala 137:112] + node _T_26634 = add(_T_26633, _T_26625) @[exu_mul_ctl.scala 137:112] + node _T_26635 = add(_T_26634, _T_26626) @[exu_mul_ctl.scala 137:112] + node _T_26636 = add(_T_26635, _T_26627) @[exu_mul_ctl.scala 137:112] + node _T_26637 = add(_T_26636, _T_26628) @[exu_mul_ctl.scala 137:112] + node _T_26638 = eq(_T_26637, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26639 = bits(_T_26638, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26640 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_26641 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26642 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26643 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26644 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26645 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26646 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26647 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26648 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26649 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26650 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26651 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26652 = add(_T_26641, _T_26642) @[exu_mul_ctl.scala 137:112] + node _T_26653 = add(_T_26652, _T_26643) @[exu_mul_ctl.scala 137:112] + node _T_26654 = add(_T_26653, _T_26644) @[exu_mul_ctl.scala 137:112] + node _T_26655 = add(_T_26654, _T_26645) @[exu_mul_ctl.scala 137:112] + node _T_26656 = add(_T_26655, _T_26646) @[exu_mul_ctl.scala 137:112] + node _T_26657 = add(_T_26656, _T_26647) @[exu_mul_ctl.scala 137:112] + node _T_26658 = add(_T_26657, _T_26648) @[exu_mul_ctl.scala 137:112] + node _T_26659 = add(_T_26658, _T_26649) @[exu_mul_ctl.scala 137:112] + node _T_26660 = add(_T_26659, _T_26650) @[exu_mul_ctl.scala 137:112] + node _T_26661 = add(_T_26660, _T_26651) @[exu_mul_ctl.scala 137:112] + node _T_26662 = eq(_T_26661, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26663 = bits(_T_26662, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26664 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_26665 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26666 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26667 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26668 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26669 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26670 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26671 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26672 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26673 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26674 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26675 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26676 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26677 = add(_T_26665, _T_26666) @[exu_mul_ctl.scala 137:112] + node _T_26678 = add(_T_26677, _T_26667) @[exu_mul_ctl.scala 137:112] + node _T_26679 = add(_T_26678, _T_26668) @[exu_mul_ctl.scala 137:112] + node _T_26680 = add(_T_26679, _T_26669) @[exu_mul_ctl.scala 137:112] + node _T_26681 = add(_T_26680, _T_26670) @[exu_mul_ctl.scala 137:112] + node _T_26682 = add(_T_26681, _T_26671) @[exu_mul_ctl.scala 137:112] + node _T_26683 = add(_T_26682, _T_26672) @[exu_mul_ctl.scala 137:112] + node _T_26684 = add(_T_26683, _T_26673) @[exu_mul_ctl.scala 137:112] + node _T_26685 = add(_T_26684, _T_26674) @[exu_mul_ctl.scala 137:112] + node _T_26686 = add(_T_26685, _T_26675) @[exu_mul_ctl.scala 137:112] + node _T_26687 = add(_T_26686, _T_26676) @[exu_mul_ctl.scala 137:112] + node _T_26688 = eq(_T_26687, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26689 = bits(_T_26688, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26690 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_26691 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26692 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26693 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26694 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26695 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26696 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26697 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26698 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26699 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26700 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26701 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26702 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26703 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26704 = add(_T_26691, _T_26692) @[exu_mul_ctl.scala 137:112] + node _T_26705 = add(_T_26704, _T_26693) @[exu_mul_ctl.scala 137:112] + node _T_26706 = add(_T_26705, _T_26694) @[exu_mul_ctl.scala 137:112] + node _T_26707 = add(_T_26706, _T_26695) @[exu_mul_ctl.scala 137:112] + node _T_26708 = add(_T_26707, _T_26696) @[exu_mul_ctl.scala 137:112] + node _T_26709 = add(_T_26708, _T_26697) @[exu_mul_ctl.scala 137:112] + node _T_26710 = add(_T_26709, _T_26698) @[exu_mul_ctl.scala 137:112] + node _T_26711 = add(_T_26710, _T_26699) @[exu_mul_ctl.scala 137:112] + node _T_26712 = add(_T_26711, _T_26700) @[exu_mul_ctl.scala 137:112] + node _T_26713 = add(_T_26712, _T_26701) @[exu_mul_ctl.scala 137:112] + node _T_26714 = add(_T_26713, _T_26702) @[exu_mul_ctl.scala 137:112] + node _T_26715 = add(_T_26714, _T_26703) @[exu_mul_ctl.scala 137:112] + node _T_26716 = eq(_T_26715, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26717 = bits(_T_26716, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26718 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_26719 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26720 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26721 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26722 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26723 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26724 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26725 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26726 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26727 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26728 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26729 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26730 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26731 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26732 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26733 = add(_T_26719, _T_26720) @[exu_mul_ctl.scala 137:112] + node _T_26734 = add(_T_26733, _T_26721) @[exu_mul_ctl.scala 137:112] + node _T_26735 = add(_T_26734, _T_26722) @[exu_mul_ctl.scala 137:112] + node _T_26736 = add(_T_26735, _T_26723) @[exu_mul_ctl.scala 137:112] + node _T_26737 = add(_T_26736, _T_26724) @[exu_mul_ctl.scala 137:112] + node _T_26738 = add(_T_26737, _T_26725) @[exu_mul_ctl.scala 137:112] + node _T_26739 = add(_T_26738, _T_26726) @[exu_mul_ctl.scala 137:112] + node _T_26740 = add(_T_26739, _T_26727) @[exu_mul_ctl.scala 137:112] + node _T_26741 = add(_T_26740, _T_26728) @[exu_mul_ctl.scala 137:112] + node _T_26742 = add(_T_26741, _T_26729) @[exu_mul_ctl.scala 137:112] + node _T_26743 = add(_T_26742, _T_26730) @[exu_mul_ctl.scala 137:112] + node _T_26744 = add(_T_26743, _T_26731) @[exu_mul_ctl.scala 137:112] + node _T_26745 = add(_T_26744, _T_26732) @[exu_mul_ctl.scala 137:112] + node _T_26746 = eq(_T_26745, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26747 = bits(_T_26746, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26748 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_26749 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26750 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26751 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26752 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26753 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26754 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26755 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26756 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26757 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26758 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26759 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26760 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26761 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26762 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26763 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26764 = add(_T_26749, _T_26750) @[exu_mul_ctl.scala 137:112] + node _T_26765 = add(_T_26764, _T_26751) @[exu_mul_ctl.scala 137:112] + node _T_26766 = add(_T_26765, _T_26752) @[exu_mul_ctl.scala 137:112] + node _T_26767 = add(_T_26766, _T_26753) @[exu_mul_ctl.scala 137:112] + node _T_26768 = add(_T_26767, _T_26754) @[exu_mul_ctl.scala 137:112] + node _T_26769 = add(_T_26768, _T_26755) @[exu_mul_ctl.scala 137:112] + node _T_26770 = add(_T_26769, _T_26756) @[exu_mul_ctl.scala 137:112] + node _T_26771 = add(_T_26770, _T_26757) @[exu_mul_ctl.scala 137:112] + node _T_26772 = add(_T_26771, _T_26758) @[exu_mul_ctl.scala 137:112] + node _T_26773 = add(_T_26772, _T_26759) @[exu_mul_ctl.scala 137:112] + node _T_26774 = add(_T_26773, _T_26760) @[exu_mul_ctl.scala 137:112] + node _T_26775 = add(_T_26774, _T_26761) @[exu_mul_ctl.scala 137:112] + node _T_26776 = add(_T_26775, _T_26762) @[exu_mul_ctl.scala 137:112] + node _T_26777 = add(_T_26776, _T_26763) @[exu_mul_ctl.scala 137:112] + node _T_26778 = eq(_T_26777, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26779 = bits(_T_26778, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26780 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_26781 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26782 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26783 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26784 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26785 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26786 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26787 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26788 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26789 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26790 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26791 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26792 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26793 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26794 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26795 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26796 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26797 = add(_T_26781, _T_26782) @[exu_mul_ctl.scala 137:112] + node _T_26798 = add(_T_26797, _T_26783) @[exu_mul_ctl.scala 137:112] + node _T_26799 = add(_T_26798, _T_26784) @[exu_mul_ctl.scala 137:112] + node _T_26800 = add(_T_26799, _T_26785) @[exu_mul_ctl.scala 137:112] + node _T_26801 = add(_T_26800, _T_26786) @[exu_mul_ctl.scala 137:112] + node _T_26802 = add(_T_26801, _T_26787) @[exu_mul_ctl.scala 137:112] + node _T_26803 = add(_T_26802, _T_26788) @[exu_mul_ctl.scala 137:112] + node _T_26804 = add(_T_26803, _T_26789) @[exu_mul_ctl.scala 137:112] + node _T_26805 = add(_T_26804, _T_26790) @[exu_mul_ctl.scala 137:112] + node _T_26806 = add(_T_26805, _T_26791) @[exu_mul_ctl.scala 137:112] + node _T_26807 = add(_T_26806, _T_26792) @[exu_mul_ctl.scala 137:112] + node _T_26808 = add(_T_26807, _T_26793) @[exu_mul_ctl.scala 137:112] + node _T_26809 = add(_T_26808, _T_26794) @[exu_mul_ctl.scala 137:112] + node _T_26810 = add(_T_26809, _T_26795) @[exu_mul_ctl.scala 137:112] + node _T_26811 = add(_T_26810, _T_26796) @[exu_mul_ctl.scala 137:112] + node _T_26812 = eq(_T_26811, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26813 = bits(_T_26812, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26814 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_26815 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26816 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26817 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26818 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26819 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26820 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26821 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26822 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26823 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26824 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26825 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26826 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26827 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26828 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26829 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26830 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26831 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26832 = add(_T_26815, _T_26816) @[exu_mul_ctl.scala 137:112] + node _T_26833 = add(_T_26832, _T_26817) @[exu_mul_ctl.scala 137:112] + node _T_26834 = add(_T_26833, _T_26818) @[exu_mul_ctl.scala 137:112] + node _T_26835 = add(_T_26834, _T_26819) @[exu_mul_ctl.scala 137:112] + node _T_26836 = add(_T_26835, _T_26820) @[exu_mul_ctl.scala 137:112] + node _T_26837 = add(_T_26836, _T_26821) @[exu_mul_ctl.scala 137:112] + node _T_26838 = add(_T_26837, _T_26822) @[exu_mul_ctl.scala 137:112] + node _T_26839 = add(_T_26838, _T_26823) @[exu_mul_ctl.scala 137:112] + node _T_26840 = add(_T_26839, _T_26824) @[exu_mul_ctl.scala 137:112] + node _T_26841 = add(_T_26840, _T_26825) @[exu_mul_ctl.scala 137:112] + node _T_26842 = add(_T_26841, _T_26826) @[exu_mul_ctl.scala 137:112] + node _T_26843 = add(_T_26842, _T_26827) @[exu_mul_ctl.scala 137:112] + node _T_26844 = add(_T_26843, _T_26828) @[exu_mul_ctl.scala 137:112] + node _T_26845 = add(_T_26844, _T_26829) @[exu_mul_ctl.scala 137:112] + node _T_26846 = add(_T_26845, _T_26830) @[exu_mul_ctl.scala 137:112] + node _T_26847 = add(_T_26846, _T_26831) @[exu_mul_ctl.scala 137:112] + node _T_26848 = eq(_T_26847, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26849 = bits(_T_26848, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26850 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_26851 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26852 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26853 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26854 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26855 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26856 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26857 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26858 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26859 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26860 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26861 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26862 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26863 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26864 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26865 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26866 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26867 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26868 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26869 = add(_T_26851, _T_26852) @[exu_mul_ctl.scala 137:112] + node _T_26870 = add(_T_26869, _T_26853) @[exu_mul_ctl.scala 137:112] + node _T_26871 = add(_T_26870, _T_26854) @[exu_mul_ctl.scala 137:112] + node _T_26872 = add(_T_26871, _T_26855) @[exu_mul_ctl.scala 137:112] + node _T_26873 = add(_T_26872, _T_26856) @[exu_mul_ctl.scala 137:112] + node _T_26874 = add(_T_26873, _T_26857) @[exu_mul_ctl.scala 137:112] + node _T_26875 = add(_T_26874, _T_26858) @[exu_mul_ctl.scala 137:112] + node _T_26876 = add(_T_26875, _T_26859) @[exu_mul_ctl.scala 137:112] + node _T_26877 = add(_T_26876, _T_26860) @[exu_mul_ctl.scala 137:112] + node _T_26878 = add(_T_26877, _T_26861) @[exu_mul_ctl.scala 137:112] + node _T_26879 = add(_T_26878, _T_26862) @[exu_mul_ctl.scala 137:112] + node _T_26880 = add(_T_26879, _T_26863) @[exu_mul_ctl.scala 137:112] + node _T_26881 = add(_T_26880, _T_26864) @[exu_mul_ctl.scala 137:112] + node _T_26882 = add(_T_26881, _T_26865) @[exu_mul_ctl.scala 137:112] + node _T_26883 = add(_T_26882, _T_26866) @[exu_mul_ctl.scala 137:112] + node _T_26884 = add(_T_26883, _T_26867) @[exu_mul_ctl.scala 137:112] + node _T_26885 = add(_T_26884, _T_26868) @[exu_mul_ctl.scala 137:112] + node _T_26886 = eq(_T_26885, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26887 = bits(_T_26886, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26888 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_26889 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26890 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26891 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26892 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26893 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26894 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26895 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26896 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26897 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26898 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26899 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26900 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26901 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26902 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26903 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26904 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26905 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26906 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26907 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26908 = add(_T_26889, _T_26890) @[exu_mul_ctl.scala 137:112] + node _T_26909 = add(_T_26908, _T_26891) @[exu_mul_ctl.scala 137:112] + node _T_26910 = add(_T_26909, _T_26892) @[exu_mul_ctl.scala 137:112] + node _T_26911 = add(_T_26910, _T_26893) @[exu_mul_ctl.scala 137:112] + node _T_26912 = add(_T_26911, _T_26894) @[exu_mul_ctl.scala 137:112] + node _T_26913 = add(_T_26912, _T_26895) @[exu_mul_ctl.scala 137:112] + node _T_26914 = add(_T_26913, _T_26896) @[exu_mul_ctl.scala 137:112] + node _T_26915 = add(_T_26914, _T_26897) @[exu_mul_ctl.scala 137:112] + node _T_26916 = add(_T_26915, _T_26898) @[exu_mul_ctl.scala 137:112] + node _T_26917 = add(_T_26916, _T_26899) @[exu_mul_ctl.scala 137:112] + node _T_26918 = add(_T_26917, _T_26900) @[exu_mul_ctl.scala 137:112] + node _T_26919 = add(_T_26918, _T_26901) @[exu_mul_ctl.scala 137:112] + node _T_26920 = add(_T_26919, _T_26902) @[exu_mul_ctl.scala 137:112] + node _T_26921 = add(_T_26920, _T_26903) @[exu_mul_ctl.scala 137:112] + node _T_26922 = add(_T_26921, _T_26904) @[exu_mul_ctl.scala 137:112] + node _T_26923 = add(_T_26922, _T_26905) @[exu_mul_ctl.scala 137:112] + node _T_26924 = add(_T_26923, _T_26906) @[exu_mul_ctl.scala 137:112] + node _T_26925 = add(_T_26924, _T_26907) @[exu_mul_ctl.scala 137:112] + node _T_26926 = eq(_T_26925, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26927 = bits(_T_26926, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26928 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_26929 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26930 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26931 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26932 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26933 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26934 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26935 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26936 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26937 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26938 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26939 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26940 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26941 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26942 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26943 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26944 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26945 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26946 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26947 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26948 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26949 = add(_T_26929, _T_26930) @[exu_mul_ctl.scala 137:112] + node _T_26950 = add(_T_26949, _T_26931) @[exu_mul_ctl.scala 137:112] + node _T_26951 = add(_T_26950, _T_26932) @[exu_mul_ctl.scala 137:112] + node _T_26952 = add(_T_26951, _T_26933) @[exu_mul_ctl.scala 137:112] + node _T_26953 = add(_T_26952, _T_26934) @[exu_mul_ctl.scala 137:112] + node _T_26954 = add(_T_26953, _T_26935) @[exu_mul_ctl.scala 137:112] + node _T_26955 = add(_T_26954, _T_26936) @[exu_mul_ctl.scala 137:112] + node _T_26956 = add(_T_26955, _T_26937) @[exu_mul_ctl.scala 137:112] + node _T_26957 = add(_T_26956, _T_26938) @[exu_mul_ctl.scala 137:112] + node _T_26958 = add(_T_26957, _T_26939) @[exu_mul_ctl.scala 137:112] + node _T_26959 = add(_T_26958, _T_26940) @[exu_mul_ctl.scala 137:112] + node _T_26960 = add(_T_26959, _T_26941) @[exu_mul_ctl.scala 137:112] + node _T_26961 = add(_T_26960, _T_26942) @[exu_mul_ctl.scala 137:112] + node _T_26962 = add(_T_26961, _T_26943) @[exu_mul_ctl.scala 137:112] + node _T_26963 = add(_T_26962, _T_26944) @[exu_mul_ctl.scala 137:112] + node _T_26964 = add(_T_26963, _T_26945) @[exu_mul_ctl.scala 137:112] + node _T_26965 = add(_T_26964, _T_26946) @[exu_mul_ctl.scala 137:112] + node _T_26966 = add(_T_26965, _T_26947) @[exu_mul_ctl.scala 137:112] + node _T_26967 = add(_T_26966, _T_26948) @[exu_mul_ctl.scala 137:112] + node _T_26968 = eq(_T_26967, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26969 = bits(_T_26968, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26970 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_26971 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26972 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26973 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26974 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26975 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26976 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26977 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26978 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26979 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26980 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26981 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26982 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26983 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26984 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26985 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26986 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26987 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26988 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26989 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26990 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26991 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26992 = add(_T_26971, _T_26972) @[exu_mul_ctl.scala 137:112] + node _T_26993 = add(_T_26992, _T_26973) @[exu_mul_ctl.scala 137:112] + node _T_26994 = add(_T_26993, _T_26974) @[exu_mul_ctl.scala 137:112] + node _T_26995 = add(_T_26994, _T_26975) @[exu_mul_ctl.scala 137:112] + node _T_26996 = add(_T_26995, _T_26976) @[exu_mul_ctl.scala 137:112] + node _T_26997 = add(_T_26996, _T_26977) @[exu_mul_ctl.scala 137:112] + node _T_26998 = add(_T_26997, _T_26978) @[exu_mul_ctl.scala 137:112] + node _T_26999 = add(_T_26998, _T_26979) @[exu_mul_ctl.scala 137:112] + node _T_27000 = add(_T_26999, _T_26980) @[exu_mul_ctl.scala 137:112] + node _T_27001 = add(_T_27000, _T_26981) @[exu_mul_ctl.scala 137:112] + node _T_27002 = add(_T_27001, _T_26982) @[exu_mul_ctl.scala 137:112] + node _T_27003 = add(_T_27002, _T_26983) @[exu_mul_ctl.scala 137:112] + node _T_27004 = add(_T_27003, _T_26984) @[exu_mul_ctl.scala 137:112] + node _T_27005 = add(_T_27004, _T_26985) @[exu_mul_ctl.scala 137:112] + node _T_27006 = add(_T_27005, _T_26986) @[exu_mul_ctl.scala 137:112] + node _T_27007 = add(_T_27006, _T_26987) @[exu_mul_ctl.scala 137:112] + node _T_27008 = add(_T_27007, _T_26988) @[exu_mul_ctl.scala 137:112] + node _T_27009 = add(_T_27008, _T_26989) @[exu_mul_ctl.scala 137:112] + node _T_27010 = add(_T_27009, _T_26990) @[exu_mul_ctl.scala 137:112] + node _T_27011 = add(_T_27010, _T_26991) @[exu_mul_ctl.scala 137:112] + node _T_27012 = eq(_T_27011, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27013 = bits(_T_27012, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27014 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_27015 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27016 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27017 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27018 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27019 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27020 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27021 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27022 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27023 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27024 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27025 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27026 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27027 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27028 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27029 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27030 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27031 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27032 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27033 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27034 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27035 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27036 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27037 = add(_T_27015, _T_27016) @[exu_mul_ctl.scala 137:112] + node _T_27038 = add(_T_27037, _T_27017) @[exu_mul_ctl.scala 137:112] + node _T_27039 = add(_T_27038, _T_27018) @[exu_mul_ctl.scala 137:112] + node _T_27040 = add(_T_27039, _T_27019) @[exu_mul_ctl.scala 137:112] + node _T_27041 = add(_T_27040, _T_27020) @[exu_mul_ctl.scala 137:112] + node _T_27042 = add(_T_27041, _T_27021) @[exu_mul_ctl.scala 137:112] + node _T_27043 = add(_T_27042, _T_27022) @[exu_mul_ctl.scala 137:112] + node _T_27044 = add(_T_27043, _T_27023) @[exu_mul_ctl.scala 137:112] + node _T_27045 = add(_T_27044, _T_27024) @[exu_mul_ctl.scala 137:112] + node _T_27046 = add(_T_27045, _T_27025) @[exu_mul_ctl.scala 137:112] + node _T_27047 = add(_T_27046, _T_27026) @[exu_mul_ctl.scala 137:112] + node _T_27048 = add(_T_27047, _T_27027) @[exu_mul_ctl.scala 137:112] + node _T_27049 = add(_T_27048, _T_27028) @[exu_mul_ctl.scala 137:112] + node _T_27050 = add(_T_27049, _T_27029) @[exu_mul_ctl.scala 137:112] + node _T_27051 = add(_T_27050, _T_27030) @[exu_mul_ctl.scala 137:112] + node _T_27052 = add(_T_27051, _T_27031) @[exu_mul_ctl.scala 137:112] + node _T_27053 = add(_T_27052, _T_27032) @[exu_mul_ctl.scala 137:112] + node _T_27054 = add(_T_27053, _T_27033) @[exu_mul_ctl.scala 137:112] + node _T_27055 = add(_T_27054, _T_27034) @[exu_mul_ctl.scala 137:112] + node _T_27056 = add(_T_27055, _T_27035) @[exu_mul_ctl.scala 137:112] + node _T_27057 = add(_T_27056, _T_27036) @[exu_mul_ctl.scala 137:112] + node _T_27058 = eq(_T_27057, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27059 = bits(_T_27058, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27060 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_27061 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27062 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27063 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27064 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27065 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27066 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27067 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27068 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27069 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27070 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27071 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27072 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27073 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27074 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27075 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27076 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27077 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27078 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27079 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27080 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27081 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27082 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27083 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27084 = add(_T_27061, _T_27062) @[exu_mul_ctl.scala 137:112] + node _T_27085 = add(_T_27084, _T_27063) @[exu_mul_ctl.scala 137:112] + node _T_27086 = add(_T_27085, _T_27064) @[exu_mul_ctl.scala 137:112] + node _T_27087 = add(_T_27086, _T_27065) @[exu_mul_ctl.scala 137:112] + node _T_27088 = add(_T_27087, _T_27066) @[exu_mul_ctl.scala 137:112] + node _T_27089 = add(_T_27088, _T_27067) @[exu_mul_ctl.scala 137:112] + node _T_27090 = add(_T_27089, _T_27068) @[exu_mul_ctl.scala 137:112] + node _T_27091 = add(_T_27090, _T_27069) @[exu_mul_ctl.scala 137:112] + node _T_27092 = add(_T_27091, _T_27070) @[exu_mul_ctl.scala 137:112] + node _T_27093 = add(_T_27092, _T_27071) @[exu_mul_ctl.scala 137:112] + node _T_27094 = add(_T_27093, _T_27072) @[exu_mul_ctl.scala 137:112] + node _T_27095 = add(_T_27094, _T_27073) @[exu_mul_ctl.scala 137:112] + node _T_27096 = add(_T_27095, _T_27074) @[exu_mul_ctl.scala 137:112] + node _T_27097 = add(_T_27096, _T_27075) @[exu_mul_ctl.scala 137:112] + node _T_27098 = add(_T_27097, _T_27076) @[exu_mul_ctl.scala 137:112] + node _T_27099 = add(_T_27098, _T_27077) @[exu_mul_ctl.scala 137:112] + node _T_27100 = add(_T_27099, _T_27078) @[exu_mul_ctl.scala 137:112] + node _T_27101 = add(_T_27100, _T_27079) @[exu_mul_ctl.scala 137:112] + node _T_27102 = add(_T_27101, _T_27080) @[exu_mul_ctl.scala 137:112] + node _T_27103 = add(_T_27102, _T_27081) @[exu_mul_ctl.scala 137:112] + node _T_27104 = add(_T_27103, _T_27082) @[exu_mul_ctl.scala 137:112] + node _T_27105 = add(_T_27104, _T_27083) @[exu_mul_ctl.scala 137:112] + node _T_27106 = eq(_T_27105, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27107 = bits(_T_27106, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27108 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_27109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27116 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27117 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27118 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27119 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27120 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27121 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27122 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27123 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27124 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27125 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27126 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27127 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27128 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27129 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27130 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27131 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27132 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27133 = add(_T_27109, _T_27110) @[exu_mul_ctl.scala 137:112] + node _T_27134 = add(_T_27133, _T_27111) @[exu_mul_ctl.scala 137:112] + node _T_27135 = add(_T_27134, _T_27112) @[exu_mul_ctl.scala 137:112] + node _T_27136 = add(_T_27135, _T_27113) @[exu_mul_ctl.scala 137:112] + node _T_27137 = add(_T_27136, _T_27114) @[exu_mul_ctl.scala 137:112] + node _T_27138 = add(_T_27137, _T_27115) @[exu_mul_ctl.scala 137:112] + node _T_27139 = add(_T_27138, _T_27116) @[exu_mul_ctl.scala 137:112] + node _T_27140 = add(_T_27139, _T_27117) @[exu_mul_ctl.scala 137:112] + node _T_27141 = add(_T_27140, _T_27118) @[exu_mul_ctl.scala 137:112] + node _T_27142 = add(_T_27141, _T_27119) @[exu_mul_ctl.scala 137:112] + node _T_27143 = add(_T_27142, _T_27120) @[exu_mul_ctl.scala 137:112] + node _T_27144 = add(_T_27143, _T_27121) @[exu_mul_ctl.scala 137:112] + node _T_27145 = add(_T_27144, _T_27122) @[exu_mul_ctl.scala 137:112] + node _T_27146 = add(_T_27145, _T_27123) @[exu_mul_ctl.scala 137:112] + node _T_27147 = add(_T_27146, _T_27124) @[exu_mul_ctl.scala 137:112] + node _T_27148 = add(_T_27147, _T_27125) @[exu_mul_ctl.scala 137:112] + node _T_27149 = add(_T_27148, _T_27126) @[exu_mul_ctl.scala 137:112] + node _T_27150 = add(_T_27149, _T_27127) @[exu_mul_ctl.scala 137:112] + node _T_27151 = add(_T_27150, _T_27128) @[exu_mul_ctl.scala 137:112] + node _T_27152 = add(_T_27151, _T_27129) @[exu_mul_ctl.scala 137:112] + node _T_27153 = add(_T_27152, _T_27130) @[exu_mul_ctl.scala 137:112] + node _T_27154 = add(_T_27153, _T_27131) @[exu_mul_ctl.scala 137:112] + node _T_27155 = add(_T_27154, _T_27132) @[exu_mul_ctl.scala 137:112] + node _T_27156 = eq(_T_27155, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27157 = bits(_T_27156, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27158 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_27159 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27160 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27161 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27162 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27163 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27164 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27165 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27166 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27167 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27168 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27169 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27170 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27171 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27172 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27173 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27174 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27175 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27176 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27177 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27178 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27179 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27180 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27181 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27182 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27183 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27184 = add(_T_27159, _T_27160) @[exu_mul_ctl.scala 137:112] + node _T_27185 = add(_T_27184, _T_27161) @[exu_mul_ctl.scala 137:112] + node _T_27186 = add(_T_27185, _T_27162) @[exu_mul_ctl.scala 137:112] + node _T_27187 = add(_T_27186, _T_27163) @[exu_mul_ctl.scala 137:112] + node _T_27188 = add(_T_27187, _T_27164) @[exu_mul_ctl.scala 137:112] + node _T_27189 = add(_T_27188, _T_27165) @[exu_mul_ctl.scala 137:112] + node _T_27190 = add(_T_27189, _T_27166) @[exu_mul_ctl.scala 137:112] + node _T_27191 = add(_T_27190, _T_27167) @[exu_mul_ctl.scala 137:112] + node _T_27192 = add(_T_27191, _T_27168) @[exu_mul_ctl.scala 137:112] + node _T_27193 = add(_T_27192, _T_27169) @[exu_mul_ctl.scala 137:112] + node _T_27194 = add(_T_27193, _T_27170) @[exu_mul_ctl.scala 137:112] + node _T_27195 = add(_T_27194, _T_27171) @[exu_mul_ctl.scala 137:112] + node _T_27196 = add(_T_27195, _T_27172) @[exu_mul_ctl.scala 137:112] + node _T_27197 = add(_T_27196, _T_27173) @[exu_mul_ctl.scala 137:112] + node _T_27198 = add(_T_27197, _T_27174) @[exu_mul_ctl.scala 137:112] + node _T_27199 = add(_T_27198, _T_27175) @[exu_mul_ctl.scala 137:112] + node _T_27200 = add(_T_27199, _T_27176) @[exu_mul_ctl.scala 137:112] + node _T_27201 = add(_T_27200, _T_27177) @[exu_mul_ctl.scala 137:112] + node _T_27202 = add(_T_27201, _T_27178) @[exu_mul_ctl.scala 137:112] + node _T_27203 = add(_T_27202, _T_27179) @[exu_mul_ctl.scala 137:112] + node _T_27204 = add(_T_27203, _T_27180) @[exu_mul_ctl.scala 137:112] + node _T_27205 = add(_T_27204, _T_27181) @[exu_mul_ctl.scala 137:112] + node _T_27206 = add(_T_27205, _T_27182) @[exu_mul_ctl.scala 137:112] + node _T_27207 = add(_T_27206, _T_27183) @[exu_mul_ctl.scala 137:112] + node _T_27208 = eq(_T_27207, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27209 = bits(_T_27208, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27210 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_27211 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27212 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27213 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27214 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27215 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27216 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27217 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27218 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27219 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27220 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27221 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27222 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27223 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27224 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27225 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27226 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27227 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27228 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27229 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27230 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27231 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27232 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27233 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27234 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27235 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27236 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27237 = add(_T_27211, _T_27212) @[exu_mul_ctl.scala 137:112] + node _T_27238 = add(_T_27237, _T_27213) @[exu_mul_ctl.scala 137:112] + node _T_27239 = add(_T_27238, _T_27214) @[exu_mul_ctl.scala 137:112] + node _T_27240 = add(_T_27239, _T_27215) @[exu_mul_ctl.scala 137:112] + node _T_27241 = add(_T_27240, _T_27216) @[exu_mul_ctl.scala 137:112] + node _T_27242 = add(_T_27241, _T_27217) @[exu_mul_ctl.scala 137:112] + node _T_27243 = add(_T_27242, _T_27218) @[exu_mul_ctl.scala 137:112] + node _T_27244 = add(_T_27243, _T_27219) @[exu_mul_ctl.scala 137:112] + node _T_27245 = add(_T_27244, _T_27220) @[exu_mul_ctl.scala 137:112] + node _T_27246 = add(_T_27245, _T_27221) @[exu_mul_ctl.scala 137:112] + node _T_27247 = add(_T_27246, _T_27222) @[exu_mul_ctl.scala 137:112] + node _T_27248 = add(_T_27247, _T_27223) @[exu_mul_ctl.scala 137:112] + node _T_27249 = add(_T_27248, _T_27224) @[exu_mul_ctl.scala 137:112] + node _T_27250 = add(_T_27249, _T_27225) @[exu_mul_ctl.scala 137:112] + node _T_27251 = add(_T_27250, _T_27226) @[exu_mul_ctl.scala 137:112] + node _T_27252 = add(_T_27251, _T_27227) @[exu_mul_ctl.scala 137:112] + node _T_27253 = add(_T_27252, _T_27228) @[exu_mul_ctl.scala 137:112] + node _T_27254 = add(_T_27253, _T_27229) @[exu_mul_ctl.scala 137:112] + node _T_27255 = add(_T_27254, _T_27230) @[exu_mul_ctl.scala 137:112] + node _T_27256 = add(_T_27255, _T_27231) @[exu_mul_ctl.scala 137:112] + node _T_27257 = add(_T_27256, _T_27232) @[exu_mul_ctl.scala 137:112] + node _T_27258 = add(_T_27257, _T_27233) @[exu_mul_ctl.scala 137:112] + node _T_27259 = add(_T_27258, _T_27234) @[exu_mul_ctl.scala 137:112] + node _T_27260 = add(_T_27259, _T_27235) @[exu_mul_ctl.scala 137:112] + node _T_27261 = add(_T_27260, _T_27236) @[exu_mul_ctl.scala 137:112] + node _T_27262 = eq(_T_27261, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27263 = bits(_T_27262, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27264 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_27265 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27266 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27267 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27268 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27269 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27270 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27271 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27272 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27273 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27274 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27275 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27276 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27277 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27278 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27279 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27280 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27281 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27282 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27283 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27284 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27285 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27286 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27287 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27288 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27289 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27290 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27291 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27292 = add(_T_27265, _T_27266) @[exu_mul_ctl.scala 137:112] + node _T_27293 = add(_T_27292, _T_27267) @[exu_mul_ctl.scala 137:112] + node _T_27294 = add(_T_27293, _T_27268) @[exu_mul_ctl.scala 137:112] + node _T_27295 = add(_T_27294, _T_27269) @[exu_mul_ctl.scala 137:112] + node _T_27296 = add(_T_27295, _T_27270) @[exu_mul_ctl.scala 137:112] + node _T_27297 = add(_T_27296, _T_27271) @[exu_mul_ctl.scala 137:112] + node _T_27298 = add(_T_27297, _T_27272) @[exu_mul_ctl.scala 137:112] + node _T_27299 = add(_T_27298, _T_27273) @[exu_mul_ctl.scala 137:112] + node _T_27300 = add(_T_27299, _T_27274) @[exu_mul_ctl.scala 137:112] + node _T_27301 = add(_T_27300, _T_27275) @[exu_mul_ctl.scala 137:112] + node _T_27302 = add(_T_27301, _T_27276) @[exu_mul_ctl.scala 137:112] + node _T_27303 = add(_T_27302, _T_27277) @[exu_mul_ctl.scala 137:112] + node _T_27304 = add(_T_27303, _T_27278) @[exu_mul_ctl.scala 137:112] + node _T_27305 = add(_T_27304, _T_27279) @[exu_mul_ctl.scala 137:112] + node _T_27306 = add(_T_27305, _T_27280) @[exu_mul_ctl.scala 137:112] + node _T_27307 = add(_T_27306, _T_27281) @[exu_mul_ctl.scala 137:112] + node _T_27308 = add(_T_27307, _T_27282) @[exu_mul_ctl.scala 137:112] + node _T_27309 = add(_T_27308, _T_27283) @[exu_mul_ctl.scala 137:112] + node _T_27310 = add(_T_27309, _T_27284) @[exu_mul_ctl.scala 137:112] + node _T_27311 = add(_T_27310, _T_27285) @[exu_mul_ctl.scala 137:112] + node _T_27312 = add(_T_27311, _T_27286) @[exu_mul_ctl.scala 137:112] + node _T_27313 = add(_T_27312, _T_27287) @[exu_mul_ctl.scala 137:112] + node _T_27314 = add(_T_27313, _T_27288) @[exu_mul_ctl.scala 137:112] + node _T_27315 = add(_T_27314, _T_27289) @[exu_mul_ctl.scala 137:112] + node _T_27316 = add(_T_27315, _T_27290) @[exu_mul_ctl.scala 137:112] + node _T_27317 = add(_T_27316, _T_27291) @[exu_mul_ctl.scala 137:112] + node _T_27318 = eq(_T_27317, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27319 = bits(_T_27318, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27320 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_27321 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27322 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27323 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27324 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27325 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27326 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27327 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27328 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27329 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27330 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27331 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27332 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27333 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27334 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27335 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27336 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27337 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27338 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27339 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27340 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27341 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27342 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27343 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27344 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27345 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27346 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27347 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27348 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_27349 = add(_T_27321, _T_27322) @[exu_mul_ctl.scala 137:112] + node _T_27350 = add(_T_27349, _T_27323) @[exu_mul_ctl.scala 137:112] + node _T_27351 = add(_T_27350, _T_27324) @[exu_mul_ctl.scala 137:112] + node _T_27352 = add(_T_27351, _T_27325) @[exu_mul_ctl.scala 137:112] + node _T_27353 = add(_T_27352, _T_27326) @[exu_mul_ctl.scala 137:112] + node _T_27354 = add(_T_27353, _T_27327) @[exu_mul_ctl.scala 137:112] + node _T_27355 = add(_T_27354, _T_27328) @[exu_mul_ctl.scala 137:112] + node _T_27356 = add(_T_27355, _T_27329) @[exu_mul_ctl.scala 137:112] + node _T_27357 = add(_T_27356, _T_27330) @[exu_mul_ctl.scala 137:112] + node _T_27358 = add(_T_27357, _T_27331) @[exu_mul_ctl.scala 137:112] + node _T_27359 = add(_T_27358, _T_27332) @[exu_mul_ctl.scala 137:112] + node _T_27360 = add(_T_27359, _T_27333) @[exu_mul_ctl.scala 137:112] + node _T_27361 = add(_T_27360, _T_27334) @[exu_mul_ctl.scala 137:112] + node _T_27362 = add(_T_27361, _T_27335) @[exu_mul_ctl.scala 137:112] + node _T_27363 = add(_T_27362, _T_27336) @[exu_mul_ctl.scala 137:112] + node _T_27364 = add(_T_27363, _T_27337) @[exu_mul_ctl.scala 137:112] + node _T_27365 = add(_T_27364, _T_27338) @[exu_mul_ctl.scala 137:112] + node _T_27366 = add(_T_27365, _T_27339) @[exu_mul_ctl.scala 137:112] + node _T_27367 = add(_T_27366, _T_27340) @[exu_mul_ctl.scala 137:112] + node _T_27368 = add(_T_27367, _T_27341) @[exu_mul_ctl.scala 137:112] + node _T_27369 = add(_T_27368, _T_27342) @[exu_mul_ctl.scala 137:112] + node _T_27370 = add(_T_27369, _T_27343) @[exu_mul_ctl.scala 137:112] + node _T_27371 = add(_T_27370, _T_27344) @[exu_mul_ctl.scala 137:112] + node _T_27372 = add(_T_27371, _T_27345) @[exu_mul_ctl.scala 137:112] + node _T_27373 = add(_T_27372, _T_27346) @[exu_mul_ctl.scala 137:112] + node _T_27374 = add(_T_27373, _T_27347) @[exu_mul_ctl.scala 137:112] + node _T_27375 = add(_T_27374, _T_27348) @[exu_mul_ctl.scala 137:112] + node _T_27376 = eq(_T_27375, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27377 = bits(_T_27376, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27378 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_27379 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27380 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27381 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27382 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27383 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27384 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27385 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27386 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27387 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27388 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27389 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27390 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27391 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27392 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27393 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27394 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27395 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27396 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27397 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27398 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27399 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27400 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27401 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27402 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27403 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27404 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27405 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27406 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_27407 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_27408 = add(_T_27379, _T_27380) @[exu_mul_ctl.scala 137:112] + node _T_27409 = add(_T_27408, _T_27381) @[exu_mul_ctl.scala 137:112] + node _T_27410 = add(_T_27409, _T_27382) @[exu_mul_ctl.scala 137:112] + node _T_27411 = add(_T_27410, _T_27383) @[exu_mul_ctl.scala 137:112] + node _T_27412 = add(_T_27411, _T_27384) @[exu_mul_ctl.scala 137:112] + node _T_27413 = add(_T_27412, _T_27385) @[exu_mul_ctl.scala 137:112] + node _T_27414 = add(_T_27413, _T_27386) @[exu_mul_ctl.scala 137:112] + node _T_27415 = add(_T_27414, _T_27387) @[exu_mul_ctl.scala 137:112] + node _T_27416 = add(_T_27415, _T_27388) @[exu_mul_ctl.scala 137:112] + node _T_27417 = add(_T_27416, _T_27389) @[exu_mul_ctl.scala 137:112] + node _T_27418 = add(_T_27417, _T_27390) @[exu_mul_ctl.scala 137:112] + node _T_27419 = add(_T_27418, _T_27391) @[exu_mul_ctl.scala 137:112] + node _T_27420 = add(_T_27419, _T_27392) @[exu_mul_ctl.scala 137:112] + node _T_27421 = add(_T_27420, _T_27393) @[exu_mul_ctl.scala 137:112] + node _T_27422 = add(_T_27421, _T_27394) @[exu_mul_ctl.scala 137:112] + node _T_27423 = add(_T_27422, _T_27395) @[exu_mul_ctl.scala 137:112] + node _T_27424 = add(_T_27423, _T_27396) @[exu_mul_ctl.scala 137:112] + node _T_27425 = add(_T_27424, _T_27397) @[exu_mul_ctl.scala 137:112] + node _T_27426 = add(_T_27425, _T_27398) @[exu_mul_ctl.scala 137:112] + node _T_27427 = add(_T_27426, _T_27399) @[exu_mul_ctl.scala 137:112] + node _T_27428 = add(_T_27427, _T_27400) @[exu_mul_ctl.scala 137:112] + node _T_27429 = add(_T_27428, _T_27401) @[exu_mul_ctl.scala 137:112] + node _T_27430 = add(_T_27429, _T_27402) @[exu_mul_ctl.scala 137:112] + node _T_27431 = add(_T_27430, _T_27403) @[exu_mul_ctl.scala 137:112] + node _T_27432 = add(_T_27431, _T_27404) @[exu_mul_ctl.scala 137:112] + node _T_27433 = add(_T_27432, _T_27405) @[exu_mul_ctl.scala 137:112] + node _T_27434 = add(_T_27433, _T_27406) @[exu_mul_ctl.scala 137:112] + node _T_27435 = add(_T_27434, _T_27407) @[exu_mul_ctl.scala 137:112] + node _T_27436 = eq(_T_27435, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27437 = bits(_T_27436, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27438 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_27439 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27440 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27441 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27442 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27443 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27444 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27445 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27446 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27447 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27448 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27449 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27450 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27451 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27452 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27453 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27454 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27455 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27456 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27457 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27458 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27459 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27460 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27461 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27462 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27463 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27464 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27465 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27466 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_27467 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_27468 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_27469 = add(_T_27439, _T_27440) @[exu_mul_ctl.scala 137:112] + node _T_27470 = add(_T_27469, _T_27441) @[exu_mul_ctl.scala 137:112] + node _T_27471 = add(_T_27470, _T_27442) @[exu_mul_ctl.scala 137:112] + node _T_27472 = add(_T_27471, _T_27443) @[exu_mul_ctl.scala 137:112] + node _T_27473 = add(_T_27472, _T_27444) @[exu_mul_ctl.scala 137:112] + node _T_27474 = add(_T_27473, _T_27445) @[exu_mul_ctl.scala 137:112] + node _T_27475 = add(_T_27474, _T_27446) @[exu_mul_ctl.scala 137:112] + node _T_27476 = add(_T_27475, _T_27447) @[exu_mul_ctl.scala 137:112] + node _T_27477 = add(_T_27476, _T_27448) @[exu_mul_ctl.scala 137:112] + node _T_27478 = add(_T_27477, _T_27449) @[exu_mul_ctl.scala 137:112] + node _T_27479 = add(_T_27478, _T_27450) @[exu_mul_ctl.scala 137:112] + node _T_27480 = add(_T_27479, _T_27451) @[exu_mul_ctl.scala 137:112] + node _T_27481 = add(_T_27480, _T_27452) @[exu_mul_ctl.scala 137:112] + node _T_27482 = add(_T_27481, _T_27453) @[exu_mul_ctl.scala 137:112] + node _T_27483 = add(_T_27482, _T_27454) @[exu_mul_ctl.scala 137:112] + node _T_27484 = add(_T_27483, _T_27455) @[exu_mul_ctl.scala 137:112] + node _T_27485 = add(_T_27484, _T_27456) @[exu_mul_ctl.scala 137:112] + node _T_27486 = add(_T_27485, _T_27457) @[exu_mul_ctl.scala 137:112] + node _T_27487 = add(_T_27486, _T_27458) @[exu_mul_ctl.scala 137:112] + node _T_27488 = add(_T_27487, _T_27459) @[exu_mul_ctl.scala 137:112] + node _T_27489 = add(_T_27488, _T_27460) @[exu_mul_ctl.scala 137:112] + node _T_27490 = add(_T_27489, _T_27461) @[exu_mul_ctl.scala 137:112] + node _T_27491 = add(_T_27490, _T_27462) @[exu_mul_ctl.scala 137:112] + node _T_27492 = add(_T_27491, _T_27463) @[exu_mul_ctl.scala 137:112] + node _T_27493 = add(_T_27492, _T_27464) @[exu_mul_ctl.scala 137:112] + node _T_27494 = add(_T_27493, _T_27465) @[exu_mul_ctl.scala 137:112] + node _T_27495 = add(_T_27494, _T_27466) @[exu_mul_ctl.scala 137:112] + node _T_27496 = add(_T_27495, _T_27467) @[exu_mul_ctl.scala 137:112] + node _T_27497 = add(_T_27496, _T_27468) @[exu_mul_ctl.scala 137:112] + node _T_27498 = eq(_T_27497, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27499 = bits(_T_27498, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27500 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_27501 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27502 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27503 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27504 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27505 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27506 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27507 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27508 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27509 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27510 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27511 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27512 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27513 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27514 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27515 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27516 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27517 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27518 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27519 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27520 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27521 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27522 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27523 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27524 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27525 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27526 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27527 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27528 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_27529 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_27530 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_27531 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_27532 = add(_T_27501, _T_27502) @[exu_mul_ctl.scala 137:112] + node _T_27533 = add(_T_27532, _T_27503) @[exu_mul_ctl.scala 137:112] + node _T_27534 = add(_T_27533, _T_27504) @[exu_mul_ctl.scala 137:112] + node _T_27535 = add(_T_27534, _T_27505) @[exu_mul_ctl.scala 137:112] + node _T_27536 = add(_T_27535, _T_27506) @[exu_mul_ctl.scala 137:112] + node _T_27537 = add(_T_27536, _T_27507) @[exu_mul_ctl.scala 137:112] + node _T_27538 = add(_T_27537, _T_27508) @[exu_mul_ctl.scala 137:112] + node _T_27539 = add(_T_27538, _T_27509) @[exu_mul_ctl.scala 137:112] + node _T_27540 = add(_T_27539, _T_27510) @[exu_mul_ctl.scala 137:112] + node _T_27541 = add(_T_27540, _T_27511) @[exu_mul_ctl.scala 137:112] + node _T_27542 = add(_T_27541, _T_27512) @[exu_mul_ctl.scala 137:112] + node _T_27543 = add(_T_27542, _T_27513) @[exu_mul_ctl.scala 137:112] + node _T_27544 = add(_T_27543, _T_27514) @[exu_mul_ctl.scala 137:112] + node _T_27545 = add(_T_27544, _T_27515) @[exu_mul_ctl.scala 137:112] + node _T_27546 = add(_T_27545, _T_27516) @[exu_mul_ctl.scala 137:112] + node _T_27547 = add(_T_27546, _T_27517) @[exu_mul_ctl.scala 137:112] + node _T_27548 = add(_T_27547, _T_27518) @[exu_mul_ctl.scala 137:112] + node _T_27549 = add(_T_27548, _T_27519) @[exu_mul_ctl.scala 137:112] + node _T_27550 = add(_T_27549, _T_27520) @[exu_mul_ctl.scala 137:112] + node _T_27551 = add(_T_27550, _T_27521) @[exu_mul_ctl.scala 137:112] + node _T_27552 = add(_T_27551, _T_27522) @[exu_mul_ctl.scala 137:112] + node _T_27553 = add(_T_27552, _T_27523) @[exu_mul_ctl.scala 137:112] + node _T_27554 = add(_T_27553, _T_27524) @[exu_mul_ctl.scala 137:112] + node _T_27555 = add(_T_27554, _T_27525) @[exu_mul_ctl.scala 137:112] + node _T_27556 = add(_T_27555, _T_27526) @[exu_mul_ctl.scala 137:112] + node _T_27557 = add(_T_27556, _T_27527) @[exu_mul_ctl.scala 137:112] + node _T_27558 = add(_T_27557, _T_27528) @[exu_mul_ctl.scala 137:112] + node _T_27559 = add(_T_27558, _T_27529) @[exu_mul_ctl.scala 137:112] + node _T_27560 = add(_T_27559, _T_27530) @[exu_mul_ctl.scala 137:112] + node _T_27561 = add(_T_27560, _T_27531) @[exu_mul_ctl.scala 137:112] + node _T_27562 = eq(_T_27561, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27563 = bits(_T_27562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27564 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_27565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27572 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27573 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27574 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27575 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27576 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27577 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27578 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27579 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27580 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27581 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27582 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27583 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27584 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27585 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27586 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27587 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27588 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27589 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27590 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27591 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27592 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_27593 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_27594 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_27595 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_27596 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_27597 = add(_T_27565, _T_27566) @[exu_mul_ctl.scala 137:112] + node _T_27598 = add(_T_27597, _T_27567) @[exu_mul_ctl.scala 137:112] + node _T_27599 = add(_T_27598, _T_27568) @[exu_mul_ctl.scala 137:112] + node _T_27600 = add(_T_27599, _T_27569) @[exu_mul_ctl.scala 137:112] + node _T_27601 = add(_T_27600, _T_27570) @[exu_mul_ctl.scala 137:112] + node _T_27602 = add(_T_27601, _T_27571) @[exu_mul_ctl.scala 137:112] + node _T_27603 = add(_T_27602, _T_27572) @[exu_mul_ctl.scala 137:112] + node _T_27604 = add(_T_27603, _T_27573) @[exu_mul_ctl.scala 137:112] + node _T_27605 = add(_T_27604, _T_27574) @[exu_mul_ctl.scala 137:112] + node _T_27606 = add(_T_27605, _T_27575) @[exu_mul_ctl.scala 137:112] + node _T_27607 = add(_T_27606, _T_27576) @[exu_mul_ctl.scala 137:112] + node _T_27608 = add(_T_27607, _T_27577) @[exu_mul_ctl.scala 137:112] + node _T_27609 = add(_T_27608, _T_27578) @[exu_mul_ctl.scala 137:112] + node _T_27610 = add(_T_27609, _T_27579) @[exu_mul_ctl.scala 137:112] + node _T_27611 = add(_T_27610, _T_27580) @[exu_mul_ctl.scala 137:112] + node _T_27612 = add(_T_27611, _T_27581) @[exu_mul_ctl.scala 137:112] + node _T_27613 = add(_T_27612, _T_27582) @[exu_mul_ctl.scala 137:112] + node _T_27614 = add(_T_27613, _T_27583) @[exu_mul_ctl.scala 137:112] + node _T_27615 = add(_T_27614, _T_27584) @[exu_mul_ctl.scala 137:112] + node _T_27616 = add(_T_27615, _T_27585) @[exu_mul_ctl.scala 137:112] + node _T_27617 = add(_T_27616, _T_27586) @[exu_mul_ctl.scala 137:112] + node _T_27618 = add(_T_27617, _T_27587) @[exu_mul_ctl.scala 137:112] + node _T_27619 = add(_T_27618, _T_27588) @[exu_mul_ctl.scala 137:112] + node _T_27620 = add(_T_27619, _T_27589) @[exu_mul_ctl.scala 137:112] + node _T_27621 = add(_T_27620, _T_27590) @[exu_mul_ctl.scala 137:112] + node _T_27622 = add(_T_27621, _T_27591) @[exu_mul_ctl.scala 137:112] + node _T_27623 = add(_T_27622, _T_27592) @[exu_mul_ctl.scala 137:112] + node _T_27624 = add(_T_27623, _T_27593) @[exu_mul_ctl.scala 137:112] + node _T_27625 = add(_T_27624, _T_27594) @[exu_mul_ctl.scala 137:112] + node _T_27626 = add(_T_27625, _T_27595) @[exu_mul_ctl.scala 137:112] + node _T_27627 = add(_T_27626, _T_27596) @[exu_mul_ctl.scala 137:112] + node _T_27628 = eq(_T_27627, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27629 = bits(_T_27628, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27630 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_27631 = mux(_T_27629, _T_27630, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_27632 = mux(_T_27563, _T_27564, _T_27631) @[Mux.scala 98:16] + node _T_27633 = mux(_T_27499, _T_27500, _T_27632) @[Mux.scala 98:16] + node _T_27634 = mux(_T_27437, _T_27438, _T_27633) @[Mux.scala 98:16] + node _T_27635 = mux(_T_27377, _T_27378, _T_27634) @[Mux.scala 98:16] + node _T_27636 = mux(_T_27319, _T_27320, _T_27635) @[Mux.scala 98:16] + node _T_27637 = mux(_T_27263, _T_27264, _T_27636) @[Mux.scala 98:16] + node _T_27638 = mux(_T_27209, _T_27210, _T_27637) @[Mux.scala 98:16] + node _T_27639 = mux(_T_27157, _T_27158, _T_27638) @[Mux.scala 98:16] + node _T_27640 = mux(_T_27107, _T_27108, _T_27639) @[Mux.scala 98:16] + node _T_27641 = mux(_T_27059, _T_27060, _T_27640) @[Mux.scala 98:16] + node _T_27642 = mux(_T_27013, _T_27014, _T_27641) @[Mux.scala 98:16] + node _T_27643 = mux(_T_26969, _T_26970, _T_27642) @[Mux.scala 98:16] + node _T_27644 = mux(_T_26927, _T_26928, _T_27643) @[Mux.scala 98:16] + node _T_27645 = mux(_T_26887, _T_26888, _T_27644) @[Mux.scala 98:16] + node _T_27646 = mux(_T_26849, _T_26850, _T_27645) @[Mux.scala 98:16] + node _T_27647 = mux(_T_26813, _T_26814, _T_27646) @[Mux.scala 98:16] + node _T_27648 = mux(_T_26779, _T_26780, _T_27647) @[Mux.scala 98:16] + node _T_27649 = mux(_T_26747, _T_26748, _T_27648) @[Mux.scala 98:16] + node _T_27650 = mux(_T_26717, _T_26718, _T_27649) @[Mux.scala 98:16] + node _T_27651 = mux(_T_26689, _T_26690, _T_27650) @[Mux.scala 98:16] + node _T_27652 = mux(_T_26663, _T_26664, _T_27651) @[Mux.scala 98:16] + node _T_27653 = mux(_T_26639, _T_26640, _T_27652) @[Mux.scala 98:16] + node _T_27654 = mux(_T_26617, _T_26618, _T_27653) @[Mux.scala 98:16] + node _T_27655 = mux(_T_26597, _T_26598, _T_27654) @[Mux.scala 98:16] + node _T_27656 = mux(_T_26579, _T_26580, _T_27655) @[Mux.scala 98:16] + node _T_27657 = mux(_T_26563, _T_26564, _T_27656) @[Mux.scala 98:16] + node _T_27658 = mux(_T_26549, _T_26550, _T_27657) @[Mux.scala 98:16] + node _T_27659 = mux(_T_26537, _T_26538, _T_27658) @[Mux.scala 98:16] + node _T_27660 = mux(_T_26527, _T_26528, _T_27659) @[Mux.scala 98:16] + node _T_27661 = mux(_T_26519, _T_26520, _T_27660) @[Mux.scala 98:16] + node _T_27662 = mux(_T_26513, _T_26514, _T_27661) @[Mux.scala 98:16] + node _T_27663 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_27664 = eq(_T_27663, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27665 = bits(_T_27664, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27666 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_27667 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27668 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27669 = add(_T_27667, _T_27668) @[exu_mul_ctl.scala 137:112] + node _T_27670 = eq(_T_27669, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27671 = bits(_T_27670, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27672 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_27673 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27674 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27675 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27676 = add(_T_27673, _T_27674) @[exu_mul_ctl.scala 137:112] + node _T_27677 = add(_T_27676, _T_27675) @[exu_mul_ctl.scala 137:112] + node _T_27678 = eq(_T_27677, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27679 = bits(_T_27678, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27680 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_27681 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27682 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27683 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27684 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27685 = add(_T_27681, _T_27682) @[exu_mul_ctl.scala 137:112] + node _T_27686 = add(_T_27685, _T_27683) @[exu_mul_ctl.scala 137:112] + node _T_27687 = add(_T_27686, _T_27684) @[exu_mul_ctl.scala 137:112] + node _T_27688 = eq(_T_27687, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27689 = bits(_T_27688, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27690 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_27691 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27692 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27693 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27694 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27695 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27696 = add(_T_27691, _T_27692) @[exu_mul_ctl.scala 137:112] + node _T_27697 = add(_T_27696, _T_27693) @[exu_mul_ctl.scala 137:112] + node _T_27698 = add(_T_27697, _T_27694) @[exu_mul_ctl.scala 137:112] + node _T_27699 = add(_T_27698, _T_27695) @[exu_mul_ctl.scala 137:112] + node _T_27700 = eq(_T_27699, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27701 = bits(_T_27700, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27702 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_27703 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27704 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27705 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27706 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27707 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27708 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27709 = add(_T_27703, _T_27704) @[exu_mul_ctl.scala 137:112] + node _T_27710 = add(_T_27709, _T_27705) @[exu_mul_ctl.scala 137:112] + node _T_27711 = add(_T_27710, _T_27706) @[exu_mul_ctl.scala 137:112] + node _T_27712 = add(_T_27711, _T_27707) @[exu_mul_ctl.scala 137:112] + node _T_27713 = add(_T_27712, _T_27708) @[exu_mul_ctl.scala 137:112] + node _T_27714 = eq(_T_27713, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27715 = bits(_T_27714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27716 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_27717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27724 = add(_T_27717, _T_27718) @[exu_mul_ctl.scala 137:112] + node _T_27725 = add(_T_27724, _T_27719) @[exu_mul_ctl.scala 137:112] + node _T_27726 = add(_T_27725, _T_27720) @[exu_mul_ctl.scala 137:112] + node _T_27727 = add(_T_27726, _T_27721) @[exu_mul_ctl.scala 137:112] + node _T_27728 = add(_T_27727, _T_27722) @[exu_mul_ctl.scala 137:112] + node _T_27729 = add(_T_27728, _T_27723) @[exu_mul_ctl.scala 137:112] + node _T_27730 = eq(_T_27729, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27731 = bits(_T_27730, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27732 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_27733 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27734 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27735 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27736 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27737 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27738 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27739 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27740 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27741 = add(_T_27733, _T_27734) @[exu_mul_ctl.scala 137:112] + node _T_27742 = add(_T_27741, _T_27735) @[exu_mul_ctl.scala 137:112] + node _T_27743 = add(_T_27742, _T_27736) @[exu_mul_ctl.scala 137:112] + node _T_27744 = add(_T_27743, _T_27737) @[exu_mul_ctl.scala 137:112] + node _T_27745 = add(_T_27744, _T_27738) @[exu_mul_ctl.scala 137:112] + node _T_27746 = add(_T_27745, _T_27739) @[exu_mul_ctl.scala 137:112] + node _T_27747 = add(_T_27746, _T_27740) @[exu_mul_ctl.scala 137:112] + node _T_27748 = eq(_T_27747, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27749 = bits(_T_27748, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27750 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_27751 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27752 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27753 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27754 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27755 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27756 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27757 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27758 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27759 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27760 = add(_T_27751, _T_27752) @[exu_mul_ctl.scala 137:112] + node _T_27761 = add(_T_27760, _T_27753) @[exu_mul_ctl.scala 137:112] + node _T_27762 = add(_T_27761, _T_27754) @[exu_mul_ctl.scala 137:112] + node _T_27763 = add(_T_27762, _T_27755) @[exu_mul_ctl.scala 137:112] + node _T_27764 = add(_T_27763, _T_27756) @[exu_mul_ctl.scala 137:112] + node _T_27765 = add(_T_27764, _T_27757) @[exu_mul_ctl.scala 137:112] + node _T_27766 = add(_T_27765, _T_27758) @[exu_mul_ctl.scala 137:112] + node _T_27767 = add(_T_27766, _T_27759) @[exu_mul_ctl.scala 137:112] + node _T_27768 = eq(_T_27767, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27769 = bits(_T_27768, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27770 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_27771 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27772 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27773 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27774 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27775 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27776 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27777 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27778 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27779 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27780 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27781 = add(_T_27771, _T_27772) @[exu_mul_ctl.scala 137:112] + node _T_27782 = add(_T_27781, _T_27773) @[exu_mul_ctl.scala 137:112] + node _T_27783 = add(_T_27782, _T_27774) @[exu_mul_ctl.scala 137:112] + node _T_27784 = add(_T_27783, _T_27775) @[exu_mul_ctl.scala 137:112] + node _T_27785 = add(_T_27784, _T_27776) @[exu_mul_ctl.scala 137:112] + node _T_27786 = add(_T_27785, _T_27777) @[exu_mul_ctl.scala 137:112] + node _T_27787 = add(_T_27786, _T_27778) @[exu_mul_ctl.scala 137:112] + node _T_27788 = add(_T_27787, _T_27779) @[exu_mul_ctl.scala 137:112] + node _T_27789 = add(_T_27788, _T_27780) @[exu_mul_ctl.scala 137:112] + node _T_27790 = eq(_T_27789, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27791 = bits(_T_27790, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27792 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_27793 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27794 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27795 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27796 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27797 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27798 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27799 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27800 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27801 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27802 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27803 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27804 = add(_T_27793, _T_27794) @[exu_mul_ctl.scala 137:112] + node _T_27805 = add(_T_27804, _T_27795) @[exu_mul_ctl.scala 137:112] + node _T_27806 = add(_T_27805, _T_27796) @[exu_mul_ctl.scala 137:112] + node _T_27807 = add(_T_27806, _T_27797) @[exu_mul_ctl.scala 137:112] + node _T_27808 = add(_T_27807, _T_27798) @[exu_mul_ctl.scala 137:112] + node _T_27809 = add(_T_27808, _T_27799) @[exu_mul_ctl.scala 137:112] + node _T_27810 = add(_T_27809, _T_27800) @[exu_mul_ctl.scala 137:112] + node _T_27811 = add(_T_27810, _T_27801) @[exu_mul_ctl.scala 137:112] + node _T_27812 = add(_T_27811, _T_27802) @[exu_mul_ctl.scala 137:112] + node _T_27813 = add(_T_27812, _T_27803) @[exu_mul_ctl.scala 137:112] + node _T_27814 = eq(_T_27813, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27815 = bits(_T_27814, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27816 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_27817 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27818 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27819 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27820 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27821 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27822 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27823 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27824 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27825 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27826 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27827 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27828 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27829 = add(_T_27817, _T_27818) @[exu_mul_ctl.scala 137:112] + node _T_27830 = add(_T_27829, _T_27819) @[exu_mul_ctl.scala 137:112] + node _T_27831 = add(_T_27830, _T_27820) @[exu_mul_ctl.scala 137:112] + node _T_27832 = add(_T_27831, _T_27821) @[exu_mul_ctl.scala 137:112] + node _T_27833 = add(_T_27832, _T_27822) @[exu_mul_ctl.scala 137:112] + node _T_27834 = add(_T_27833, _T_27823) @[exu_mul_ctl.scala 137:112] + node _T_27835 = add(_T_27834, _T_27824) @[exu_mul_ctl.scala 137:112] + node _T_27836 = add(_T_27835, _T_27825) @[exu_mul_ctl.scala 137:112] + node _T_27837 = add(_T_27836, _T_27826) @[exu_mul_ctl.scala 137:112] + node _T_27838 = add(_T_27837, _T_27827) @[exu_mul_ctl.scala 137:112] + node _T_27839 = add(_T_27838, _T_27828) @[exu_mul_ctl.scala 137:112] + node _T_27840 = eq(_T_27839, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27841 = bits(_T_27840, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27842 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_27843 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27844 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27845 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27846 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27847 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27848 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27849 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27850 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27851 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27852 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27853 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27854 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27855 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27856 = add(_T_27843, _T_27844) @[exu_mul_ctl.scala 137:112] + node _T_27857 = add(_T_27856, _T_27845) @[exu_mul_ctl.scala 137:112] + node _T_27858 = add(_T_27857, _T_27846) @[exu_mul_ctl.scala 137:112] + node _T_27859 = add(_T_27858, _T_27847) @[exu_mul_ctl.scala 137:112] + node _T_27860 = add(_T_27859, _T_27848) @[exu_mul_ctl.scala 137:112] + node _T_27861 = add(_T_27860, _T_27849) @[exu_mul_ctl.scala 137:112] + node _T_27862 = add(_T_27861, _T_27850) @[exu_mul_ctl.scala 137:112] + node _T_27863 = add(_T_27862, _T_27851) @[exu_mul_ctl.scala 137:112] + node _T_27864 = add(_T_27863, _T_27852) @[exu_mul_ctl.scala 137:112] + node _T_27865 = add(_T_27864, _T_27853) @[exu_mul_ctl.scala 137:112] + node _T_27866 = add(_T_27865, _T_27854) @[exu_mul_ctl.scala 137:112] + node _T_27867 = add(_T_27866, _T_27855) @[exu_mul_ctl.scala 137:112] + node _T_27868 = eq(_T_27867, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27869 = bits(_T_27868, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27870 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_27871 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27872 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27873 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27874 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27875 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27876 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27877 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27878 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27879 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27880 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27881 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27882 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27883 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27884 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27885 = add(_T_27871, _T_27872) @[exu_mul_ctl.scala 137:112] + node _T_27886 = add(_T_27885, _T_27873) @[exu_mul_ctl.scala 137:112] + node _T_27887 = add(_T_27886, _T_27874) @[exu_mul_ctl.scala 137:112] + node _T_27888 = add(_T_27887, _T_27875) @[exu_mul_ctl.scala 137:112] + node _T_27889 = add(_T_27888, _T_27876) @[exu_mul_ctl.scala 137:112] + node _T_27890 = add(_T_27889, _T_27877) @[exu_mul_ctl.scala 137:112] + node _T_27891 = add(_T_27890, _T_27878) @[exu_mul_ctl.scala 137:112] + node _T_27892 = add(_T_27891, _T_27879) @[exu_mul_ctl.scala 137:112] + node _T_27893 = add(_T_27892, _T_27880) @[exu_mul_ctl.scala 137:112] + node _T_27894 = add(_T_27893, _T_27881) @[exu_mul_ctl.scala 137:112] + node _T_27895 = add(_T_27894, _T_27882) @[exu_mul_ctl.scala 137:112] + node _T_27896 = add(_T_27895, _T_27883) @[exu_mul_ctl.scala 137:112] + node _T_27897 = add(_T_27896, _T_27884) @[exu_mul_ctl.scala 137:112] + node _T_27898 = eq(_T_27897, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27899 = bits(_T_27898, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27900 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_27901 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27902 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27903 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27904 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27905 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27906 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27907 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27908 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27909 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27910 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27911 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27912 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27913 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27914 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27915 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27916 = add(_T_27901, _T_27902) @[exu_mul_ctl.scala 137:112] + node _T_27917 = add(_T_27916, _T_27903) @[exu_mul_ctl.scala 137:112] + node _T_27918 = add(_T_27917, _T_27904) @[exu_mul_ctl.scala 137:112] + node _T_27919 = add(_T_27918, _T_27905) @[exu_mul_ctl.scala 137:112] + node _T_27920 = add(_T_27919, _T_27906) @[exu_mul_ctl.scala 137:112] + node _T_27921 = add(_T_27920, _T_27907) @[exu_mul_ctl.scala 137:112] + node _T_27922 = add(_T_27921, _T_27908) @[exu_mul_ctl.scala 137:112] + node _T_27923 = add(_T_27922, _T_27909) @[exu_mul_ctl.scala 137:112] + node _T_27924 = add(_T_27923, _T_27910) @[exu_mul_ctl.scala 137:112] + node _T_27925 = add(_T_27924, _T_27911) @[exu_mul_ctl.scala 137:112] + node _T_27926 = add(_T_27925, _T_27912) @[exu_mul_ctl.scala 137:112] + node _T_27927 = add(_T_27926, _T_27913) @[exu_mul_ctl.scala 137:112] + node _T_27928 = add(_T_27927, _T_27914) @[exu_mul_ctl.scala 137:112] + node _T_27929 = add(_T_27928, _T_27915) @[exu_mul_ctl.scala 137:112] + node _T_27930 = eq(_T_27929, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27931 = bits(_T_27930, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27932 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_27933 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27934 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27935 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27936 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27937 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27938 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27939 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27940 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27941 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27942 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27943 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27944 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27945 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27946 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27947 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27948 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27949 = add(_T_27933, _T_27934) @[exu_mul_ctl.scala 137:112] + node _T_27950 = add(_T_27949, _T_27935) @[exu_mul_ctl.scala 137:112] + node _T_27951 = add(_T_27950, _T_27936) @[exu_mul_ctl.scala 137:112] + node _T_27952 = add(_T_27951, _T_27937) @[exu_mul_ctl.scala 137:112] + node _T_27953 = add(_T_27952, _T_27938) @[exu_mul_ctl.scala 137:112] + node _T_27954 = add(_T_27953, _T_27939) @[exu_mul_ctl.scala 137:112] + node _T_27955 = add(_T_27954, _T_27940) @[exu_mul_ctl.scala 137:112] + node _T_27956 = add(_T_27955, _T_27941) @[exu_mul_ctl.scala 137:112] + node _T_27957 = add(_T_27956, _T_27942) @[exu_mul_ctl.scala 137:112] + node _T_27958 = add(_T_27957, _T_27943) @[exu_mul_ctl.scala 137:112] + node _T_27959 = add(_T_27958, _T_27944) @[exu_mul_ctl.scala 137:112] + node _T_27960 = add(_T_27959, _T_27945) @[exu_mul_ctl.scala 137:112] + node _T_27961 = add(_T_27960, _T_27946) @[exu_mul_ctl.scala 137:112] + node _T_27962 = add(_T_27961, _T_27947) @[exu_mul_ctl.scala 137:112] + node _T_27963 = add(_T_27962, _T_27948) @[exu_mul_ctl.scala 137:112] + node _T_27964 = eq(_T_27963, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27965 = bits(_T_27964, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27966 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_27967 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27968 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27969 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27970 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27971 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27972 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27973 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27974 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27975 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27976 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27977 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27978 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27979 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27980 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27981 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27982 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27983 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27984 = add(_T_27967, _T_27968) @[exu_mul_ctl.scala 137:112] + node _T_27985 = add(_T_27984, _T_27969) @[exu_mul_ctl.scala 137:112] + node _T_27986 = add(_T_27985, _T_27970) @[exu_mul_ctl.scala 137:112] + node _T_27987 = add(_T_27986, _T_27971) @[exu_mul_ctl.scala 137:112] + node _T_27988 = add(_T_27987, _T_27972) @[exu_mul_ctl.scala 137:112] + node _T_27989 = add(_T_27988, _T_27973) @[exu_mul_ctl.scala 137:112] + node _T_27990 = add(_T_27989, _T_27974) @[exu_mul_ctl.scala 137:112] + node _T_27991 = add(_T_27990, _T_27975) @[exu_mul_ctl.scala 137:112] + node _T_27992 = add(_T_27991, _T_27976) @[exu_mul_ctl.scala 137:112] + node _T_27993 = add(_T_27992, _T_27977) @[exu_mul_ctl.scala 137:112] + node _T_27994 = add(_T_27993, _T_27978) @[exu_mul_ctl.scala 137:112] + node _T_27995 = add(_T_27994, _T_27979) @[exu_mul_ctl.scala 137:112] + node _T_27996 = add(_T_27995, _T_27980) @[exu_mul_ctl.scala 137:112] + node _T_27997 = add(_T_27996, _T_27981) @[exu_mul_ctl.scala 137:112] + node _T_27998 = add(_T_27997, _T_27982) @[exu_mul_ctl.scala 137:112] + node _T_27999 = add(_T_27998, _T_27983) @[exu_mul_ctl.scala 137:112] + node _T_28000 = eq(_T_27999, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28001 = bits(_T_28000, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28002 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_28003 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28004 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28005 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28006 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28007 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28008 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28009 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28010 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28011 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28012 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28013 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28014 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28015 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28016 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28017 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28018 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28019 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28020 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28021 = add(_T_28003, _T_28004) @[exu_mul_ctl.scala 137:112] + node _T_28022 = add(_T_28021, _T_28005) @[exu_mul_ctl.scala 137:112] + node _T_28023 = add(_T_28022, _T_28006) @[exu_mul_ctl.scala 137:112] + node _T_28024 = add(_T_28023, _T_28007) @[exu_mul_ctl.scala 137:112] + node _T_28025 = add(_T_28024, _T_28008) @[exu_mul_ctl.scala 137:112] + node _T_28026 = add(_T_28025, _T_28009) @[exu_mul_ctl.scala 137:112] + node _T_28027 = add(_T_28026, _T_28010) @[exu_mul_ctl.scala 137:112] + node _T_28028 = add(_T_28027, _T_28011) @[exu_mul_ctl.scala 137:112] + node _T_28029 = add(_T_28028, _T_28012) @[exu_mul_ctl.scala 137:112] + node _T_28030 = add(_T_28029, _T_28013) @[exu_mul_ctl.scala 137:112] + node _T_28031 = add(_T_28030, _T_28014) @[exu_mul_ctl.scala 137:112] + node _T_28032 = add(_T_28031, _T_28015) @[exu_mul_ctl.scala 137:112] + node _T_28033 = add(_T_28032, _T_28016) @[exu_mul_ctl.scala 137:112] + node _T_28034 = add(_T_28033, _T_28017) @[exu_mul_ctl.scala 137:112] + node _T_28035 = add(_T_28034, _T_28018) @[exu_mul_ctl.scala 137:112] + node _T_28036 = add(_T_28035, _T_28019) @[exu_mul_ctl.scala 137:112] + node _T_28037 = add(_T_28036, _T_28020) @[exu_mul_ctl.scala 137:112] + node _T_28038 = eq(_T_28037, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28039 = bits(_T_28038, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28040 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_28041 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28042 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28043 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28044 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28045 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28046 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28047 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28048 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28049 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28050 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28051 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28052 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28053 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28054 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28055 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28056 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28057 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28058 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28059 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28060 = add(_T_28041, _T_28042) @[exu_mul_ctl.scala 137:112] + node _T_28061 = add(_T_28060, _T_28043) @[exu_mul_ctl.scala 137:112] + node _T_28062 = add(_T_28061, _T_28044) @[exu_mul_ctl.scala 137:112] + node _T_28063 = add(_T_28062, _T_28045) @[exu_mul_ctl.scala 137:112] + node _T_28064 = add(_T_28063, _T_28046) @[exu_mul_ctl.scala 137:112] + node _T_28065 = add(_T_28064, _T_28047) @[exu_mul_ctl.scala 137:112] + node _T_28066 = add(_T_28065, _T_28048) @[exu_mul_ctl.scala 137:112] + node _T_28067 = add(_T_28066, _T_28049) @[exu_mul_ctl.scala 137:112] + node _T_28068 = add(_T_28067, _T_28050) @[exu_mul_ctl.scala 137:112] + node _T_28069 = add(_T_28068, _T_28051) @[exu_mul_ctl.scala 137:112] + node _T_28070 = add(_T_28069, _T_28052) @[exu_mul_ctl.scala 137:112] + node _T_28071 = add(_T_28070, _T_28053) @[exu_mul_ctl.scala 137:112] + node _T_28072 = add(_T_28071, _T_28054) @[exu_mul_ctl.scala 137:112] + node _T_28073 = add(_T_28072, _T_28055) @[exu_mul_ctl.scala 137:112] + node _T_28074 = add(_T_28073, _T_28056) @[exu_mul_ctl.scala 137:112] + node _T_28075 = add(_T_28074, _T_28057) @[exu_mul_ctl.scala 137:112] + node _T_28076 = add(_T_28075, _T_28058) @[exu_mul_ctl.scala 137:112] + node _T_28077 = add(_T_28076, _T_28059) @[exu_mul_ctl.scala 137:112] + node _T_28078 = eq(_T_28077, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28079 = bits(_T_28078, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28080 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_28081 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28082 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28083 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28084 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28085 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28086 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28087 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28088 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28089 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28090 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28091 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28092 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28093 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28094 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28095 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28096 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28097 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28098 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28099 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28100 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28101 = add(_T_28081, _T_28082) @[exu_mul_ctl.scala 137:112] + node _T_28102 = add(_T_28101, _T_28083) @[exu_mul_ctl.scala 137:112] + node _T_28103 = add(_T_28102, _T_28084) @[exu_mul_ctl.scala 137:112] + node _T_28104 = add(_T_28103, _T_28085) @[exu_mul_ctl.scala 137:112] + node _T_28105 = add(_T_28104, _T_28086) @[exu_mul_ctl.scala 137:112] + node _T_28106 = add(_T_28105, _T_28087) @[exu_mul_ctl.scala 137:112] + node _T_28107 = add(_T_28106, _T_28088) @[exu_mul_ctl.scala 137:112] + node _T_28108 = add(_T_28107, _T_28089) @[exu_mul_ctl.scala 137:112] + node _T_28109 = add(_T_28108, _T_28090) @[exu_mul_ctl.scala 137:112] + node _T_28110 = add(_T_28109, _T_28091) @[exu_mul_ctl.scala 137:112] + node _T_28111 = add(_T_28110, _T_28092) @[exu_mul_ctl.scala 137:112] + node _T_28112 = add(_T_28111, _T_28093) @[exu_mul_ctl.scala 137:112] + node _T_28113 = add(_T_28112, _T_28094) @[exu_mul_ctl.scala 137:112] + node _T_28114 = add(_T_28113, _T_28095) @[exu_mul_ctl.scala 137:112] + node _T_28115 = add(_T_28114, _T_28096) @[exu_mul_ctl.scala 137:112] + node _T_28116 = add(_T_28115, _T_28097) @[exu_mul_ctl.scala 137:112] + node _T_28117 = add(_T_28116, _T_28098) @[exu_mul_ctl.scala 137:112] + node _T_28118 = add(_T_28117, _T_28099) @[exu_mul_ctl.scala 137:112] + node _T_28119 = add(_T_28118, _T_28100) @[exu_mul_ctl.scala 137:112] + node _T_28120 = eq(_T_28119, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28121 = bits(_T_28120, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28122 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_28123 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28124 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28125 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28126 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28127 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28128 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28129 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28130 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28131 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28132 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28133 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28134 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28135 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28136 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28137 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28138 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28139 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28140 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28141 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28142 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28143 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28144 = add(_T_28123, _T_28124) @[exu_mul_ctl.scala 137:112] + node _T_28145 = add(_T_28144, _T_28125) @[exu_mul_ctl.scala 137:112] + node _T_28146 = add(_T_28145, _T_28126) @[exu_mul_ctl.scala 137:112] + node _T_28147 = add(_T_28146, _T_28127) @[exu_mul_ctl.scala 137:112] + node _T_28148 = add(_T_28147, _T_28128) @[exu_mul_ctl.scala 137:112] + node _T_28149 = add(_T_28148, _T_28129) @[exu_mul_ctl.scala 137:112] + node _T_28150 = add(_T_28149, _T_28130) @[exu_mul_ctl.scala 137:112] + node _T_28151 = add(_T_28150, _T_28131) @[exu_mul_ctl.scala 137:112] + node _T_28152 = add(_T_28151, _T_28132) @[exu_mul_ctl.scala 137:112] + node _T_28153 = add(_T_28152, _T_28133) @[exu_mul_ctl.scala 137:112] + node _T_28154 = add(_T_28153, _T_28134) @[exu_mul_ctl.scala 137:112] + node _T_28155 = add(_T_28154, _T_28135) @[exu_mul_ctl.scala 137:112] + node _T_28156 = add(_T_28155, _T_28136) @[exu_mul_ctl.scala 137:112] + node _T_28157 = add(_T_28156, _T_28137) @[exu_mul_ctl.scala 137:112] + node _T_28158 = add(_T_28157, _T_28138) @[exu_mul_ctl.scala 137:112] + node _T_28159 = add(_T_28158, _T_28139) @[exu_mul_ctl.scala 137:112] + node _T_28160 = add(_T_28159, _T_28140) @[exu_mul_ctl.scala 137:112] + node _T_28161 = add(_T_28160, _T_28141) @[exu_mul_ctl.scala 137:112] + node _T_28162 = add(_T_28161, _T_28142) @[exu_mul_ctl.scala 137:112] + node _T_28163 = add(_T_28162, _T_28143) @[exu_mul_ctl.scala 137:112] + node _T_28164 = eq(_T_28163, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28165 = bits(_T_28164, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28166 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_28167 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28168 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28169 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28170 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28171 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28172 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28173 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28174 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28175 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28176 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28177 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28178 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28179 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28180 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28181 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28182 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28183 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28184 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28185 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28186 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28187 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28188 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28189 = add(_T_28167, _T_28168) @[exu_mul_ctl.scala 137:112] + node _T_28190 = add(_T_28189, _T_28169) @[exu_mul_ctl.scala 137:112] + node _T_28191 = add(_T_28190, _T_28170) @[exu_mul_ctl.scala 137:112] + node _T_28192 = add(_T_28191, _T_28171) @[exu_mul_ctl.scala 137:112] + node _T_28193 = add(_T_28192, _T_28172) @[exu_mul_ctl.scala 137:112] + node _T_28194 = add(_T_28193, _T_28173) @[exu_mul_ctl.scala 137:112] + node _T_28195 = add(_T_28194, _T_28174) @[exu_mul_ctl.scala 137:112] + node _T_28196 = add(_T_28195, _T_28175) @[exu_mul_ctl.scala 137:112] + node _T_28197 = add(_T_28196, _T_28176) @[exu_mul_ctl.scala 137:112] + node _T_28198 = add(_T_28197, _T_28177) @[exu_mul_ctl.scala 137:112] + node _T_28199 = add(_T_28198, _T_28178) @[exu_mul_ctl.scala 137:112] + node _T_28200 = add(_T_28199, _T_28179) @[exu_mul_ctl.scala 137:112] + node _T_28201 = add(_T_28200, _T_28180) @[exu_mul_ctl.scala 137:112] + node _T_28202 = add(_T_28201, _T_28181) @[exu_mul_ctl.scala 137:112] + node _T_28203 = add(_T_28202, _T_28182) @[exu_mul_ctl.scala 137:112] + node _T_28204 = add(_T_28203, _T_28183) @[exu_mul_ctl.scala 137:112] + node _T_28205 = add(_T_28204, _T_28184) @[exu_mul_ctl.scala 137:112] + node _T_28206 = add(_T_28205, _T_28185) @[exu_mul_ctl.scala 137:112] + node _T_28207 = add(_T_28206, _T_28186) @[exu_mul_ctl.scala 137:112] + node _T_28208 = add(_T_28207, _T_28187) @[exu_mul_ctl.scala 137:112] + node _T_28209 = add(_T_28208, _T_28188) @[exu_mul_ctl.scala 137:112] + node _T_28210 = eq(_T_28209, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28211 = bits(_T_28210, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28212 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_28213 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28214 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28215 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28216 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28217 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28218 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28219 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28220 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28221 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28222 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28223 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28224 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28225 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28226 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28227 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28228 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28229 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28230 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28231 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28232 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28233 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28234 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28235 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28236 = add(_T_28213, _T_28214) @[exu_mul_ctl.scala 137:112] + node _T_28237 = add(_T_28236, _T_28215) @[exu_mul_ctl.scala 137:112] + node _T_28238 = add(_T_28237, _T_28216) @[exu_mul_ctl.scala 137:112] + node _T_28239 = add(_T_28238, _T_28217) @[exu_mul_ctl.scala 137:112] + node _T_28240 = add(_T_28239, _T_28218) @[exu_mul_ctl.scala 137:112] + node _T_28241 = add(_T_28240, _T_28219) @[exu_mul_ctl.scala 137:112] + node _T_28242 = add(_T_28241, _T_28220) @[exu_mul_ctl.scala 137:112] + node _T_28243 = add(_T_28242, _T_28221) @[exu_mul_ctl.scala 137:112] + node _T_28244 = add(_T_28243, _T_28222) @[exu_mul_ctl.scala 137:112] + node _T_28245 = add(_T_28244, _T_28223) @[exu_mul_ctl.scala 137:112] + node _T_28246 = add(_T_28245, _T_28224) @[exu_mul_ctl.scala 137:112] + node _T_28247 = add(_T_28246, _T_28225) @[exu_mul_ctl.scala 137:112] + node _T_28248 = add(_T_28247, _T_28226) @[exu_mul_ctl.scala 137:112] + node _T_28249 = add(_T_28248, _T_28227) @[exu_mul_ctl.scala 137:112] + node _T_28250 = add(_T_28249, _T_28228) @[exu_mul_ctl.scala 137:112] + node _T_28251 = add(_T_28250, _T_28229) @[exu_mul_ctl.scala 137:112] + node _T_28252 = add(_T_28251, _T_28230) @[exu_mul_ctl.scala 137:112] + node _T_28253 = add(_T_28252, _T_28231) @[exu_mul_ctl.scala 137:112] + node _T_28254 = add(_T_28253, _T_28232) @[exu_mul_ctl.scala 137:112] + node _T_28255 = add(_T_28254, _T_28233) @[exu_mul_ctl.scala 137:112] + node _T_28256 = add(_T_28255, _T_28234) @[exu_mul_ctl.scala 137:112] + node _T_28257 = add(_T_28256, _T_28235) @[exu_mul_ctl.scala 137:112] + node _T_28258 = eq(_T_28257, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28259 = bits(_T_28258, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28260 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_28261 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28262 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28263 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28264 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28265 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28266 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28267 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28268 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28269 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28270 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28271 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28272 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28273 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28274 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28275 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28276 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28277 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28278 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28279 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28280 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28281 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28282 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28283 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28284 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28285 = add(_T_28261, _T_28262) @[exu_mul_ctl.scala 137:112] + node _T_28286 = add(_T_28285, _T_28263) @[exu_mul_ctl.scala 137:112] + node _T_28287 = add(_T_28286, _T_28264) @[exu_mul_ctl.scala 137:112] + node _T_28288 = add(_T_28287, _T_28265) @[exu_mul_ctl.scala 137:112] + node _T_28289 = add(_T_28288, _T_28266) @[exu_mul_ctl.scala 137:112] + node _T_28290 = add(_T_28289, _T_28267) @[exu_mul_ctl.scala 137:112] + node _T_28291 = add(_T_28290, _T_28268) @[exu_mul_ctl.scala 137:112] + node _T_28292 = add(_T_28291, _T_28269) @[exu_mul_ctl.scala 137:112] + node _T_28293 = add(_T_28292, _T_28270) @[exu_mul_ctl.scala 137:112] + node _T_28294 = add(_T_28293, _T_28271) @[exu_mul_ctl.scala 137:112] + node _T_28295 = add(_T_28294, _T_28272) @[exu_mul_ctl.scala 137:112] + node _T_28296 = add(_T_28295, _T_28273) @[exu_mul_ctl.scala 137:112] + node _T_28297 = add(_T_28296, _T_28274) @[exu_mul_ctl.scala 137:112] + node _T_28298 = add(_T_28297, _T_28275) @[exu_mul_ctl.scala 137:112] + node _T_28299 = add(_T_28298, _T_28276) @[exu_mul_ctl.scala 137:112] + node _T_28300 = add(_T_28299, _T_28277) @[exu_mul_ctl.scala 137:112] + node _T_28301 = add(_T_28300, _T_28278) @[exu_mul_ctl.scala 137:112] + node _T_28302 = add(_T_28301, _T_28279) @[exu_mul_ctl.scala 137:112] + node _T_28303 = add(_T_28302, _T_28280) @[exu_mul_ctl.scala 137:112] + node _T_28304 = add(_T_28303, _T_28281) @[exu_mul_ctl.scala 137:112] + node _T_28305 = add(_T_28304, _T_28282) @[exu_mul_ctl.scala 137:112] + node _T_28306 = add(_T_28305, _T_28283) @[exu_mul_ctl.scala 137:112] + node _T_28307 = add(_T_28306, _T_28284) @[exu_mul_ctl.scala 137:112] + node _T_28308 = eq(_T_28307, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28309 = bits(_T_28308, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28310 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_28311 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28312 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28313 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28314 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28315 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28316 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28317 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28318 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28319 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28320 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28321 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28322 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28323 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28324 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28325 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28326 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28327 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28328 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28329 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28330 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28331 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28332 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28333 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28334 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28335 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28336 = add(_T_28311, _T_28312) @[exu_mul_ctl.scala 137:112] + node _T_28337 = add(_T_28336, _T_28313) @[exu_mul_ctl.scala 137:112] + node _T_28338 = add(_T_28337, _T_28314) @[exu_mul_ctl.scala 137:112] + node _T_28339 = add(_T_28338, _T_28315) @[exu_mul_ctl.scala 137:112] + node _T_28340 = add(_T_28339, _T_28316) @[exu_mul_ctl.scala 137:112] + node _T_28341 = add(_T_28340, _T_28317) @[exu_mul_ctl.scala 137:112] + node _T_28342 = add(_T_28341, _T_28318) @[exu_mul_ctl.scala 137:112] + node _T_28343 = add(_T_28342, _T_28319) @[exu_mul_ctl.scala 137:112] + node _T_28344 = add(_T_28343, _T_28320) @[exu_mul_ctl.scala 137:112] + node _T_28345 = add(_T_28344, _T_28321) @[exu_mul_ctl.scala 137:112] + node _T_28346 = add(_T_28345, _T_28322) @[exu_mul_ctl.scala 137:112] + node _T_28347 = add(_T_28346, _T_28323) @[exu_mul_ctl.scala 137:112] + node _T_28348 = add(_T_28347, _T_28324) @[exu_mul_ctl.scala 137:112] + node _T_28349 = add(_T_28348, _T_28325) @[exu_mul_ctl.scala 137:112] + node _T_28350 = add(_T_28349, _T_28326) @[exu_mul_ctl.scala 137:112] + node _T_28351 = add(_T_28350, _T_28327) @[exu_mul_ctl.scala 137:112] + node _T_28352 = add(_T_28351, _T_28328) @[exu_mul_ctl.scala 137:112] + node _T_28353 = add(_T_28352, _T_28329) @[exu_mul_ctl.scala 137:112] + node _T_28354 = add(_T_28353, _T_28330) @[exu_mul_ctl.scala 137:112] + node _T_28355 = add(_T_28354, _T_28331) @[exu_mul_ctl.scala 137:112] + node _T_28356 = add(_T_28355, _T_28332) @[exu_mul_ctl.scala 137:112] + node _T_28357 = add(_T_28356, _T_28333) @[exu_mul_ctl.scala 137:112] + node _T_28358 = add(_T_28357, _T_28334) @[exu_mul_ctl.scala 137:112] + node _T_28359 = add(_T_28358, _T_28335) @[exu_mul_ctl.scala 137:112] + node _T_28360 = eq(_T_28359, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28361 = bits(_T_28360, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28362 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_28363 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28364 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28365 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28366 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28367 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28368 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28369 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28370 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28371 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28372 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28373 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28374 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28375 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28376 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28377 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28378 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28379 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28380 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28381 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28382 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28383 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28384 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28385 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28386 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28387 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28388 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28389 = add(_T_28363, _T_28364) @[exu_mul_ctl.scala 137:112] + node _T_28390 = add(_T_28389, _T_28365) @[exu_mul_ctl.scala 137:112] + node _T_28391 = add(_T_28390, _T_28366) @[exu_mul_ctl.scala 137:112] + node _T_28392 = add(_T_28391, _T_28367) @[exu_mul_ctl.scala 137:112] + node _T_28393 = add(_T_28392, _T_28368) @[exu_mul_ctl.scala 137:112] + node _T_28394 = add(_T_28393, _T_28369) @[exu_mul_ctl.scala 137:112] + node _T_28395 = add(_T_28394, _T_28370) @[exu_mul_ctl.scala 137:112] + node _T_28396 = add(_T_28395, _T_28371) @[exu_mul_ctl.scala 137:112] + node _T_28397 = add(_T_28396, _T_28372) @[exu_mul_ctl.scala 137:112] + node _T_28398 = add(_T_28397, _T_28373) @[exu_mul_ctl.scala 137:112] + node _T_28399 = add(_T_28398, _T_28374) @[exu_mul_ctl.scala 137:112] + node _T_28400 = add(_T_28399, _T_28375) @[exu_mul_ctl.scala 137:112] + node _T_28401 = add(_T_28400, _T_28376) @[exu_mul_ctl.scala 137:112] + node _T_28402 = add(_T_28401, _T_28377) @[exu_mul_ctl.scala 137:112] + node _T_28403 = add(_T_28402, _T_28378) @[exu_mul_ctl.scala 137:112] + node _T_28404 = add(_T_28403, _T_28379) @[exu_mul_ctl.scala 137:112] + node _T_28405 = add(_T_28404, _T_28380) @[exu_mul_ctl.scala 137:112] + node _T_28406 = add(_T_28405, _T_28381) @[exu_mul_ctl.scala 137:112] + node _T_28407 = add(_T_28406, _T_28382) @[exu_mul_ctl.scala 137:112] + node _T_28408 = add(_T_28407, _T_28383) @[exu_mul_ctl.scala 137:112] + node _T_28409 = add(_T_28408, _T_28384) @[exu_mul_ctl.scala 137:112] + node _T_28410 = add(_T_28409, _T_28385) @[exu_mul_ctl.scala 137:112] + node _T_28411 = add(_T_28410, _T_28386) @[exu_mul_ctl.scala 137:112] + node _T_28412 = add(_T_28411, _T_28387) @[exu_mul_ctl.scala 137:112] + node _T_28413 = add(_T_28412, _T_28388) @[exu_mul_ctl.scala 137:112] + node _T_28414 = eq(_T_28413, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28415 = bits(_T_28414, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28416 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_28417 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28418 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28419 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28420 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28421 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28422 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28423 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28424 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28425 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28426 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28427 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28428 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28429 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28430 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28431 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28432 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28433 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28434 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28435 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28436 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28437 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28438 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28439 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28440 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28441 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28442 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28443 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28444 = add(_T_28417, _T_28418) @[exu_mul_ctl.scala 137:112] + node _T_28445 = add(_T_28444, _T_28419) @[exu_mul_ctl.scala 137:112] + node _T_28446 = add(_T_28445, _T_28420) @[exu_mul_ctl.scala 137:112] + node _T_28447 = add(_T_28446, _T_28421) @[exu_mul_ctl.scala 137:112] + node _T_28448 = add(_T_28447, _T_28422) @[exu_mul_ctl.scala 137:112] + node _T_28449 = add(_T_28448, _T_28423) @[exu_mul_ctl.scala 137:112] + node _T_28450 = add(_T_28449, _T_28424) @[exu_mul_ctl.scala 137:112] + node _T_28451 = add(_T_28450, _T_28425) @[exu_mul_ctl.scala 137:112] + node _T_28452 = add(_T_28451, _T_28426) @[exu_mul_ctl.scala 137:112] + node _T_28453 = add(_T_28452, _T_28427) @[exu_mul_ctl.scala 137:112] + node _T_28454 = add(_T_28453, _T_28428) @[exu_mul_ctl.scala 137:112] + node _T_28455 = add(_T_28454, _T_28429) @[exu_mul_ctl.scala 137:112] + node _T_28456 = add(_T_28455, _T_28430) @[exu_mul_ctl.scala 137:112] + node _T_28457 = add(_T_28456, _T_28431) @[exu_mul_ctl.scala 137:112] + node _T_28458 = add(_T_28457, _T_28432) @[exu_mul_ctl.scala 137:112] + node _T_28459 = add(_T_28458, _T_28433) @[exu_mul_ctl.scala 137:112] + node _T_28460 = add(_T_28459, _T_28434) @[exu_mul_ctl.scala 137:112] + node _T_28461 = add(_T_28460, _T_28435) @[exu_mul_ctl.scala 137:112] + node _T_28462 = add(_T_28461, _T_28436) @[exu_mul_ctl.scala 137:112] + node _T_28463 = add(_T_28462, _T_28437) @[exu_mul_ctl.scala 137:112] + node _T_28464 = add(_T_28463, _T_28438) @[exu_mul_ctl.scala 137:112] + node _T_28465 = add(_T_28464, _T_28439) @[exu_mul_ctl.scala 137:112] + node _T_28466 = add(_T_28465, _T_28440) @[exu_mul_ctl.scala 137:112] + node _T_28467 = add(_T_28466, _T_28441) @[exu_mul_ctl.scala 137:112] + node _T_28468 = add(_T_28467, _T_28442) @[exu_mul_ctl.scala 137:112] + node _T_28469 = add(_T_28468, _T_28443) @[exu_mul_ctl.scala 137:112] + node _T_28470 = eq(_T_28469, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28471 = bits(_T_28470, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28472 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_28473 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28474 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28475 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28476 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28477 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28478 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28479 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28480 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28481 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28482 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28483 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28484 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28485 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28486 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28487 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28488 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28489 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28490 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28491 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28492 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28493 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28494 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28495 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28496 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28497 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28498 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28499 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28500 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_28501 = add(_T_28473, _T_28474) @[exu_mul_ctl.scala 137:112] + node _T_28502 = add(_T_28501, _T_28475) @[exu_mul_ctl.scala 137:112] + node _T_28503 = add(_T_28502, _T_28476) @[exu_mul_ctl.scala 137:112] + node _T_28504 = add(_T_28503, _T_28477) @[exu_mul_ctl.scala 137:112] + node _T_28505 = add(_T_28504, _T_28478) @[exu_mul_ctl.scala 137:112] + node _T_28506 = add(_T_28505, _T_28479) @[exu_mul_ctl.scala 137:112] + node _T_28507 = add(_T_28506, _T_28480) @[exu_mul_ctl.scala 137:112] + node _T_28508 = add(_T_28507, _T_28481) @[exu_mul_ctl.scala 137:112] + node _T_28509 = add(_T_28508, _T_28482) @[exu_mul_ctl.scala 137:112] + node _T_28510 = add(_T_28509, _T_28483) @[exu_mul_ctl.scala 137:112] + node _T_28511 = add(_T_28510, _T_28484) @[exu_mul_ctl.scala 137:112] + node _T_28512 = add(_T_28511, _T_28485) @[exu_mul_ctl.scala 137:112] + node _T_28513 = add(_T_28512, _T_28486) @[exu_mul_ctl.scala 137:112] + node _T_28514 = add(_T_28513, _T_28487) @[exu_mul_ctl.scala 137:112] + node _T_28515 = add(_T_28514, _T_28488) @[exu_mul_ctl.scala 137:112] + node _T_28516 = add(_T_28515, _T_28489) @[exu_mul_ctl.scala 137:112] + node _T_28517 = add(_T_28516, _T_28490) @[exu_mul_ctl.scala 137:112] + node _T_28518 = add(_T_28517, _T_28491) @[exu_mul_ctl.scala 137:112] + node _T_28519 = add(_T_28518, _T_28492) @[exu_mul_ctl.scala 137:112] + node _T_28520 = add(_T_28519, _T_28493) @[exu_mul_ctl.scala 137:112] + node _T_28521 = add(_T_28520, _T_28494) @[exu_mul_ctl.scala 137:112] + node _T_28522 = add(_T_28521, _T_28495) @[exu_mul_ctl.scala 137:112] + node _T_28523 = add(_T_28522, _T_28496) @[exu_mul_ctl.scala 137:112] + node _T_28524 = add(_T_28523, _T_28497) @[exu_mul_ctl.scala 137:112] + node _T_28525 = add(_T_28524, _T_28498) @[exu_mul_ctl.scala 137:112] + node _T_28526 = add(_T_28525, _T_28499) @[exu_mul_ctl.scala 137:112] + node _T_28527 = add(_T_28526, _T_28500) @[exu_mul_ctl.scala 137:112] + node _T_28528 = eq(_T_28527, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28529 = bits(_T_28528, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28530 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_28531 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28532 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28533 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28534 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28535 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28536 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28537 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28538 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28539 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28540 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28541 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28542 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28543 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28544 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28545 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28546 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28547 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28548 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28549 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28550 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28551 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28552 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28553 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28554 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28555 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28556 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28557 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28558 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_28559 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_28560 = add(_T_28531, _T_28532) @[exu_mul_ctl.scala 137:112] + node _T_28561 = add(_T_28560, _T_28533) @[exu_mul_ctl.scala 137:112] + node _T_28562 = add(_T_28561, _T_28534) @[exu_mul_ctl.scala 137:112] + node _T_28563 = add(_T_28562, _T_28535) @[exu_mul_ctl.scala 137:112] + node _T_28564 = add(_T_28563, _T_28536) @[exu_mul_ctl.scala 137:112] + node _T_28565 = add(_T_28564, _T_28537) @[exu_mul_ctl.scala 137:112] + node _T_28566 = add(_T_28565, _T_28538) @[exu_mul_ctl.scala 137:112] + node _T_28567 = add(_T_28566, _T_28539) @[exu_mul_ctl.scala 137:112] + node _T_28568 = add(_T_28567, _T_28540) @[exu_mul_ctl.scala 137:112] + node _T_28569 = add(_T_28568, _T_28541) @[exu_mul_ctl.scala 137:112] + node _T_28570 = add(_T_28569, _T_28542) @[exu_mul_ctl.scala 137:112] + node _T_28571 = add(_T_28570, _T_28543) @[exu_mul_ctl.scala 137:112] + node _T_28572 = add(_T_28571, _T_28544) @[exu_mul_ctl.scala 137:112] + node _T_28573 = add(_T_28572, _T_28545) @[exu_mul_ctl.scala 137:112] + node _T_28574 = add(_T_28573, _T_28546) @[exu_mul_ctl.scala 137:112] + node _T_28575 = add(_T_28574, _T_28547) @[exu_mul_ctl.scala 137:112] + node _T_28576 = add(_T_28575, _T_28548) @[exu_mul_ctl.scala 137:112] + node _T_28577 = add(_T_28576, _T_28549) @[exu_mul_ctl.scala 137:112] + node _T_28578 = add(_T_28577, _T_28550) @[exu_mul_ctl.scala 137:112] + node _T_28579 = add(_T_28578, _T_28551) @[exu_mul_ctl.scala 137:112] + node _T_28580 = add(_T_28579, _T_28552) @[exu_mul_ctl.scala 137:112] + node _T_28581 = add(_T_28580, _T_28553) @[exu_mul_ctl.scala 137:112] + node _T_28582 = add(_T_28581, _T_28554) @[exu_mul_ctl.scala 137:112] + node _T_28583 = add(_T_28582, _T_28555) @[exu_mul_ctl.scala 137:112] + node _T_28584 = add(_T_28583, _T_28556) @[exu_mul_ctl.scala 137:112] + node _T_28585 = add(_T_28584, _T_28557) @[exu_mul_ctl.scala 137:112] + node _T_28586 = add(_T_28585, _T_28558) @[exu_mul_ctl.scala 137:112] + node _T_28587 = add(_T_28586, _T_28559) @[exu_mul_ctl.scala 137:112] + node _T_28588 = eq(_T_28587, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28589 = bits(_T_28588, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28590 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_28591 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28592 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28593 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28594 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28595 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28596 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28597 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28598 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28599 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28600 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28601 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28602 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28603 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28604 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28605 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28606 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28607 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28608 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28609 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28610 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28611 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28612 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28613 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28614 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28615 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28616 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28617 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28618 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_28619 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_28620 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_28621 = add(_T_28591, _T_28592) @[exu_mul_ctl.scala 137:112] + node _T_28622 = add(_T_28621, _T_28593) @[exu_mul_ctl.scala 137:112] + node _T_28623 = add(_T_28622, _T_28594) @[exu_mul_ctl.scala 137:112] + node _T_28624 = add(_T_28623, _T_28595) @[exu_mul_ctl.scala 137:112] + node _T_28625 = add(_T_28624, _T_28596) @[exu_mul_ctl.scala 137:112] + node _T_28626 = add(_T_28625, _T_28597) @[exu_mul_ctl.scala 137:112] + node _T_28627 = add(_T_28626, _T_28598) @[exu_mul_ctl.scala 137:112] + node _T_28628 = add(_T_28627, _T_28599) @[exu_mul_ctl.scala 137:112] + node _T_28629 = add(_T_28628, _T_28600) @[exu_mul_ctl.scala 137:112] + node _T_28630 = add(_T_28629, _T_28601) @[exu_mul_ctl.scala 137:112] + node _T_28631 = add(_T_28630, _T_28602) @[exu_mul_ctl.scala 137:112] + node _T_28632 = add(_T_28631, _T_28603) @[exu_mul_ctl.scala 137:112] + node _T_28633 = add(_T_28632, _T_28604) @[exu_mul_ctl.scala 137:112] + node _T_28634 = add(_T_28633, _T_28605) @[exu_mul_ctl.scala 137:112] + node _T_28635 = add(_T_28634, _T_28606) @[exu_mul_ctl.scala 137:112] + node _T_28636 = add(_T_28635, _T_28607) @[exu_mul_ctl.scala 137:112] + node _T_28637 = add(_T_28636, _T_28608) @[exu_mul_ctl.scala 137:112] + node _T_28638 = add(_T_28637, _T_28609) @[exu_mul_ctl.scala 137:112] + node _T_28639 = add(_T_28638, _T_28610) @[exu_mul_ctl.scala 137:112] + node _T_28640 = add(_T_28639, _T_28611) @[exu_mul_ctl.scala 137:112] + node _T_28641 = add(_T_28640, _T_28612) @[exu_mul_ctl.scala 137:112] + node _T_28642 = add(_T_28641, _T_28613) @[exu_mul_ctl.scala 137:112] + node _T_28643 = add(_T_28642, _T_28614) @[exu_mul_ctl.scala 137:112] + node _T_28644 = add(_T_28643, _T_28615) @[exu_mul_ctl.scala 137:112] + node _T_28645 = add(_T_28644, _T_28616) @[exu_mul_ctl.scala 137:112] + node _T_28646 = add(_T_28645, _T_28617) @[exu_mul_ctl.scala 137:112] + node _T_28647 = add(_T_28646, _T_28618) @[exu_mul_ctl.scala 137:112] + node _T_28648 = add(_T_28647, _T_28619) @[exu_mul_ctl.scala 137:112] + node _T_28649 = add(_T_28648, _T_28620) @[exu_mul_ctl.scala 137:112] + node _T_28650 = eq(_T_28649, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28651 = bits(_T_28650, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28652 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_28653 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28654 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28655 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28656 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28657 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28658 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28659 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28660 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28661 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28662 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28663 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28664 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28665 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28666 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28667 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28668 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28669 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28670 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28671 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28672 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28673 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28674 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28675 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28676 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28677 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28678 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28679 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28680 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_28681 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_28682 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_28683 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_28684 = add(_T_28653, _T_28654) @[exu_mul_ctl.scala 137:112] + node _T_28685 = add(_T_28684, _T_28655) @[exu_mul_ctl.scala 137:112] + node _T_28686 = add(_T_28685, _T_28656) @[exu_mul_ctl.scala 137:112] + node _T_28687 = add(_T_28686, _T_28657) @[exu_mul_ctl.scala 137:112] + node _T_28688 = add(_T_28687, _T_28658) @[exu_mul_ctl.scala 137:112] + node _T_28689 = add(_T_28688, _T_28659) @[exu_mul_ctl.scala 137:112] + node _T_28690 = add(_T_28689, _T_28660) @[exu_mul_ctl.scala 137:112] + node _T_28691 = add(_T_28690, _T_28661) @[exu_mul_ctl.scala 137:112] + node _T_28692 = add(_T_28691, _T_28662) @[exu_mul_ctl.scala 137:112] + node _T_28693 = add(_T_28692, _T_28663) @[exu_mul_ctl.scala 137:112] + node _T_28694 = add(_T_28693, _T_28664) @[exu_mul_ctl.scala 137:112] + node _T_28695 = add(_T_28694, _T_28665) @[exu_mul_ctl.scala 137:112] + node _T_28696 = add(_T_28695, _T_28666) @[exu_mul_ctl.scala 137:112] + node _T_28697 = add(_T_28696, _T_28667) @[exu_mul_ctl.scala 137:112] + node _T_28698 = add(_T_28697, _T_28668) @[exu_mul_ctl.scala 137:112] + node _T_28699 = add(_T_28698, _T_28669) @[exu_mul_ctl.scala 137:112] + node _T_28700 = add(_T_28699, _T_28670) @[exu_mul_ctl.scala 137:112] + node _T_28701 = add(_T_28700, _T_28671) @[exu_mul_ctl.scala 137:112] + node _T_28702 = add(_T_28701, _T_28672) @[exu_mul_ctl.scala 137:112] + node _T_28703 = add(_T_28702, _T_28673) @[exu_mul_ctl.scala 137:112] + node _T_28704 = add(_T_28703, _T_28674) @[exu_mul_ctl.scala 137:112] + node _T_28705 = add(_T_28704, _T_28675) @[exu_mul_ctl.scala 137:112] + node _T_28706 = add(_T_28705, _T_28676) @[exu_mul_ctl.scala 137:112] + node _T_28707 = add(_T_28706, _T_28677) @[exu_mul_ctl.scala 137:112] + node _T_28708 = add(_T_28707, _T_28678) @[exu_mul_ctl.scala 137:112] + node _T_28709 = add(_T_28708, _T_28679) @[exu_mul_ctl.scala 137:112] + node _T_28710 = add(_T_28709, _T_28680) @[exu_mul_ctl.scala 137:112] + node _T_28711 = add(_T_28710, _T_28681) @[exu_mul_ctl.scala 137:112] + node _T_28712 = add(_T_28711, _T_28682) @[exu_mul_ctl.scala 137:112] + node _T_28713 = add(_T_28712, _T_28683) @[exu_mul_ctl.scala 137:112] + node _T_28714 = eq(_T_28713, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28715 = bits(_T_28714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28716 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_28717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28724 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28725 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28726 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28727 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28728 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28729 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28730 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28731 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28732 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28733 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28734 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28735 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28736 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28737 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28738 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28739 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28740 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28741 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28742 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28743 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28744 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_28745 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_28746 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_28747 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_28748 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_28749 = add(_T_28717, _T_28718) @[exu_mul_ctl.scala 137:112] + node _T_28750 = add(_T_28749, _T_28719) @[exu_mul_ctl.scala 137:112] + node _T_28751 = add(_T_28750, _T_28720) @[exu_mul_ctl.scala 137:112] + node _T_28752 = add(_T_28751, _T_28721) @[exu_mul_ctl.scala 137:112] + node _T_28753 = add(_T_28752, _T_28722) @[exu_mul_ctl.scala 137:112] + node _T_28754 = add(_T_28753, _T_28723) @[exu_mul_ctl.scala 137:112] + node _T_28755 = add(_T_28754, _T_28724) @[exu_mul_ctl.scala 137:112] + node _T_28756 = add(_T_28755, _T_28725) @[exu_mul_ctl.scala 137:112] + node _T_28757 = add(_T_28756, _T_28726) @[exu_mul_ctl.scala 137:112] + node _T_28758 = add(_T_28757, _T_28727) @[exu_mul_ctl.scala 137:112] + node _T_28759 = add(_T_28758, _T_28728) @[exu_mul_ctl.scala 137:112] + node _T_28760 = add(_T_28759, _T_28729) @[exu_mul_ctl.scala 137:112] + node _T_28761 = add(_T_28760, _T_28730) @[exu_mul_ctl.scala 137:112] + node _T_28762 = add(_T_28761, _T_28731) @[exu_mul_ctl.scala 137:112] + node _T_28763 = add(_T_28762, _T_28732) @[exu_mul_ctl.scala 137:112] + node _T_28764 = add(_T_28763, _T_28733) @[exu_mul_ctl.scala 137:112] + node _T_28765 = add(_T_28764, _T_28734) @[exu_mul_ctl.scala 137:112] + node _T_28766 = add(_T_28765, _T_28735) @[exu_mul_ctl.scala 137:112] + node _T_28767 = add(_T_28766, _T_28736) @[exu_mul_ctl.scala 137:112] + node _T_28768 = add(_T_28767, _T_28737) @[exu_mul_ctl.scala 137:112] + node _T_28769 = add(_T_28768, _T_28738) @[exu_mul_ctl.scala 137:112] + node _T_28770 = add(_T_28769, _T_28739) @[exu_mul_ctl.scala 137:112] + node _T_28771 = add(_T_28770, _T_28740) @[exu_mul_ctl.scala 137:112] + node _T_28772 = add(_T_28771, _T_28741) @[exu_mul_ctl.scala 137:112] + node _T_28773 = add(_T_28772, _T_28742) @[exu_mul_ctl.scala 137:112] + node _T_28774 = add(_T_28773, _T_28743) @[exu_mul_ctl.scala 137:112] + node _T_28775 = add(_T_28774, _T_28744) @[exu_mul_ctl.scala 137:112] + node _T_28776 = add(_T_28775, _T_28745) @[exu_mul_ctl.scala 137:112] + node _T_28777 = add(_T_28776, _T_28746) @[exu_mul_ctl.scala 137:112] + node _T_28778 = add(_T_28777, _T_28747) @[exu_mul_ctl.scala 137:112] + node _T_28779 = add(_T_28778, _T_28748) @[exu_mul_ctl.scala 137:112] + node _T_28780 = eq(_T_28779, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28781 = bits(_T_28780, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28782 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_28783 = mux(_T_28781, _T_28782, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_28784 = mux(_T_28715, _T_28716, _T_28783) @[Mux.scala 98:16] + node _T_28785 = mux(_T_28651, _T_28652, _T_28784) @[Mux.scala 98:16] + node _T_28786 = mux(_T_28589, _T_28590, _T_28785) @[Mux.scala 98:16] + node _T_28787 = mux(_T_28529, _T_28530, _T_28786) @[Mux.scala 98:16] + node _T_28788 = mux(_T_28471, _T_28472, _T_28787) @[Mux.scala 98:16] + node _T_28789 = mux(_T_28415, _T_28416, _T_28788) @[Mux.scala 98:16] + node _T_28790 = mux(_T_28361, _T_28362, _T_28789) @[Mux.scala 98:16] + node _T_28791 = mux(_T_28309, _T_28310, _T_28790) @[Mux.scala 98:16] + node _T_28792 = mux(_T_28259, _T_28260, _T_28791) @[Mux.scala 98:16] + node _T_28793 = mux(_T_28211, _T_28212, _T_28792) @[Mux.scala 98:16] + node _T_28794 = mux(_T_28165, _T_28166, _T_28793) @[Mux.scala 98:16] + node _T_28795 = mux(_T_28121, _T_28122, _T_28794) @[Mux.scala 98:16] + node _T_28796 = mux(_T_28079, _T_28080, _T_28795) @[Mux.scala 98:16] + node _T_28797 = mux(_T_28039, _T_28040, _T_28796) @[Mux.scala 98:16] + node _T_28798 = mux(_T_28001, _T_28002, _T_28797) @[Mux.scala 98:16] + node _T_28799 = mux(_T_27965, _T_27966, _T_28798) @[Mux.scala 98:16] + node _T_28800 = mux(_T_27931, _T_27932, _T_28799) @[Mux.scala 98:16] + node _T_28801 = mux(_T_27899, _T_27900, _T_28800) @[Mux.scala 98:16] + node _T_28802 = mux(_T_27869, _T_27870, _T_28801) @[Mux.scala 98:16] + node _T_28803 = mux(_T_27841, _T_27842, _T_28802) @[Mux.scala 98:16] + node _T_28804 = mux(_T_27815, _T_27816, _T_28803) @[Mux.scala 98:16] + node _T_28805 = mux(_T_27791, _T_27792, _T_28804) @[Mux.scala 98:16] + node _T_28806 = mux(_T_27769, _T_27770, _T_28805) @[Mux.scala 98:16] + node _T_28807 = mux(_T_27749, _T_27750, _T_28806) @[Mux.scala 98:16] + node _T_28808 = mux(_T_27731, _T_27732, _T_28807) @[Mux.scala 98:16] + node _T_28809 = mux(_T_27715, _T_27716, _T_28808) @[Mux.scala 98:16] + node _T_28810 = mux(_T_27701, _T_27702, _T_28809) @[Mux.scala 98:16] + node _T_28811 = mux(_T_27689, _T_27690, _T_28810) @[Mux.scala 98:16] + node _T_28812 = mux(_T_27679, _T_27680, _T_28811) @[Mux.scala 98:16] + node _T_28813 = mux(_T_27671, _T_27672, _T_28812) @[Mux.scala 98:16] + node _T_28814 = mux(_T_27665, _T_27666, _T_28813) @[Mux.scala 98:16] + node _T_28815 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_28816 = eq(_T_28815, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28817 = bits(_T_28816, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28818 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_28819 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28820 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28821 = add(_T_28819, _T_28820) @[exu_mul_ctl.scala 137:112] + node _T_28822 = eq(_T_28821, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28823 = bits(_T_28822, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28824 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_28825 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28826 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28827 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28828 = add(_T_28825, _T_28826) @[exu_mul_ctl.scala 137:112] + node _T_28829 = add(_T_28828, _T_28827) @[exu_mul_ctl.scala 137:112] + node _T_28830 = eq(_T_28829, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28831 = bits(_T_28830, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28832 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_28833 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28834 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28835 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28836 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28837 = add(_T_28833, _T_28834) @[exu_mul_ctl.scala 137:112] + node _T_28838 = add(_T_28837, _T_28835) @[exu_mul_ctl.scala 137:112] + node _T_28839 = add(_T_28838, _T_28836) @[exu_mul_ctl.scala 137:112] + node _T_28840 = eq(_T_28839, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28841 = bits(_T_28840, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28842 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_28843 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28844 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28845 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28846 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28847 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28848 = add(_T_28843, _T_28844) @[exu_mul_ctl.scala 137:112] + node _T_28849 = add(_T_28848, _T_28845) @[exu_mul_ctl.scala 137:112] + node _T_28850 = add(_T_28849, _T_28846) @[exu_mul_ctl.scala 137:112] + node _T_28851 = add(_T_28850, _T_28847) @[exu_mul_ctl.scala 137:112] + node _T_28852 = eq(_T_28851, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28853 = bits(_T_28852, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28854 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_28855 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28856 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28857 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28858 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28859 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28860 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28861 = add(_T_28855, _T_28856) @[exu_mul_ctl.scala 137:112] + node _T_28862 = add(_T_28861, _T_28857) @[exu_mul_ctl.scala 137:112] + node _T_28863 = add(_T_28862, _T_28858) @[exu_mul_ctl.scala 137:112] + node _T_28864 = add(_T_28863, _T_28859) @[exu_mul_ctl.scala 137:112] + node _T_28865 = add(_T_28864, _T_28860) @[exu_mul_ctl.scala 137:112] + node _T_28866 = eq(_T_28865, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28867 = bits(_T_28866, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28868 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_28869 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28870 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28871 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28872 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28873 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28874 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28875 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28876 = add(_T_28869, _T_28870) @[exu_mul_ctl.scala 137:112] + node _T_28877 = add(_T_28876, _T_28871) @[exu_mul_ctl.scala 137:112] + node _T_28878 = add(_T_28877, _T_28872) @[exu_mul_ctl.scala 137:112] + node _T_28879 = add(_T_28878, _T_28873) @[exu_mul_ctl.scala 137:112] + node _T_28880 = add(_T_28879, _T_28874) @[exu_mul_ctl.scala 137:112] + node _T_28881 = add(_T_28880, _T_28875) @[exu_mul_ctl.scala 137:112] + node _T_28882 = eq(_T_28881, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28883 = bits(_T_28882, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28884 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_28885 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28886 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28887 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28888 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28889 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28890 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28891 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28892 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28893 = add(_T_28885, _T_28886) @[exu_mul_ctl.scala 137:112] + node _T_28894 = add(_T_28893, _T_28887) @[exu_mul_ctl.scala 137:112] + node _T_28895 = add(_T_28894, _T_28888) @[exu_mul_ctl.scala 137:112] + node _T_28896 = add(_T_28895, _T_28889) @[exu_mul_ctl.scala 137:112] + node _T_28897 = add(_T_28896, _T_28890) @[exu_mul_ctl.scala 137:112] + node _T_28898 = add(_T_28897, _T_28891) @[exu_mul_ctl.scala 137:112] + node _T_28899 = add(_T_28898, _T_28892) @[exu_mul_ctl.scala 137:112] + node _T_28900 = eq(_T_28899, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28901 = bits(_T_28900, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28902 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_28903 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28904 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28905 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28906 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28907 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28908 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28909 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28910 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28911 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28912 = add(_T_28903, _T_28904) @[exu_mul_ctl.scala 137:112] + node _T_28913 = add(_T_28912, _T_28905) @[exu_mul_ctl.scala 137:112] + node _T_28914 = add(_T_28913, _T_28906) @[exu_mul_ctl.scala 137:112] + node _T_28915 = add(_T_28914, _T_28907) @[exu_mul_ctl.scala 137:112] + node _T_28916 = add(_T_28915, _T_28908) @[exu_mul_ctl.scala 137:112] + node _T_28917 = add(_T_28916, _T_28909) @[exu_mul_ctl.scala 137:112] + node _T_28918 = add(_T_28917, _T_28910) @[exu_mul_ctl.scala 137:112] + node _T_28919 = add(_T_28918, _T_28911) @[exu_mul_ctl.scala 137:112] + node _T_28920 = eq(_T_28919, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28921 = bits(_T_28920, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28922 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_28923 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28924 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28925 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28926 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28927 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28928 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28929 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28930 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28931 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28932 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28933 = add(_T_28923, _T_28924) @[exu_mul_ctl.scala 137:112] + node _T_28934 = add(_T_28933, _T_28925) @[exu_mul_ctl.scala 137:112] + node _T_28935 = add(_T_28934, _T_28926) @[exu_mul_ctl.scala 137:112] + node _T_28936 = add(_T_28935, _T_28927) @[exu_mul_ctl.scala 137:112] + node _T_28937 = add(_T_28936, _T_28928) @[exu_mul_ctl.scala 137:112] + node _T_28938 = add(_T_28937, _T_28929) @[exu_mul_ctl.scala 137:112] + node _T_28939 = add(_T_28938, _T_28930) @[exu_mul_ctl.scala 137:112] + node _T_28940 = add(_T_28939, _T_28931) @[exu_mul_ctl.scala 137:112] + node _T_28941 = add(_T_28940, _T_28932) @[exu_mul_ctl.scala 137:112] + node _T_28942 = eq(_T_28941, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28943 = bits(_T_28942, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28944 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_28945 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28946 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28947 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28948 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28949 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28950 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28951 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28952 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28953 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28954 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28955 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28956 = add(_T_28945, _T_28946) @[exu_mul_ctl.scala 137:112] + node _T_28957 = add(_T_28956, _T_28947) @[exu_mul_ctl.scala 137:112] + node _T_28958 = add(_T_28957, _T_28948) @[exu_mul_ctl.scala 137:112] + node _T_28959 = add(_T_28958, _T_28949) @[exu_mul_ctl.scala 137:112] + node _T_28960 = add(_T_28959, _T_28950) @[exu_mul_ctl.scala 137:112] + node _T_28961 = add(_T_28960, _T_28951) @[exu_mul_ctl.scala 137:112] + node _T_28962 = add(_T_28961, _T_28952) @[exu_mul_ctl.scala 137:112] + node _T_28963 = add(_T_28962, _T_28953) @[exu_mul_ctl.scala 137:112] + node _T_28964 = add(_T_28963, _T_28954) @[exu_mul_ctl.scala 137:112] + node _T_28965 = add(_T_28964, _T_28955) @[exu_mul_ctl.scala 137:112] + node _T_28966 = eq(_T_28965, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28967 = bits(_T_28966, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28968 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_28969 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28970 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28971 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28972 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28973 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28974 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28975 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28976 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28977 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28978 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28979 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28980 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28981 = add(_T_28969, _T_28970) @[exu_mul_ctl.scala 137:112] + node _T_28982 = add(_T_28981, _T_28971) @[exu_mul_ctl.scala 137:112] + node _T_28983 = add(_T_28982, _T_28972) @[exu_mul_ctl.scala 137:112] + node _T_28984 = add(_T_28983, _T_28973) @[exu_mul_ctl.scala 137:112] + node _T_28985 = add(_T_28984, _T_28974) @[exu_mul_ctl.scala 137:112] + node _T_28986 = add(_T_28985, _T_28975) @[exu_mul_ctl.scala 137:112] + node _T_28987 = add(_T_28986, _T_28976) @[exu_mul_ctl.scala 137:112] + node _T_28988 = add(_T_28987, _T_28977) @[exu_mul_ctl.scala 137:112] + node _T_28989 = add(_T_28988, _T_28978) @[exu_mul_ctl.scala 137:112] + node _T_28990 = add(_T_28989, _T_28979) @[exu_mul_ctl.scala 137:112] + node _T_28991 = add(_T_28990, _T_28980) @[exu_mul_ctl.scala 137:112] + node _T_28992 = eq(_T_28991, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28993 = bits(_T_28992, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28994 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_28995 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28996 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28997 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28998 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28999 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29000 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29001 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29002 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29003 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29004 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29005 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29006 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29007 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29008 = add(_T_28995, _T_28996) @[exu_mul_ctl.scala 137:112] + node _T_29009 = add(_T_29008, _T_28997) @[exu_mul_ctl.scala 137:112] + node _T_29010 = add(_T_29009, _T_28998) @[exu_mul_ctl.scala 137:112] + node _T_29011 = add(_T_29010, _T_28999) @[exu_mul_ctl.scala 137:112] + node _T_29012 = add(_T_29011, _T_29000) @[exu_mul_ctl.scala 137:112] + node _T_29013 = add(_T_29012, _T_29001) @[exu_mul_ctl.scala 137:112] + node _T_29014 = add(_T_29013, _T_29002) @[exu_mul_ctl.scala 137:112] + node _T_29015 = add(_T_29014, _T_29003) @[exu_mul_ctl.scala 137:112] + node _T_29016 = add(_T_29015, _T_29004) @[exu_mul_ctl.scala 137:112] + node _T_29017 = add(_T_29016, _T_29005) @[exu_mul_ctl.scala 137:112] + node _T_29018 = add(_T_29017, _T_29006) @[exu_mul_ctl.scala 137:112] + node _T_29019 = add(_T_29018, _T_29007) @[exu_mul_ctl.scala 137:112] + node _T_29020 = eq(_T_29019, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29021 = bits(_T_29020, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29022 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_29023 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29024 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29025 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29026 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29027 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29028 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29029 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29030 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29031 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29032 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29033 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29034 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29035 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29036 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29037 = add(_T_29023, _T_29024) @[exu_mul_ctl.scala 137:112] + node _T_29038 = add(_T_29037, _T_29025) @[exu_mul_ctl.scala 137:112] + node _T_29039 = add(_T_29038, _T_29026) @[exu_mul_ctl.scala 137:112] + node _T_29040 = add(_T_29039, _T_29027) @[exu_mul_ctl.scala 137:112] + node _T_29041 = add(_T_29040, _T_29028) @[exu_mul_ctl.scala 137:112] + node _T_29042 = add(_T_29041, _T_29029) @[exu_mul_ctl.scala 137:112] + node _T_29043 = add(_T_29042, _T_29030) @[exu_mul_ctl.scala 137:112] + node _T_29044 = add(_T_29043, _T_29031) @[exu_mul_ctl.scala 137:112] + node _T_29045 = add(_T_29044, _T_29032) @[exu_mul_ctl.scala 137:112] + node _T_29046 = add(_T_29045, _T_29033) @[exu_mul_ctl.scala 137:112] + node _T_29047 = add(_T_29046, _T_29034) @[exu_mul_ctl.scala 137:112] + node _T_29048 = add(_T_29047, _T_29035) @[exu_mul_ctl.scala 137:112] + node _T_29049 = add(_T_29048, _T_29036) @[exu_mul_ctl.scala 137:112] + node _T_29050 = eq(_T_29049, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29051 = bits(_T_29050, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29052 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_29053 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29054 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29055 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29056 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29057 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29058 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29059 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29060 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29061 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29062 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29063 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29064 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29065 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29066 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29067 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29068 = add(_T_29053, _T_29054) @[exu_mul_ctl.scala 137:112] + node _T_29069 = add(_T_29068, _T_29055) @[exu_mul_ctl.scala 137:112] + node _T_29070 = add(_T_29069, _T_29056) @[exu_mul_ctl.scala 137:112] + node _T_29071 = add(_T_29070, _T_29057) @[exu_mul_ctl.scala 137:112] + node _T_29072 = add(_T_29071, _T_29058) @[exu_mul_ctl.scala 137:112] + node _T_29073 = add(_T_29072, _T_29059) @[exu_mul_ctl.scala 137:112] + node _T_29074 = add(_T_29073, _T_29060) @[exu_mul_ctl.scala 137:112] + node _T_29075 = add(_T_29074, _T_29061) @[exu_mul_ctl.scala 137:112] + node _T_29076 = add(_T_29075, _T_29062) @[exu_mul_ctl.scala 137:112] + node _T_29077 = add(_T_29076, _T_29063) @[exu_mul_ctl.scala 137:112] + node _T_29078 = add(_T_29077, _T_29064) @[exu_mul_ctl.scala 137:112] + node _T_29079 = add(_T_29078, _T_29065) @[exu_mul_ctl.scala 137:112] + node _T_29080 = add(_T_29079, _T_29066) @[exu_mul_ctl.scala 137:112] + node _T_29081 = add(_T_29080, _T_29067) @[exu_mul_ctl.scala 137:112] + node _T_29082 = eq(_T_29081, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29083 = bits(_T_29082, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29084 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_29085 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29086 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29087 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29088 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29089 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29090 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29091 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29092 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29093 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29094 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29095 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29096 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29097 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29098 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29099 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29100 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29101 = add(_T_29085, _T_29086) @[exu_mul_ctl.scala 137:112] + node _T_29102 = add(_T_29101, _T_29087) @[exu_mul_ctl.scala 137:112] + node _T_29103 = add(_T_29102, _T_29088) @[exu_mul_ctl.scala 137:112] + node _T_29104 = add(_T_29103, _T_29089) @[exu_mul_ctl.scala 137:112] + node _T_29105 = add(_T_29104, _T_29090) @[exu_mul_ctl.scala 137:112] + node _T_29106 = add(_T_29105, _T_29091) @[exu_mul_ctl.scala 137:112] + node _T_29107 = add(_T_29106, _T_29092) @[exu_mul_ctl.scala 137:112] + node _T_29108 = add(_T_29107, _T_29093) @[exu_mul_ctl.scala 137:112] + node _T_29109 = add(_T_29108, _T_29094) @[exu_mul_ctl.scala 137:112] + node _T_29110 = add(_T_29109, _T_29095) @[exu_mul_ctl.scala 137:112] + node _T_29111 = add(_T_29110, _T_29096) @[exu_mul_ctl.scala 137:112] + node _T_29112 = add(_T_29111, _T_29097) @[exu_mul_ctl.scala 137:112] + node _T_29113 = add(_T_29112, _T_29098) @[exu_mul_ctl.scala 137:112] + node _T_29114 = add(_T_29113, _T_29099) @[exu_mul_ctl.scala 137:112] + node _T_29115 = add(_T_29114, _T_29100) @[exu_mul_ctl.scala 137:112] + node _T_29116 = eq(_T_29115, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29117 = bits(_T_29116, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29118 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_29119 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29120 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29121 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29122 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29123 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29124 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29125 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29126 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29127 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29128 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29129 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29130 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29131 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29132 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29133 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29134 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29135 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29136 = add(_T_29119, _T_29120) @[exu_mul_ctl.scala 137:112] + node _T_29137 = add(_T_29136, _T_29121) @[exu_mul_ctl.scala 137:112] + node _T_29138 = add(_T_29137, _T_29122) @[exu_mul_ctl.scala 137:112] + node _T_29139 = add(_T_29138, _T_29123) @[exu_mul_ctl.scala 137:112] + node _T_29140 = add(_T_29139, _T_29124) @[exu_mul_ctl.scala 137:112] + node _T_29141 = add(_T_29140, _T_29125) @[exu_mul_ctl.scala 137:112] + node _T_29142 = add(_T_29141, _T_29126) @[exu_mul_ctl.scala 137:112] + node _T_29143 = add(_T_29142, _T_29127) @[exu_mul_ctl.scala 137:112] + node _T_29144 = add(_T_29143, _T_29128) @[exu_mul_ctl.scala 137:112] + node _T_29145 = add(_T_29144, _T_29129) @[exu_mul_ctl.scala 137:112] + node _T_29146 = add(_T_29145, _T_29130) @[exu_mul_ctl.scala 137:112] + node _T_29147 = add(_T_29146, _T_29131) @[exu_mul_ctl.scala 137:112] + node _T_29148 = add(_T_29147, _T_29132) @[exu_mul_ctl.scala 137:112] + node _T_29149 = add(_T_29148, _T_29133) @[exu_mul_ctl.scala 137:112] + node _T_29150 = add(_T_29149, _T_29134) @[exu_mul_ctl.scala 137:112] + node _T_29151 = add(_T_29150, _T_29135) @[exu_mul_ctl.scala 137:112] + node _T_29152 = eq(_T_29151, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29153 = bits(_T_29152, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29154 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_29155 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29156 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29157 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29158 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29159 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29160 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29161 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29162 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29163 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29164 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29165 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29166 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29167 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29168 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29169 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29170 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29171 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29172 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29173 = add(_T_29155, _T_29156) @[exu_mul_ctl.scala 137:112] + node _T_29174 = add(_T_29173, _T_29157) @[exu_mul_ctl.scala 137:112] + node _T_29175 = add(_T_29174, _T_29158) @[exu_mul_ctl.scala 137:112] + node _T_29176 = add(_T_29175, _T_29159) @[exu_mul_ctl.scala 137:112] + node _T_29177 = add(_T_29176, _T_29160) @[exu_mul_ctl.scala 137:112] + node _T_29178 = add(_T_29177, _T_29161) @[exu_mul_ctl.scala 137:112] + node _T_29179 = add(_T_29178, _T_29162) @[exu_mul_ctl.scala 137:112] + node _T_29180 = add(_T_29179, _T_29163) @[exu_mul_ctl.scala 137:112] + node _T_29181 = add(_T_29180, _T_29164) @[exu_mul_ctl.scala 137:112] + node _T_29182 = add(_T_29181, _T_29165) @[exu_mul_ctl.scala 137:112] + node _T_29183 = add(_T_29182, _T_29166) @[exu_mul_ctl.scala 137:112] + node _T_29184 = add(_T_29183, _T_29167) @[exu_mul_ctl.scala 137:112] + node _T_29185 = add(_T_29184, _T_29168) @[exu_mul_ctl.scala 137:112] + node _T_29186 = add(_T_29185, _T_29169) @[exu_mul_ctl.scala 137:112] + node _T_29187 = add(_T_29186, _T_29170) @[exu_mul_ctl.scala 137:112] + node _T_29188 = add(_T_29187, _T_29171) @[exu_mul_ctl.scala 137:112] + node _T_29189 = add(_T_29188, _T_29172) @[exu_mul_ctl.scala 137:112] + node _T_29190 = eq(_T_29189, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29191 = bits(_T_29190, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29192 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_29193 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29194 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29195 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29196 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29197 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29198 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29199 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29200 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29201 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29202 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29203 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29204 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29205 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29206 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29207 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29208 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29209 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29210 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29211 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29212 = add(_T_29193, _T_29194) @[exu_mul_ctl.scala 137:112] + node _T_29213 = add(_T_29212, _T_29195) @[exu_mul_ctl.scala 137:112] + node _T_29214 = add(_T_29213, _T_29196) @[exu_mul_ctl.scala 137:112] + node _T_29215 = add(_T_29214, _T_29197) @[exu_mul_ctl.scala 137:112] + node _T_29216 = add(_T_29215, _T_29198) @[exu_mul_ctl.scala 137:112] + node _T_29217 = add(_T_29216, _T_29199) @[exu_mul_ctl.scala 137:112] + node _T_29218 = add(_T_29217, _T_29200) @[exu_mul_ctl.scala 137:112] + node _T_29219 = add(_T_29218, _T_29201) @[exu_mul_ctl.scala 137:112] + node _T_29220 = add(_T_29219, _T_29202) @[exu_mul_ctl.scala 137:112] + node _T_29221 = add(_T_29220, _T_29203) @[exu_mul_ctl.scala 137:112] + node _T_29222 = add(_T_29221, _T_29204) @[exu_mul_ctl.scala 137:112] + node _T_29223 = add(_T_29222, _T_29205) @[exu_mul_ctl.scala 137:112] + node _T_29224 = add(_T_29223, _T_29206) @[exu_mul_ctl.scala 137:112] + node _T_29225 = add(_T_29224, _T_29207) @[exu_mul_ctl.scala 137:112] + node _T_29226 = add(_T_29225, _T_29208) @[exu_mul_ctl.scala 137:112] + node _T_29227 = add(_T_29226, _T_29209) @[exu_mul_ctl.scala 137:112] + node _T_29228 = add(_T_29227, _T_29210) @[exu_mul_ctl.scala 137:112] + node _T_29229 = add(_T_29228, _T_29211) @[exu_mul_ctl.scala 137:112] + node _T_29230 = eq(_T_29229, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29231 = bits(_T_29230, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29232 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_29233 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29234 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29235 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29236 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29237 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29238 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29239 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29240 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29241 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29242 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29243 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29244 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29245 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29246 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29247 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29248 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29249 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29250 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29251 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29252 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29253 = add(_T_29233, _T_29234) @[exu_mul_ctl.scala 137:112] + node _T_29254 = add(_T_29253, _T_29235) @[exu_mul_ctl.scala 137:112] + node _T_29255 = add(_T_29254, _T_29236) @[exu_mul_ctl.scala 137:112] + node _T_29256 = add(_T_29255, _T_29237) @[exu_mul_ctl.scala 137:112] + node _T_29257 = add(_T_29256, _T_29238) @[exu_mul_ctl.scala 137:112] + node _T_29258 = add(_T_29257, _T_29239) @[exu_mul_ctl.scala 137:112] + node _T_29259 = add(_T_29258, _T_29240) @[exu_mul_ctl.scala 137:112] + node _T_29260 = add(_T_29259, _T_29241) @[exu_mul_ctl.scala 137:112] + node _T_29261 = add(_T_29260, _T_29242) @[exu_mul_ctl.scala 137:112] + node _T_29262 = add(_T_29261, _T_29243) @[exu_mul_ctl.scala 137:112] + node _T_29263 = add(_T_29262, _T_29244) @[exu_mul_ctl.scala 137:112] + node _T_29264 = add(_T_29263, _T_29245) @[exu_mul_ctl.scala 137:112] + node _T_29265 = add(_T_29264, _T_29246) @[exu_mul_ctl.scala 137:112] + node _T_29266 = add(_T_29265, _T_29247) @[exu_mul_ctl.scala 137:112] + node _T_29267 = add(_T_29266, _T_29248) @[exu_mul_ctl.scala 137:112] + node _T_29268 = add(_T_29267, _T_29249) @[exu_mul_ctl.scala 137:112] + node _T_29269 = add(_T_29268, _T_29250) @[exu_mul_ctl.scala 137:112] + node _T_29270 = add(_T_29269, _T_29251) @[exu_mul_ctl.scala 137:112] + node _T_29271 = add(_T_29270, _T_29252) @[exu_mul_ctl.scala 137:112] + node _T_29272 = eq(_T_29271, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29273 = bits(_T_29272, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29274 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_29275 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29276 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29277 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29278 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29279 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29280 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29281 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29282 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29283 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29284 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29285 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29286 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29287 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29288 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29289 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29290 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29291 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29292 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29293 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29294 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29295 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29296 = add(_T_29275, _T_29276) @[exu_mul_ctl.scala 137:112] + node _T_29297 = add(_T_29296, _T_29277) @[exu_mul_ctl.scala 137:112] + node _T_29298 = add(_T_29297, _T_29278) @[exu_mul_ctl.scala 137:112] + node _T_29299 = add(_T_29298, _T_29279) @[exu_mul_ctl.scala 137:112] + node _T_29300 = add(_T_29299, _T_29280) @[exu_mul_ctl.scala 137:112] + node _T_29301 = add(_T_29300, _T_29281) @[exu_mul_ctl.scala 137:112] + node _T_29302 = add(_T_29301, _T_29282) @[exu_mul_ctl.scala 137:112] + node _T_29303 = add(_T_29302, _T_29283) @[exu_mul_ctl.scala 137:112] + node _T_29304 = add(_T_29303, _T_29284) @[exu_mul_ctl.scala 137:112] + node _T_29305 = add(_T_29304, _T_29285) @[exu_mul_ctl.scala 137:112] + node _T_29306 = add(_T_29305, _T_29286) @[exu_mul_ctl.scala 137:112] + node _T_29307 = add(_T_29306, _T_29287) @[exu_mul_ctl.scala 137:112] + node _T_29308 = add(_T_29307, _T_29288) @[exu_mul_ctl.scala 137:112] + node _T_29309 = add(_T_29308, _T_29289) @[exu_mul_ctl.scala 137:112] + node _T_29310 = add(_T_29309, _T_29290) @[exu_mul_ctl.scala 137:112] + node _T_29311 = add(_T_29310, _T_29291) @[exu_mul_ctl.scala 137:112] + node _T_29312 = add(_T_29311, _T_29292) @[exu_mul_ctl.scala 137:112] + node _T_29313 = add(_T_29312, _T_29293) @[exu_mul_ctl.scala 137:112] + node _T_29314 = add(_T_29313, _T_29294) @[exu_mul_ctl.scala 137:112] + node _T_29315 = add(_T_29314, _T_29295) @[exu_mul_ctl.scala 137:112] + node _T_29316 = eq(_T_29315, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29317 = bits(_T_29316, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29318 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_29319 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29320 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29321 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29322 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29323 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29324 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29325 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29326 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29327 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29328 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29329 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29330 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29331 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29332 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29333 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29334 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29335 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29336 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29337 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29338 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29339 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29340 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29341 = add(_T_29319, _T_29320) @[exu_mul_ctl.scala 137:112] + node _T_29342 = add(_T_29341, _T_29321) @[exu_mul_ctl.scala 137:112] + node _T_29343 = add(_T_29342, _T_29322) @[exu_mul_ctl.scala 137:112] + node _T_29344 = add(_T_29343, _T_29323) @[exu_mul_ctl.scala 137:112] + node _T_29345 = add(_T_29344, _T_29324) @[exu_mul_ctl.scala 137:112] + node _T_29346 = add(_T_29345, _T_29325) @[exu_mul_ctl.scala 137:112] + node _T_29347 = add(_T_29346, _T_29326) @[exu_mul_ctl.scala 137:112] + node _T_29348 = add(_T_29347, _T_29327) @[exu_mul_ctl.scala 137:112] + node _T_29349 = add(_T_29348, _T_29328) @[exu_mul_ctl.scala 137:112] + node _T_29350 = add(_T_29349, _T_29329) @[exu_mul_ctl.scala 137:112] + node _T_29351 = add(_T_29350, _T_29330) @[exu_mul_ctl.scala 137:112] + node _T_29352 = add(_T_29351, _T_29331) @[exu_mul_ctl.scala 137:112] + node _T_29353 = add(_T_29352, _T_29332) @[exu_mul_ctl.scala 137:112] + node _T_29354 = add(_T_29353, _T_29333) @[exu_mul_ctl.scala 137:112] + node _T_29355 = add(_T_29354, _T_29334) @[exu_mul_ctl.scala 137:112] + node _T_29356 = add(_T_29355, _T_29335) @[exu_mul_ctl.scala 137:112] + node _T_29357 = add(_T_29356, _T_29336) @[exu_mul_ctl.scala 137:112] + node _T_29358 = add(_T_29357, _T_29337) @[exu_mul_ctl.scala 137:112] + node _T_29359 = add(_T_29358, _T_29338) @[exu_mul_ctl.scala 137:112] + node _T_29360 = add(_T_29359, _T_29339) @[exu_mul_ctl.scala 137:112] + node _T_29361 = add(_T_29360, _T_29340) @[exu_mul_ctl.scala 137:112] + node _T_29362 = eq(_T_29361, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29363 = bits(_T_29362, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29364 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_29365 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29366 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29367 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29368 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29369 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29370 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29371 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29372 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29373 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29374 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29375 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29376 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29377 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29378 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29379 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29380 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29381 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29382 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29383 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29384 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29385 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29386 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29387 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29388 = add(_T_29365, _T_29366) @[exu_mul_ctl.scala 137:112] + node _T_29389 = add(_T_29388, _T_29367) @[exu_mul_ctl.scala 137:112] + node _T_29390 = add(_T_29389, _T_29368) @[exu_mul_ctl.scala 137:112] + node _T_29391 = add(_T_29390, _T_29369) @[exu_mul_ctl.scala 137:112] + node _T_29392 = add(_T_29391, _T_29370) @[exu_mul_ctl.scala 137:112] + node _T_29393 = add(_T_29392, _T_29371) @[exu_mul_ctl.scala 137:112] + node _T_29394 = add(_T_29393, _T_29372) @[exu_mul_ctl.scala 137:112] + node _T_29395 = add(_T_29394, _T_29373) @[exu_mul_ctl.scala 137:112] + node _T_29396 = add(_T_29395, _T_29374) @[exu_mul_ctl.scala 137:112] + node _T_29397 = add(_T_29396, _T_29375) @[exu_mul_ctl.scala 137:112] + node _T_29398 = add(_T_29397, _T_29376) @[exu_mul_ctl.scala 137:112] + node _T_29399 = add(_T_29398, _T_29377) @[exu_mul_ctl.scala 137:112] + node _T_29400 = add(_T_29399, _T_29378) @[exu_mul_ctl.scala 137:112] + node _T_29401 = add(_T_29400, _T_29379) @[exu_mul_ctl.scala 137:112] + node _T_29402 = add(_T_29401, _T_29380) @[exu_mul_ctl.scala 137:112] + node _T_29403 = add(_T_29402, _T_29381) @[exu_mul_ctl.scala 137:112] + node _T_29404 = add(_T_29403, _T_29382) @[exu_mul_ctl.scala 137:112] + node _T_29405 = add(_T_29404, _T_29383) @[exu_mul_ctl.scala 137:112] + node _T_29406 = add(_T_29405, _T_29384) @[exu_mul_ctl.scala 137:112] + node _T_29407 = add(_T_29406, _T_29385) @[exu_mul_ctl.scala 137:112] + node _T_29408 = add(_T_29407, _T_29386) @[exu_mul_ctl.scala 137:112] + node _T_29409 = add(_T_29408, _T_29387) @[exu_mul_ctl.scala 137:112] + node _T_29410 = eq(_T_29409, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29411 = bits(_T_29410, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29412 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_29413 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29414 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29415 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29416 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29417 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29418 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29419 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29420 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29421 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29422 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29423 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29424 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29425 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29426 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29427 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29428 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29429 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29430 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29431 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29432 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29433 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29434 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29435 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29436 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29437 = add(_T_29413, _T_29414) @[exu_mul_ctl.scala 137:112] + node _T_29438 = add(_T_29437, _T_29415) @[exu_mul_ctl.scala 137:112] + node _T_29439 = add(_T_29438, _T_29416) @[exu_mul_ctl.scala 137:112] + node _T_29440 = add(_T_29439, _T_29417) @[exu_mul_ctl.scala 137:112] + node _T_29441 = add(_T_29440, _T_29418) @[exu_mul_ctl.scala 137:112] + node _T_29442 = add(_T_29441, _T_29419) @[exu_mul_ctl.scala 137:112] + node _T_29443 = add(_T_29442, _T_29420) @[exu_mul_ctl.scala 137:112] + node _T_29444 = add(_T_29443, _T_29421) @[exu_mul_ctl.scala 137:112] + node _T_29445 = add(_T_29444, _T_29422) @[exu_mul_ctl.scala 137:112] + node _T_29446 = add(_T_29445, _T_29423) @[exu_mul_ctl.scala 137:112] + node _T_29447 = add(_T_29446, _T_29424) @[exu_mul_ctl.scala 137:112] + node _T_29448 = add(_T_29447, _T_29425) @[exu_mul_ctl.scala 137:112] + node _T_29449 = add(_T_29448, _T_29426) @[exu_mul_ctl.scala 137:112] + node _T_29450 = add(_T_29449, _T_29427) @[exu_mul_ctl.scala 137:112] + node _T_29451 = add(_T_29450, _T_29428) @[exu_mul_ctl.scala 137:112] + node _T_29452 = add(_T_29451, _T_29429) @[exu_mul_ctl.scala 137:112] + node _T_29453 = add(_T_29452, _T_29430) @[exu_mul_ctl.scala 137:112] + node _T_29454 = add(_T_29453, _T_29431) @[exu_mul_ctl.scala 137:112] + node _T_29455 = add(_T_29454, _T_29432) @[exu_mul_ctl.scala 137:112] + node _T_29456 = add(_T_29455, _T_29433) @[exu_mul_ctl.scala 137:112] + node _T_29457 = add(_T_29456, _T_29434) @[exu_mul_ctl.scala 137:112] + node _T_29458 = add(_T_29457, _T_29435) @[exu_mul_ctl.scala 137:112] + node _T_29459 = add(_T_29458, _T_29436) @[exu_mul_ctl.scala 137:112] + node _T_29460 = eq(_T_29459, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29461 = bits(_T_29460, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29462 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_29463 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29464 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29465 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29466 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29467 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29468 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29469 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29470 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29471 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29472 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29473 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29474 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29475 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29476 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29477 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29478 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29479 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29480 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29481 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29482 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29483 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29484 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29485 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29486 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29487 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29488 = add(_T_29463, _T_29464) @[exu_mul_ctl.scala 137:112] + node _T_29489 = add(_T_29488, _T_29465) @[exu_mul_ctl.scala 137:112] + node _T_29490 = add(_T_29489, _T_29466) @[exu_mul_ctl.scala 137:112] + node _T_29491 = add(_T_29490, _T_29467) @[exu_mul_ctl.scala 137:112] + node _T_29492 = add(_T_29491, _T_29468) @[exu_mul_ctl.scala 137:112] + node _T_29493 = add(_T_29492, _T_29469) @[exu_mul_ctl.scala 137:112] + node _T_29494 = add(_T_29493, _T_29470) @[exu_mul_ctl.scala 137:112] + node _T_29495 = add(_T_29494, _T_29471) @[exu_mul_ctl.scala 137:112] + node _T_29496 = add(_T_29495, _T_29472) @[exu_mul_ctl.scala 137:112] + node _T_29497 = add(_T_29496, _T_29473) @[exu_mul_ctl.scala 137:112] + node _T_29498 = add(_T_29497, _T_29474) @[exu_mul_ctl.scala 137:112] + node _T_29499 = add(_T_29498, _T_29475) @[exu_mul_ctl.scala 137:112] + node _T_29500 = add(_T_29499, _T_29476) @[exu_mul_ctl.scala 137:112] + node _T_29501 = add(_T_29500, _T_29477) @[exu_mul_ctl.scala 137:112] + node _T_29502 = add(_T_29501, _T_29478) @[exu_mul_ctl.scala 137:112] + node _T_29503 = add(_T_29502, _T_29479) @[exu_mul_ctl.scala 137:112] + node _T_29504 = add(_T_29503, _T_29480) @[exu_mul_ctl.scala 137:112] + node _T_29505 = add(_T_29504, _T_29481) @[exu_mul_ctl.scala 137:112] + node _T_29506 = add(_T_29505, _T_29482) @[exu_mul_ctl.scala 137:112] + node _T_29507 = add(_T_29506, _T_29483) @[exu_mul_ctl.scala 137:112] + node _T_29508 = add(_T_29507, _T_29484) @[exu_mul_ctl.scala 137:112] + node _T_29509 = add(_T_29508, _T_29485) @[exu_mul_ctl.scala 137:112] + node _T_29510 = add(_T_29509, _T_29486) @[exu_mul_ctl.scala 137:112] + node _T_29511 = add(_T_29510, _T_29487) @[exu_mul_ctl.scala 137:112] + node _T_29512 = eq(_T_29511, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29513 = bits(_T_29512, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29514 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_29515 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29516 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29517 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29518 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29519 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29520 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29521 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29522 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29523 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29524 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29525 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29526 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29527 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29528 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29529 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29530 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29531 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29532 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29533 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29534 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29535 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29536 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29537 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29538 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29539 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29540 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29541 = add(_T_29515, _T_29516) @[exu_mul_ctl.scala 137:112] + node _T_29542 = add(_T_29541, _T_29517) @[exu_mul_ctl.scala 137:112] + node _T_29543 = add(_T_29542, _T_29518) @[exu_mul_ctl.scala 137:112] + node _T_29544 = add(_T_29543, _T_29519) @[exu_mul_ctl.scala 137:112] + node _T_29545 = add(_T_29544, _T_29520) @[exu_mul_ctl.scala 137:112] + node _T_29546 = add(_T_29545, _T_29521) @[exu_mul_ctl.scala 137:112] + node _T_29547 = add(_T_29546, _T_29522) @[exu_mul_ctl.scala 137:112] + node _T_29548 = add(_T_29547, _T_29523) @[exu_mul_ctl.scala 137:112] + node _T_29549 = add(_T_29548, _T_29524) @[exu_mul_ctl.scala 137:112] + node _T_29550 = add(_T_29549, _T_29525) @[exu_mul_ctl.scala 137:112] + node _T_29551 = add(_T_29550, _T_29526) @[exu_mul_ctl.scala 137:112] + node _T_29552 = add(_T_29551, _T_29527) @[exu_mul_ctl.scala 137:112] + node _T_29553 = add(_T_29552, _T_29528) @[exu_mul_ctl.scala 137:112] + node _T_29554 = add(_T_29553, _T_29529) @[exu_mul_ctl.scala 137:112] + node _T_29555 = add(_T_29554, _T_29530) @[exu_mul_ctl.scala 137:112] + node _T_29556 = add(_T_29555, _T_29531) @[exu_mul_ctl.scala 137:112] + node _T_29557 = add(_T_29556, _T_29532) @[exu_mul_ctl.scala 137:112] + node _T_29558 = add(_T_29557, _T_29533) @[exu_mul_ctl.scala 137:112] + node _T_29559 = add(_T_29558, _T_29534) @[exu_mul_ctl.scala 137:112] + node _T_29560 = add(_T_29559, _T_29535) @[exu_mul_ctl.scala 137:112] + node _T_29561 = add(_T_29560, _T_29536) @[exu_mul_ctl.scala 137:112] + node _T_29562 = add(_T_29561, _T_29537) @[exu_mul_ctl.scala 137:112] + node _T_29563 = add(_T_29562, _T_29538) @[exu_mul_ctl.scala 137:112] + node _T_29564 = add(_T_29563, _T_29539) @[exu_mul_ctl.scala 137:112] + node _T_29565 = add(_T_29564, _T_29540) @[exu_mul_ctl.scala 137:112] + node _T_29566 = eq(_T_29565, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29567 = bits(_T_29566, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29568 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_29569 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29570 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29571 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29572 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29573 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29574 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29575 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29576 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29577 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29578 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29579 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29580 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29581 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29582 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29583 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29584 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29585 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29586 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29587 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29588 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29589 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29590 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29591 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29592 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29593 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29594 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29595 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29596 = add(_T_29569, _T_29570) @[exu_mul_ctl.scala 137:112] + node _T_29597 = add(_T_29596, _T_29571) @[exu_mul_ctl.scala 137:112] + node _T_29598 = add(_T_29597, _T_29572) @[exu_mul_ctl.scala 137:112] + node _T_29599 = add(_T_29598, _T_29573) @[exu_mul_ctl.scala 137:112] + node _T_29600 = add(_T_29599, _T_29574) @[exu_mul_ctl.scala 137:112] + node _T_29601 = add(_T_29600, _T_29575) @[exu_mul_ctl.scala 137:112] + node _T_29602 = add(_T_29601, _T_29576) @[exu_mul_ctl.scala 137:112] + node _T_29603 = add(_T_29602, _T_29577) @[exu_mul_ctl.scala 137:112] + node _T_29604 = add(_T_29603, _T_29578) @[exu_mul_ctl.scala 137:112] + node _T_29605 = add(_T_29604, _T_29579) @[exu_mul_ctl.scala 137:112] + node _T_29606 = add(_T_29605, _T_29580) @[exu_mul_ctl.scala 137:112] + node _T_29607 = add(_T_29606, _T_29581) @[exu_mul_ctl.scala 137:112] + node _T_29608 = add(_T_29607, _T_29582) @[exu_mul_ctl.scala 137:112] + node _T_29609 = add(_T_29608, _T_29583) @[exu_mul_ctl.scala 137:112] + node _T_29610 = add(_T_29609, _T_29584) @[exu_mul_ctl.scala 137:112] + node _T_29611 = add(_T_29610, _T_29585) @[exu_mul_ctl.scala 137:112] + node _T_29612 = add(_T_29611, _T_29586) @[exu_mul_ctl.scala 137:112] + node _T_29613 = add(_T_29612, _T_29587) @[exu_mul_ctl.scala 137:112] + node _T_29614 = add(_T_29613, _T_29588) @[exu_mul_ctl.scala 137:112] + node _T_29615 = add(_T_29614, _T_29589) @[exu_mul_ctl.scala 137:112] + node _T_29616 = add(_T_29615, _T_29590) @[exu_mul_ctl.scala 137:112] + node _T_29617 = add(_T_29616, _T_29591) @[exu_mul_ctl.scala 137:112] + node _T_29618 = add(_T_29617, _T_29592) @[exu_mul_ctl.scala 137:112] + node _T_29619 = add(_T_29618, _T_29593) @[exu_mul_ctl.scala 137:112] + node _T_29620 = add(_T_29619, _T_29594) @[exu_mul_ctl.scala 137:112] + node _T_29621 = add(_T_29620, _T_29595) @[exu_mul_ctl.scala 137:112] + node _T_29622 = eq(_T_29621, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29623 = bits(_T_29622, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29624 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_29625 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29626 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29627 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29628 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29629 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29630 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29631 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29632 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29633 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29634 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29635 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29636 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29637 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29638 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29639 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29640 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29641 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29642 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29643 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29644 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29645 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29646 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29647 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29648 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29649 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29650 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29651 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29652 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_29653 = add(_T_29625, _T_29626) @[exu_mul_ctl.scala 137:112] + node _T_29654 = add(_T_29653, _T_29627) @[exu_mul_ctl.scala 137:112] + node _T_29655 = add(_T_29654, _T_29628) @[exu_mul_ctl.scala 137:112] + node _T_29656 = add(_T_29655, _T_29629) @[exu_mul_ctl.scala 137:112] + node _T_29657 = add(_T_29656, _T_29630) @[exu_mul_ctl.scala 137:112] + node _T_29658 = add(_T_29657, _T_29631) @[exu_mul_ctl.scala 137:112] + node _T_29659 = add(_T_29658, _T_29632) @[exu_mul_ctl.scala 137:112] + node _T_29660 = add(_T_29659, _T_29633) @[exu_mul_ctl.scala 137:112] + node _T_29661 = add(_T_29660, _T_29634) @[exu_mul_ctl.scala 137:112] + node _T_29662 = add(_T_29661, _T_29635) @[exu_mul_ctl.scala 137:112] + node _T_29663 = add(_T_29662, _T_29636) @[exu_mul_ctl.scala 137:112] + node _T_29664 = add(_T_29663, _T_29637) @[exu_mul_ctl.scala 137:112] + node _T_29665 = add(_T_29664, _T_29638) @[exu_mul_ctl.scala 137:112] + node _T_29666 = add(_T_29665, _T_29639) @[exu_mul_ctl.scala 137:112] + node _T_29667 = add(_T_29666, _T_29640) @[exu_mul_ctl.scala 137:112] + node _T_29668 = add(_T_29667, _T_29641) @[exu_mul_ctl.scala 137:112] + node _T_29669 = add(_T_29668, _T_29642) @[exu_mul_ctl.scala 137:112] + node _T_29670 = add(_T_29669, _T_29643) @[exu_mul_ctl.scala 137:112] + node _T_29671 = add(_T_29670, _T_29644) @[exu_mul_ctl.scala 137:112] + node _T_29672 = add(_T_29671, _T_29645) @[exu_mul_ctl.scala 137:112] + node _T_29673 = add(_T_29672, _T_29646) @[exu_mul_ctl.scala 137:112] + node _T_29674 = add(_T_29673, _T_29647) @[exu_mul_ctl.scala 137:112] + node _T_29675 = add(_T_29674, _T_29648) @[exu_mul_ctl.scala 137:112] + node _T_29676 = add(_T_29675, _T_29649) @[exu_mul_ctl.scala 137:112] + node _T_29677 = add(_T_29676, _T_29650) @[exu_mul_ctl.scala 137:112] + node _T_29678 = add(_T_29677, _T_29651) @[exu_mul_ctl.scala 137:112] + node _T_29679 = add(_T_29678, _T_29652) @[exu_mul_ctl.scala 137:112] + node _T_29680 = eq(_T_29679, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29681 = bits(_T_29680, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29682 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_29683 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29684 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29685 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29686 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29687 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29688 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29689 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29690 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29691 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29692 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29693 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29694 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29695 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29696 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29697 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29698 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29699 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29700 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29701 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29702 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29703 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29704 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29705 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29706 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29707 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29708 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29709 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29710 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_29711 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_29712 = add(_T_29683, _T_29684) @[exu_mul_ctl.scala 137:112] + node _T_29713 = add(_T_29712, _T_29685) @[exu_mul_ctl.scala 137:112] + node _T_29714 = add(_T_29713, _T_29686) @[exu_mul_ctl.scala 137:112] + node _T_29715 = add(_T_29714, _T_29687) @[exu_mul_ctl.scala 137:112] + node _T_29716 = add(_T_29715, _T_29688) @[exu_mul_ctl.scala 137:112] + node _T_29717 = add(_T_29716, _T_29689) @[exu_mul_ctl.scala 137:112] + node _T_29718 = add(_T_29717, _T_29690) @[exu_mul_ctl.scala 137:112] + node _T_29719 = add(_T_29718, _T_29691) @[exu_mul_ctl.scala 137:112] + node _T_29720 = add(_T_29719, _T_29692) @[exu_mul_ctl.scala 137:112] + node _T_29721 = add(_T_29720, _T_29693) @[exu_mul_ctl.scala 137:112] + node _T_29722 = add(_T_29721, _T_29694) @[exu_mul_ctl.scala 137:112] + node _T_29723 = add(_T_29722, _T_29695) @[exu_mul_ctl.scala 137:112] + node _T_29724 = add(_T_29723, _T_29696) @[exu_mul_ctl.scala 137:112] + node _T_29725 = add(_T_29724, _T_29697) @[exu_mul_ctl.scala 137:112] + node _T_29726 = add(_T_29725, _T_29698) @[exu_mul_ctl.scala 137:112] + node _T_29727 = add(_T_29726, _T_29699) @[exu_mul_ctl.scala 137:112] + node _T_29728 = add(_T_29727, _T_29700) @[exu_mul_ctl.scala 137:112] + node _T_29729 = add(_T_29728, _T_29701) @[exu_mul_ctl.scala 137:112] + node _T_29730 = add(_T_29729, _T_29702) @[exu_mul_ctl.scala 137:112] + node _T_29731 = add(_T_29730, _T_29703) @[exu_mul_ctl.scala 137:112] + node _T_29732 = add(_T_29731, _T_29704) @[exu_mul_ctl.scala 137:112] + node _T_29733 = add(_T_29732, _T_29705) @[exu_mul_ctl.scala 137:112] + node _T_29734 = add(_T_29733, _T_29706) @[exu_mul_ctl.scala 137:112] + node _T_29735 = add(_T_29734, _T_29707) @[exu_mul_ctl.scala 137:112] + node _T_29736 = add(_T_29735, _T_29708) @[exu_mul_ctl.scala 137:112] + node _T_29737 = add(_T_29736, _T_29709) @[exu_mul_ctl.scala 137:112] + node _T_29738 = add(_T_29737, _T_29710) @[exu_mul_ctl.scala 137:112] + node _T_29739 = add(_T_29738, _T_29711) @[exu_mul_ctl.scala 137:112] + node _T_29740 = eq(_T_29739, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29741 = bits(_T_29740, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29742 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_29743 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29744 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29745 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29746 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29747 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29748 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29749 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29750 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29751 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29752 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29753 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29754 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29755 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29756 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29757 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29758 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29759 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29760 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29761 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29762 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29763 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29764 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29765 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29766 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29767 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29768 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29769 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29770 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_29771 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_29772 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_29773 = add(_T_29743, _T_29744) @[exu_mul_ctl.scala 137:112] + node _T_29774 = add(_T_29773, _T_29745) @[exu_mul_ctl.scala 137:112] + node _T_29775 = add(_T_29774, _T_29746) @[exu_mul_ctl.scala 137:112] + node _T_29776 = add(_T_29775, _T_29747) @[exu_mul_ctl.scala 137:112] + node _T_29777 = add(_T_29776, _T_29748) @[exu_mul_ctl.scala 137:112] + node _T_29778 = add(_T_29777, _T_29749) @[exu_mul_ctl.scala 137:112] + node _T_29779 = add(_T_29778, _T_29750) @[exu_mul_ctl.scala 137:112] + node _T_29780 = add(_T_29779, _T_29751) @[exu_mul_ctl.scala 137:112] + node _T_29781 = add(_T_29780, _T_29752) @[exu_mul_ctl.scala 137:112] + node _T_29782 = add(_T_29781, _T_29753) @[exu_mul_ctl.scala 137:112] + node _T_29783 = add(_T_29782, _T_29754) @[exu_mul_ctl.scala 137:112] + node _T_29784 = add(_T_29783, _T_29755) @[exu_mul_ctl.scala 137:112] + node _T_29785 = add(_T_29784, _T_29756) @[exu_mul_ctl.scala 137:112] + node _T_29786 = add(_T_29785, _T_29757) @[exu_mul_ctl.scala 137:112] + node _T_29787 = add(_T_29786, _T_29758) @[exu_mul_ctl.scala 137:112] + node _T_29788 = add(_T_29787, _T_29759) @[exu_mul_ctl.scala 137:112] + node _T_29789 = add(_T_29788, _T_29760) @[exu_mul_ctl.scala 137:112] + node _T_29790 = add(_T_29789, _T_29761) @[exu_mul_ctl.scala 137:112] + node _T_29791 = add(_T_29790, _T_29762) @[exu_mul_ctl.scala 137:112] + node _T_29792 = add(_T_29791, _T_29763) @[exu_mul_ctl.scala 137:112] + node _T_29793 = add(_T_29792, _T_29764) @[exu_mul_ctl.scala 137:112] + node _T_29794 = add(_T_29793, _T_29765) @[exu_mul_ctl.scala 137:112] + node _T_29795 = add(_T_29794, _T_29766) @[exu_mul_ctl.scala 137:112] + node _T_29796 = add(_T_29795, _T_29767) @[exu_mul_ctl.scala 137:112] + node _T_29797 = add(_T_29796, _T_29768) @[exu_mul_ctl.scala 137:112] + node _T_29798 = add(_T_29797, _T_29769) @[exu_mul_ctl.scala 137:112] + node _T_29799 = add(_T_29798, _T_29770) @[exu_mul_ctl.scala 137:112] + node _T_29800 = add(_T_29799, _T_29771) @[exu_mul_ctl.scala 137:112] + node _T_29801 = add(_T_29800, _T_29772) @[exu_mul_ctl.scala 137:112] + node _T_29802 = eq(_T_29801, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29803 = bits(_T_29802, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29804 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_29805 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29806 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29807 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29808 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29809 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29810 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29811 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29812 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29813 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29814 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29815 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29816 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29817 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29818 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29819 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29820 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29821 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29822 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29823 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29824 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29825 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29826 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29827 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29828 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29829 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29830 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29831 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29832 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_29833 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_29834 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_29835 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_29836 = add(_T_29805, _T_29806) @[exu_mul_ctl.scala 137:112] + node _T_29837 = add(_T_29836, _T_29807) @[exu_mul_ctl.scala 137:112] + node _T_29838 = add(_T_29837, _T_29808) @[exu_mul_ctl.scala 137:112] + node _T_29839 = add(_T_29838, _T_29809) @[exu_mul_ctl.scala 137:112] + node _T_29840 = add(_T_29839, _T_29810) @[exu_mul_ctl.scala 137:112] + node _T_29841 = add(_T_29840, _T_29811) @[exu_mul_ctl.scala 137:112] + node _T_29842 = add(_T_29841, _T_29812) @[exu_mul_ctl.scala 137:112] + node _T_29843 = add(_T_29842, _T_29813) @[exu_mul_ctl.scala 137:112] + node _T_29844 = add(_T_29843, _T_29814) @[exu_mul_ctl.scala 137:112] + node _T_29845 = add(_T_29844, _T_29815) @[exu_mul_ctl.scala 137:112] + node _T_29846 = add(_T_29845, _T_29816) @[exu_mul_ctl.scala 137:112] + node _T_29847 = add(_T_29846, _T_29817) @[exu_mul_ctl.scala 137:112] + node _T_29848 = add(_T_29847, _T_29818) @[exu_mul_ctl.scala 137:112] + node _T_29849 = add(_T_29848, _T_29819) @[exu_mul_ctl.scala 137:112] + node _T_29850 = add(_T_29849, _T_29820) @[exu_mul_ctl.scala 137:112] + node _T_29851 = add(_T_29850, _T_29821) @[exu_mul_ctl.scala 137:112] + node _T_29852 = add(_T_29851, _T_29822) @[exu_mul_ctl.scala 137:112] + node _T_29853 = add(_T_29852, _T_29823) @[exu_mul_ctl.scala 137:112] + node _T_29854 = add(_T_29853, _T_29824) @[exu_mul_ctl.scala 137:112] + node _T_29855 = add(_T_29854, _T_29825) @[exu_mul_ctl.scala 137:112] + node _T_29856 = add(_T_29855, _T_29826) @[exu_mul_ctl.scala 137:112] + node _T_29857 = add(_T_29856, _T_29827) @[exu_mul_ctl.scala 137:112] + node _T_29858 = add(_T_29857, _T_29828) @[exu_mul_ctl.scala 137:112] + node _T_29859 = add(_T_29858, _T_29829) @[exu_mul_ctl.scala 137:112] + node _T_29860 = add(_T_29859, _T_29830) @[exu_mul_ctl.scala 137:112] + node _T_29861 = add(_T_29860, _T_29831) @[exu_mul_ctl.scala 137:112] + node _T_29862 = add(_T_29861, _T_29832) @[exu_mul_ctl.scala 137:112] + node _T_29863 = add(_T_29862, _T_29833) @[exu_mul_ctl.scala 137:112] + node _T_29864 = add(_T_29863, _T_29834) @[exu_mul_ctl.scala 137:112] + node _T_29865 = add(_T_29864, _T_29835) @[exu_mul_ctl.scala 137:112] + node _T_29866 = eq(_T_29865, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29867 = bits(_T_29866, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29868 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_29869 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29870 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29871 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29872 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29873 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29874 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29875 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29876 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29877 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29878 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29879 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29880 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29881 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29882 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29883 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29884 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29885 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29886 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29887 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29888 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29889 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29890 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29891 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29892 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29893 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29894 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29895 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29896 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_29897 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_29898 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_29899 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_29900 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_29901 = add(_T_29869, _T_29870) @[exu_mul_ctl.scala 137:112] + node _T_29902 = add(_T_29901, _T_29871) @[exu_mul_ctl.scala 137:112] + node _T_29903 = add(_T_29902, _T_29872) @[exu_mul_ctl.scala 137:112] + node _T_29904 = add(_T_29903, _T_29873) @[exu_mul_ctl.scala 137:112] + node _T_29905 = add(_T_29904, _T_29874) @[exu_mul_ctl.scala 137:112] + node _T_29906 = add(_T_29905, _T_29875) @[exu_mul_ctl.scala 137:112] + node _T_29907 = add(_T_29906, _T_29876) @[exu_mul_ctl.scala 137:112] + node _T_29908 = add(_T_29907, _T_29877) @[exu_mul_ctl.scala 137:112] + node _T_29909 = add(_T_29908, _T_29878) @[exu_mul_ctl.scala 137:112] + node _T_29910 = add(_T_29909, _T_29879) @[exu_mul_ctl.scala 137:112] + node _T_29911 = add(_T_29910, _T_29880) @[exu_mul_ctl.scala 137:112] + node _T_29912 = add(_T_29911, _T_29881) @[exu_mul_ctl.scala 137:112] + node _T_29913 = add(_T_29912, _T_29882) @[exu_mul_ctl.scala 137:112] + node _T_29914 = add(_T_29913, _T_29883) @[exu_mul_ctl.scala 137:112] + node _T_29915 = add(_T_29914, _T_29884) @[exu_mul_ctl.scala 137:112] + node _T_29916 = add(_T_29915, _T_29885) @[exu_mul_ctl.scala 137:112] + node _T_29917 = add(_T_29916, _T_29886) @[exu_mul_ctl.scala 137:112] + node _T_29918 = add(_T_29917, _T_29887) @[exu_mul_ctl.scala 137:112] + node _T_29919 = add(_T_29918, _T_29888) @[exu_mul_ctl.scala 137:112] + node _T_29920 = add(_T_29919, _T_29889) @[exu_mul_ctl.scala 137:112] + node _T_29921 = add(_T_29920, _T_29890) @[exu_mul_ctl.scala 137:112] + node _T_29922 = add(_T_29921, _T_29891) @[exu_mul_ctl.scala 137:112] + node _T_29923 = add(_T_29922, _T_29892) @[exu_mul_ctl.scala 137:112] + node _T_29924 = add(_T_29923, _T_29893) @[exu_mul_ctl.scala 137:112] + node _T_29925 = add(_T_29924, _T_29894) @[exu_mul_ctl.scala 137:112] + node _T_29926 = add(_T_29925, _T_29895) @[exu_mul_ctl.scala 137:112] + node _T_29927 = add(_T_29926, _T_29896) @[exu_mul_ctl.scala 137:112] + node _T_29928 = add(_T_29927, _T_29897) @[exu_mul_ctl.scala 137:112] + node _T_29929 = add(_T_29928, _T_29898) @[exu_mul_ctl.scala 137:112] + node _T_29930 = add(_T_29929, _T_29899) @[exu_mul_ctl.scala 137:112] + node _T_29931 = add(_T_29930, _T_29900) @[exu_mul_ctl.scala 137:112] + node _T_29932 = eq(_T_29931, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29933 = bits(_T_29932, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29934 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_29935 = mux(_T_29933, _T_29934, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_29936 = mux(_T_29867, _T_29868, _T_29935) @[Mux.scala 98:16] + node _T_29937 = mux(_T_29803, _T_29804, _T_29936) @[Mux.scala 98:16] + node _T_29938 = mux(_T_29741, _T_29742, _T_29937) @[Mux.scala 98:16] + node _T_29939 = mux(_T_29681, _T_29682, _T_29938) @[Mux.scala 98:16] + node _T_29940 = mux(_T_29623, _T_29624, _T_29939) @[Mux.scala 98:16] + node _T_29941 = mux(_T_29567, _T_29568, _T_29940) @[Mux.scala 98:16] + node _T_29942 = mux(_T_29513, _T_29514, _T_29941) @[Mux.scala 98:16] + node _T_29943 = mux(_T_29461, _T_29462, _T_29942) @[Mux.scala 98:16] + node _T_29944 = mux(_T_29411, _T_29412, _T_29943) @[Mux.scala 98:16] + node _T_29945 = mux(_T_29363, _T_29364, _T_29944) @[Mux.scala 98:16] + node _T_29946 = mux(_T_29317, _T_29318, _T_29945) @[Mux.scala 98:16] + node _T_29947 = mux(_T_29273, _T_29274, _T_29946) @[Mux.scala 98:16] + node _T_29948 = mux(_T_29231, _T_29232, _T_29947) @[Mux.scala 98:16] + node _T_29949 = mux(_T_29191, _T_29192, _T_29948) @[Mux.scala 98:16] + node _T_29950 = mux(_T_29153, _T_29154, _T_29949) @[Mux.scala 98:16] + node _T_29951 = mux(_T_29117, _T_29118, _T_29950) @[Mux.scala 98:16] + node _T_29952 = mux(_T_29083, _T_29084, _T_29951) @[Mux.scala 98:16] + node _T_29953 = mux(_T_29051, _T_29052, _T_29952) @[Mux.scala 98:16] + node _T_29954 = mux(_T_29021, _T_29022, _T_29953) @[Mux.scala 98:16] + node _T_29955 = mux(_T_28993, _T_28994, _T_29954) @[Mux.scala 98:16] + node _T_29956 = mux(_T_28967, _T_28968, _T_29955) @[Mux.scala 98:16] + node _T_29957 = mux(_T_28943, _T_28944, _T_29956) @[Mux.scala 98:16] + node _T_29958 = mux(_T_28921, _T_28922, _T_29957) @[Mux.scala 98:16] + node _T_29959 = mux(_T_28901, _T_28902, _T_29958) @[Mux.scala 98:16] + node _T_29960 = mux(_T_28883, _T_28884, _T_29959) @[Mux.scala 98:16] + node _T_29961 = mux(_T_28867, _T_28868, _T_29960) @[Mux.scala 98:16] + node _T_29962 = mux(_T_28853, _T_28854, _T_29961) @[Mux.scala 98:16] + node _T_29963 = mux(_T_28841, _T_28842, _T_29962) @[Mux.scala 98:16] + node _T_29964 = mux(_T_28831, _T_28832, _T_29963) @[Mux.scala 98:16] + node _T_29965 = mux(_T_28823, _T_28824, _T_29964) @[Mux.scala 98:16] + node _T_29966 = mux(_T_28817, _T_28818, _T_29965) @[Mux.scala 98:16] + node _T_29967 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_29968 = eq(_T_29967, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_29969 = bits(_T_29968, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29970 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_29971 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29972 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29973 = add(_T_29971, _T_29972) @[exu_mul_ctl.scala 137:112] + node _T_29974 = eq(_T_29973, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_29975 = bits(_T_29974, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29976 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_29977 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29978 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29979 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29980 = add(_T_29977, _T_29978) @[exu_mul_ctl.scala 137:112] + node _T_29981 = add(_T_29980, _T_29979) @[exu_mul_ctl.scala 137:112] + node _T_29982 = eq(_T_29981, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_29983 = bits(_T_29982, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29984 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_29985 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29986 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29987 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29988 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29989 = add(_T_29985, _T_29986) @[exu_mul_ctl.scala 137:112] + node _T_29990 = add(_T_29989, _T_29987) @[exu_mul_ctl.scala 137:112] + node _T_29991 = add(_T_29990, _T_29988) @[exu_mul_ctl.scala 137:112] + node _T_29992 = eq(_T_29991, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_29993 = bits(_T_29992, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29994 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_29995 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29996 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29997 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29998 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29999 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30000 = add(_T_29995, _T_29996) @[exu_mul_ctl.scala 137:112] + node _T_30001 = add(_T_30000, _T_29997) @[exu_mul_ctl.scala 137:112] + node _T_30002 = add(_T_30001, _T_29998) @[exu_mul_ctl.scala 137:112] + node _T_30003 = add(_T_30002, _T_29999) @[exu_mul_ctl.scala 137:112] + node _T_30004 = eq(_T_30003, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30005 = bits(_T_30004, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30006 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_30007 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30008 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30009 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30010 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30011 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30012 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30013 = add(_T_30007, _T_30008) @[exu_mul_ctl.scala 137:112] + node _T_30014 = add(_T_30013, _T_30009) @[exu_mul_ctl.scala 137:112] + node _T_30015 = add(_T_30014, _T_30010) @[exu_mul_ctl.scala 137:112] + node _T_30016 = add(_T_30015, _T_30011) @[exu_mul_ctl.scala 137:112] + node _T_30017 = add(_T_30016, _T_30012) @[exu_mul_ctl.scala 137:112] + node _T_30018 = eq(_T_30017, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30019 = bits(_T_30018, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30020 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_30021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30028 = add(_T_30021, _T_30022) @[exu_mul_ctl.scala 137:112] + node _T_30029 = add(_T_30028, _T_30023) @[exu_mul_ctl.scala 137:112] + node _T_30030 = add(_T_30029, _T_30024) @[exu_mul_ctl.scala 137:112] + node _T_30031 = add(_T_30030, _T_30025) @[exu_mul_ctl.scala 137:112] + node _T_30032 = add(_T_30031, _T_30026) @[exu_mul_ctl.scala 137:112] + node _T_30033 = add(_T_30032, _T_30027) @[exu_mul_ctl.scala 137:112] + node _T_30034 = eq(_T_30033, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30035 = bits(_T_30034, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30036 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_30037 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30038 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30039 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30040 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30041 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30042 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30043 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30044 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30045 = add(_T_30037, _T_30038) @[exu_mul_ctl.scala 137:112] + node _T_30046 = add(_T_30045, _T_30039) @[exu_mul_ctl.scala 137:112] + node _T_30047 = add(_T_30046, _T_30040) @[exu_mul_ctl.scala 137:112] + node _T_30048 = add(_T_30047, _T_30041) @[exu_mul_ctl.scala 137:112] + node _T_30049 = add(_T_30048, _T_30042) @[exu_mul_ctl.scala 137:112] + node _T_30050 = add(_T_30049, _T_30043) @[exu_mul_ctl.scala 137:112] + node _T_30051 = add(_T_30050, _T_30044) @[exu_mul_ctl.scala 137:112] + node _T_30052 = eq(_T_30051, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30053 = bits(_T_30052, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30054 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_30055 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30056 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30057 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30058 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30059 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30060 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30061 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30062 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30063 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30064 = add(_T_30055, _T_30056) @[exu_mul_ctl.scala 137:112] + node _T_30065 = add(_T_30064, _T_30057) @[exu_mul_ctl.scala 137:112] + node _T_30066 = add(_T_30065, _T_30058) @[exu_mul_ctl.scala 137:112] + node _T_30067 = add(_T_30066, _T_30059) @[exu_mul_ctl.scala 137:112] + node _T_30068 = add(_T_30067, _T_30060) @[exu_mul_ctl.scala 137:112] + node _T_30069 = add(_T_30068, _T_30061) @[exu_mul_ctl.scala 137:112] + node _T_30070 = add(_T_30069, _T_30062) @[exu_mul_ctl.scala 137:112] + node _T_30071 = add(_T_30070, _T_30063) @[exu_mul_ctl.scala 137:112] + node _T_30072 = eq(_T_30071, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30073 = bits(_T_30072, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30074 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_30075 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30076 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30077 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30078 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30079 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30080 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30081 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30082 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30083 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30084 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30085 = add(_T_30075, _T_30076) @[exu_mul_ctl.scala 137:112] + node _T_30086 = add(_T_30085, _T_30077) @[exu_mul_ctl.scala 137:112] + node _T_30087 = add(_T_30086, _T_30078) @[exu_mul_ctl.scala 137:112] + node _T_30088 = add(_T_30087, _T_30079) @[exu_mul_ctl.scala 137:112] + node _T_30089 = add(_T_30088, _T_30080) @[exu_mul_ctl.scala 137:112] + node _T_30090 = add(_T_30089, _T_30081) @[exu_mul_ctl.scala 137:112] + node _T_30091 = add(_T_30090, _T_30082) @[exu_mul_ctl.scala 137:112] + node _T_30092 = add(_T_30091, _T_30083) @[exu_mul_ctl.scala 137:112] + node _T_30093 = add(_T_30092, _T_30084) @[exu_mul_ctl.scala 137:112] + node _T_30094 = eq(_T_30093, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30095 = bits(_T_30094, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30096 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_30097 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30098 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30099 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30100 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30101 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30102 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30103 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30104 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30105 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30106 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30107 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30108 = add(_T_30097, _T_30098) @[exu_mul_ctl.scala 137:112] + node _T_30109 = add(_T_30108, _T_30099) @[exu_mul_ctl.scala 137:112] + node _T_30110 = add(_T_30109, _T_30100) @[exu_mul_ctl.scala 137:112] + node _T_30111 = add(_T_30110, _T_30101) @[exu_mul_ctl.scala 137:112] + node _T_30112 = add(_T_30111, _T_30102) @[exu_mul_ctl.scala 137:112] + node _T_30113 = add(_T_30112, _T_30103) @[exu_mul_ctl.scala 137:112] + node _T_30114 = add(_T_30113, _T_30104) @[exu_mul_ctl.scala 137:112] + node _T_30115 = add(_T_30114, _T_30105) @[exu_mul_ctl.scala 137:112] + node _T_30116 = add(_T_30115, _T_30106) @[exu_mul_ctl.scala 137:112] + node _T_30117 = add(_T_30116, _T_30107) @[exu_mul_ctl.scala 137:112] + node _T_30118 = eq(_T_30117, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30119 = bits(_T_30118, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30120 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_30121 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30122 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30123 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30124 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30125 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30126 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30127 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30128 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30129 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30130 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30131 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30132 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30133 = add(_T_30121, _T_30122) @[exu_mul_ctl.scala 137:112] + node _T_30134 = add(_T_30133, _T_30123) @[exu_mul_ctl.scala 137:112] + node _T_30135 = add(_T_30134, _T_30124) @[exu_mul_ctl.scala 137:112] + node _T_30136 = add(_T_30135, _T_30125) @[exu_mul_ctl.scala 137:112] + node _T_30137 = add(_T_30136, _T_30126) @[exu_mul_ctl.scala 137:112] + node _T_30138 = add(_T_30137, _T_30127) @[exu_mul_ctl.scala 137:112] + node _T_30139 = add(_T_30138, _T_30128) @[exu_mul_ctl.scala 137:112] + node _T_30140 = add(_T_30139, _T_30129) @[exu_mul_ctl.scala 137:112] + node _T_30141 = add(_T_30140, _T_30130) @[exu_mul_ctl.scala 137:112] + node _T_30142 = add(_T_30141, _T_30131) @[exu_mul_ctl.scala 137:112] + node _T_30143 = add(_T_30142, _T_30132) @[exu_mul_ctl.scala 137:112] + node _T_30144 = eq(_T_30143, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30145 = bits(_T_30144, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30146 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_30147 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30148 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30149 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30150 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30151 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30152 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30153 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30154 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30155 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30156 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30157 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30158 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30159 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30160 = add(_T_30147, _T_30148) @[exu_mul_ctl.scala 137:112] + node _T_30161 = add(_T_30160, _T_30149) @[exu_mul_ctl.scala 137:112] + node _T_30162 = add(_T_30161, _T_30150) @[exu_mul_ctl.scala 137:112] + node _T_30163 = add(_T_30162, _T_30151) @[exu_mul_ctl.scala 137:112] + node _T_30164 = add(_T_30163, _T_30152) @[exu_mul_ctl.scala 137:112] + node _T_30165 = add(_T_30164, _T_30153) @[exu_mul_ctl.scala 137:112] + node _T_30166 = add(_T_30165, _T_30154) @[exu_mul_ctl.scala 137:112] + node _T_30167 = add(_T_30166, _T_30155) @[exu_mul_ctl.scala 137:112] + node _T_30168 = add(_T_30167, _T_30156) @[exu_mul_ctl.scala 137:112] + node _T_30169 = add(_T_30168, _T_30157) @[exu_mul_ctl.scala 137:112] + node _T_30170 = add(_T_30169, _T_30158) @[exu_mul_ctl.scala 137:112] + node _T_30171 = add(_T_30170, _T_30159) @[exu_mul_ctl.scala 137:112] + node _T_30172 = eq(_T_30171, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30173 = bits(_T_30172, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30174 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_30175 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30176 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30177 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30178 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30179 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30180 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30181 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30182 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30183 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30184 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30185 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30186 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30187 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30188 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30189 = add(_T_30175, _T_30176) @[exu_mul_ctl.scala 137:112] + node _T_30190 = add(_T_30189, _T_30177) @[exu_mul_ctl.scala 137:112] + node _T_30191 = add(_T_30190, _T_30178) @[exu_mul_ctl.scala 137:112] + node _T_30192 = add(_T_30191, _T_30179) @[exu_mul_ctl.scala 137:112] + node _T_30193 = add(_T_30192, _T_30180) @[exu_mul_ctl.scala 137:112] + node _T_30194 = add(_T_30193, _T_30181) @[exu_mul_ctl.scala 137:112] + node _T_30195 = add(_T_30194, _T_30182) @[exu_mul_ctl.scala 137:112] + node _T_30196 = add(_T_30195, _T_30183) @[exu_mul_ctl.scala 137:112] + node _T_30197 = add(_T_30196, _T_30184) @[exu_mul_ctl.scala 137:112] + node _T_30198 = add(_T_30197, _T_30185) @[exu_mul_ctl.scala 137:112] + node _T_30199 = add(_T_30198, _T_30186) @[exu_mul_ctl.scala 137:112] + node _T_30200 = add(_T_30199, _T_30187) @[exu_mul_ctl.scala 137:112] + node _T_30201 = add(_T_30200, _T_30188) @[exu_mul_ctl.scala 137:112] + node _T_30202 = eq(_T_30201, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30203 = bits(_T_30202, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30204 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_30205 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30206 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30207 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30208 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30209 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30210 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30211 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30212 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30213 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30214 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30215 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30216 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30217 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30218 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30219 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30220 = add(_T_30205, _T_30206) @[exu_mul_ctl.scala 137:112] + node _T_30221 = add(_T_30220, _T_30207) @[exu_mul_ctl.scala 137:112] + node _T_30222 = add(_T_30221, _T_30208) @[exu_mul_ctl.scala 137:112] + node _T_30223 = add(_T_30222, _T_30209) @[exu_mul_ctl.scala 137:112] + node _T_30224 = add(_T_30223, _T_30210) @[exu_mul_ctl.scala 137:112] + node _T_30225 = add(_T_30224, _T_30211) @[exu_mul_ctl.scala 137:112] + node _T_30226 = add(_T_30225, _T_30212) @[exu_mul_ctl.scala 137:112] + node _T_30227 = add(_T_30226, _T_30213) @[exu_mul_ctl.scala 137:112] + node _T_30228 = add(_T_30227, _T_30214) @[exu_mul_ctl.scala 137:112] + node _T_30229 = add(_T_30228, _T_30215) @[exu_mul_ctl.scala 137:112] + node _T_30230 = add(_T_30229, _T_30216) @[exu_mul_ctl.scala 137:112] + node _T_30231 = add(_T_30230, _T_30217) @[exu_mul_ctl.scala 137:112] + node _T_30232 = add(_T_30231, _T_30218) @[exu_mul_ctl.scala 137:112] + node _T_30233 = add(_T_30232, _T_30219) @[exu_mul_ctl.scala 137:112] + node _T_30234 = eq(_T_30233, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30235 = bits(_T_30234, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30236 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_30237 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30238 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30239 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30240 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30241 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30242 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30243 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30244 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30245 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30246 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30247 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30248 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30249 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30250 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30251 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30252 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30253 = add(_T_30237, _T_30238) @[exu_mul_ctl.scala 137:112] + node _T_30254 = add(_T_30253, _T_30239) @[exu_mul_ctl.scala 137:112] + node _T_30255 = add(_T_30254, _T_30240) @[exu_mul_ctl.scala 137:112] + node _T_30256 = add(_T_30255, _T_30241) @[exu_mul_ctl.scala 137:112] + node _T_30257 = add(_T_30256, _T_30242) @[exu_mul_ctl.scala 137:112] + node _T_30258 = add(_T_30257, _T_30243) @[exu_mul_ctl.scala 137:112] + node _T_30259 = add(_T_30258, _T_30244) @[exu_mul_ctl.scala 137:112] + node _T_30260 = add(_T_30259, _T_30245) @[exu_mul_ctl.scala 137:112] + node _T_30261 = add(_T_30260, _T_30246) @[exu_mul_ctl.scala 137:112] + node _T_30262 = add(_T_30261, _T_30247) @[exu_mul_ctl.scala 137:112] + node _T_30263 = add(_T_30262, _T_30248) @[exu_mul_ctl.scala 137:112] + node _T_30264 = add(_T_30263, _T_30249) @[exu_mul_ctl.scala 137:112] + node _T_30265 = add(_T_30264, _T_30250) @[exu_mul_ctl.scala 137:112] + node _T_30266 = add(_T_30265, _T_30251) @[exu_mul_ctl.scala 137:112] + node _T_30267 = add(_T_30266, _T_30252) @[exu_mul_ctl.scala 137:112] + node _T_30268 = eq(_T_30267, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30269 = bits(_T_30268, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30270 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_30271 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30272 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30273 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30274 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30275 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30276 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30277 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30278 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30279 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30280 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30281 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30282 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30283 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30284 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30285 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30286 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30287 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30288 = add(_T_30271, _T_30272) @[exu_mul_ctl.scala 137:112] + node _T_30289 = add(_T_30288, _T_30273) @[exu_mul_ctl.scala 137:112] + node _T_30290 = add(_T_30289, _T_30274) @[exu_mul_ctl.scala 137:112] + node _T_30291 = add(_T_30290, _T_30275) @[exu_mul_ctl.scala 137:112] + node _T_30292 = add(_T_30291, _T_30276) @[exu_mul_ctl.scala 137:112] + node _T_30293 = add(_T_30292, _T_30277) @[exu_mul_ctl.scala 137:112] + node _T_30294 = add(_T_30293, _T_30278) @[exu_mul_ctl.scala 137:112] + node _T_30295 = add(_T_30294, _T_30279) @[exu_mul_ctl.scala 137:112] + node _T_30296 = add(_T_30295, _T_30280) @[exu_mul_ctl.scala 137:112] + node _T_30297 = add(_T_30296, _T_30281) @[exu_mul_ctl.scala 137:112] + node _T_30298 = add(_T_30297, _T_30282) @[exu_mul_ctl.scala 137:112] + node _T_30299 = add(_T_30298, _T_30283) @[exu_mul_ctl.scala 137:112] + node _T_30300 = add(_T_30299, _T_30284) @[exu_mul_ctl.scala 137:112] + node _T_30301 = add(_T_30300, _T_30285) @[exu_mul_ctl.scala 137:112] + node _T_30302 = add(_T_30301, _T_30286) @[exu_mul_ctl.scala 137:112] + node _T_30303 = add(_T_30302, _T_30287) @[exu_mul_ctl.scala 137:112] + node _T_30304 = eq(_T_30303, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30305 = bits(_T_30304, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30306 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_30307 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30308 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30309 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30310 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30311 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30312 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30313 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30314 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30315 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30316 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30317 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30318 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30319 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30320 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30321 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30322 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30323 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30324 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30325 = add(_T_30307, _T_30308) @[exu_mul_ctl.scala 137:112] + node _T_30326 = add(_T_30325, _T_30309) @[exu_mul_ctl.scala 137:112] + node _T_30327 = add(_T_30326, _T_30310) @[exu_mul_ctl.scala 137:112] + node _T_30328 = add(_T_30327, _T_30311) @[exu_mul_ctl.scala 137:112] + node _T_30329 = add(_T_30328, _T_30312) @[exu_mul_ctl.scala 137:112] + node _T_30330 = add(_T_30329, _T_30313) @[exu_mul_ctl.scala 137:112] + node _T_30331 = add(_T_30330, _T_30314) @[exu_mul_ctl.scala 137:112] + node _T_30332 = add(_T_30331, _T_30315) @[exu_mul_ctl.scala 137:112] + node _T_30333 = add(_T_30332, _T_30316) @[exu_mul_ctl.scala 137:112] + node _T_30334 = add(_T_30333, _T_30317) @[exu_mul_ctl.scala 137:112] + node _T_30335 = add(_T_30334, _T_30318) @[exu_mul_ctl.scala 137:112] + node _T_30336 = add(_T_30335, _T_30319) @[exu_mul_ctl.scala 137:112] + node _T_30337 = add(_T_30336, _T_30320) @[exu_mul_ctl.scala 137:112] + node _T_30338 = add(_T_30337, _T_30321) @[exu_mul_ctl.scala 137:112] + node _T_30339 = add(_T_30338, _T_30322) @[exu_mul_ctl.scala 137:112] + node _T_30340 = add(_T_30339, _T_30323) @[exu_mul_ctl.scala 137:112] + node _T_30341 = add(_T_30340, _T_30324) @[exu_mul_ctl.scala 137:112] + node _T_30342 = eq(_T_30341, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30343 = bits(_T_30342, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30344 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_30345 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30346 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30347 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30348 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30349 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30350 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30351 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30352 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30353 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30354 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30355 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30356 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30357 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30358 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30359 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30360 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30361 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30362 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30363 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30364 = add(_T_30345, _T_30346) @[exu_mul_ctl.scala 137:112] + node _T_30365 = add(_T_30364, _T_30347) @[exu_mul_ctl.scala 137:112] + node _T_30366 = add(_T_30365, _T_30348) @[exu_mul_ctl.scala 137:112] + node _T_30367 = add(_T_30366, _T_30349) @[exu_mul_ctl.scala 137:112] + node _T_30368 = add(_T_30367, _T_30350) @[exu_mul_ctl.scala 137:112] + node _T_30369 = add(_T_30368, _T_30351) @[exu_mul_ctl.scala 137:112] + node _T_30370 = add(_T_30369, _T_30352) @[exu_mul_ctl.scala 137:112] + node _T_30371 = add(_T_30370, _T_30353) @[exu_mul_ctl.scala 137:112] + node _T_30372 = add(_T_30371, _T_30354) @[exu_mul_ctl.scala 137:112] + node _T_30373 = add(_T_30372, _T_30355) @[exu_mul_ctl.scala 137:112] + node _T_30374 = add(_T_30373, _T_30356) @[exu_mul_ctl.scala 137:112] + node _T_30375 = add(_T_30374, _T_30357) @[exu_mul_ctl.scala 137:112] + node _T_30376 = add(_T_30375, _T_30358) @[exu_mul_ctl.scala 137:112] + node _T_30377 = add(_T_30376, _T_30359) @[exu_mul_ctl.scala 137:112] + node _T_30378 = add(_T_30377, _T_30360) @[exu_mul_ctl.scala 137:112] + node _T_30379 = add(_T_30378, _T_30361) @[exu_mul_ctl.scala 137:112] + node _T_30380 = add(_T_30379, _T_30362) @[exu_mul_ctl.scala 137:112] + node _T_30381 = add(_T_30380, _T_30363) @[exu_mul_ctl.scala 137:112] + node _T_30382 = eq(_T_30381, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30383 = bits(_T_30382, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30384 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_30385 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30386 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30387 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30388 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30389 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30390 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30391 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30392 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30393 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30394 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30395 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30396 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30397 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30398 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30399 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30400 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30401 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30402 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30403 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30404 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30405 = add(_T_30385, _T_30386) @[exu_mul_ctl.scala 137:112] + node _T_30406 = add(_T_30405, _T_30387) @[exu_mul_ctl.scala 137:112] + node _T_30407 = add(_T_30406, _T_30388) @[exu_mul_ctl.scala 137:112] + node _T_30408 = add(_T_30407, _T_30389) @[exu_mul_ctl.scala 137:112] + node _T_30409 = add(_T_30408, _T_30390) @[exu_mul_ctl.scala 137:112] + node _T_30410 = add(_T_30409, _T_30391) @[exu_mul_ctl.scala 137:112] + node _T_30411 = add(_T_30410, _T_30392) @[exu_mul_ctl.scala 137:112] + node _T_30412 = add(_T_30411, _T_30393) @[exu_mul_ctl.scala 137:112] + node _T_30413 = add(_T_30412, _T_30394) @[exu_mul_ctl.scala 137:112] + node _T_30414 = add(_T_30413, _T_30395) @[exu_mul_ctl.scala 137:112] + node _T_30415 = add(_T_30414, _T_30396) @[exu_mul_ctl.scala 137:112] + node _T_30416 = add(_T_30415, _T_30397) @[exu_mul_ctl.scala 137:112] + node _T_30417 = add(_T_30416, _T_30398) @[exu_mul_ctl.scala 137:112] + node _T_30418 = add(_T_30417, _T_30399) @[exu_mul_ctl.scala 137:112] + node _T_30419 = add(_T_30418, _T_30400) @[exu_mul_ctl.scala 137:112] + node _T_30420 = add(_T_30419, _T_30401) @[exu_mul_ctl.scala 137:112] + node _T_30421 = add(_T_30420, _T_30402) @[exu_mul_ctl.scala 137:112] + node _T_30422 = add(_T_30421, _T_30403) @[exu_mul_ctl.scala 137:112] + node _T_30423 = add(_T_30422, _T_30404) @[exu_mul_ctl.scala 137:112] + node _T_30424 = eq(_T_30423, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30425 = bits(_T_30424, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30426 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_30427 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30428 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30429 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30430 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30431 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30432 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30433 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30434 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30435 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30436 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30437 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30438 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30439 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30440 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30441 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30442 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30443 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30444 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30445 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30446 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30447 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30448 = add(_T_30427, _T_30428) @[exu_mul_ctl.scala 137:112] + node _T_30449 = add(_T_30448, _T_30429) @[exu_mul_ctl.scala 137:112] + node _T_30450 = add(_T_30449, _T_30430) @[exu_mul_ctl.scala 137:112] + node _T_30451 = add(_T_30450, _T_30431) @[exu_mul_ctl.scala 137:112] + node _T_30452 = add(_T_30451, _T_30432) @[exu_mul_ctl.scala 137:112] + node _T_30453 = add(_T_30452, _T_30433) @[exu_mul_ctl.scala 137:112] + node _T_30454 = add(_T_30453, _T_30434) @[exu_mul_ctl.scala 137:112] + node _T_30455 = add(_T_30454, _T_30435) @[exu_mul_ctl.scala 137:112] + node _T_30456 = add(_T_30455, _T_30436) @[exu_mul_ctl.scala 137:112] + node _T_30457 = add(_T_30456, _T_30437) @[exu_mul_ctl.scala 137:112] + node _T_30458 = add(_T_30457, _T_30438) @[exu_mul_ctl.scala 137:112] + node _T_30459 = add(_T_30458, _T_30439) @[exu_mul_ctl.scala 137:112] + node _T_30460 = add(_T_30459, _T_30440) @[exu_mul_ctl.scala 137:112] + node _T_30461 = add(_T_30460, _T_30441) @[exu_mul_ctl.scala 137:112] + node _T_30462 = add(_T_30461, _T_30442) @[exu_mul_ctl.scala 137:112] + node _T_30463 = add(_T_30462, _T_30443) @[exu_mul_ctl.scala 137:112] + node _T_30464 = add(_T_30463, _T_30444) @[exu_mul_ctl.scala 137:112] + node _T_30465 = add(_T_30464, _T_30445) @[exu_mul_ctl.scala 137:112] + node _T_30466 = add(_T_30465, _T_30446) @[exu_mul_ctl.scala 137:112] + node _T_30467 = add(_T_30466, _T_30447) @[exu_mul_ctl.scala 137:112] + node _T_30468 = eq(_T_30467, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30469 = bits(_T_30468, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30470 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_30471 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30472 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30473 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30474 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30475 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30476 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30477 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30478 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30479 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30480 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30481 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30482 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30483 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30484 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30485 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30486 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30487 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30488 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30489 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30490 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30491 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30492 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30493 = add(_T_30471, _T_30472) @[exu_mul_ctl.scala 137:112] + node _T_30494 = add(_T_30493, _T_30473) @[exu_mul_ctl.scala 137:112] + node _T_30495 = add(_T_30494, _T_30474) @[exu_mul_ctl.scala 137:112] + node _T_30496 = add(_T_30495, _T_30475) @[exu_mul_ctl.scala 137:112] + node _T_30497 = add(_T_30496, _T_30476) @[exu_mul_ctl.scala 137:112] + node _T_30498 = add(_T_30497, _T_30477) @[exu_mul_ctl.scala 137:112] + node _T_30499 = add(_T_30498, _T_30478) @[exu_mul_ctl.scala 137:112] + node _T_30500 = add(_T_30499, _T_30479) @[exu_mul_ctl.scala 137:112] + node _T_30501 = add(_T_30500, _T_30480) @[exu_mul_ctl.scala 137:112] + node _T_30502 = add(_T_30501, _T_30481) @[exu_mul_ctl.scala 137:112] + node _T_30503 = add(_T_30502, _T_30482) @[exu_mul_ctl.scala 137:112] + node _T_30504 = add(_T_30503, _T_30483) @[exu_mul_ctl.scala 137:112] + node _T_30505 = add(_T_30504, _T_30484) @[exu_mul_ctl.scala 137:112] + node _T_30506 = add(_T_30505, _T_30485) @[exu_mul_ctl.scala 137:112] + node _T_30507 = add(_T_30506, _T_30486) @[exu_mul_ctl.scala 137:112] + node _T_30508 = add(_T_30507, _T_30487) @[exu_mul_ctl.scala 137:112] + node _T_30509 = add(_T_30508, _T_30488) @[exu_mul_ctl.scala 137:112] + node _T_30510 = add(_T_30509, _T_30489) @[exu_mul_ctl.scala 137:112] + node _T_30511 = add(_T_30510, _T_30490) @[exu_mul_ctl.scala 137:112] + node _T_30512 = add(_T_30511, _T_30491) @[exu_mul_ctl.scala 137:112] + node _T_30513 = add(_T_30512, _T_30492) @[exu_mul_ctl.scala 137:112] + node _T_30514 = eq(_T_30513, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30515 = bits(_T_30514, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30516 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_30517 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30518 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30519 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30520 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30521 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30522 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30523 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30524 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30525 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30526 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30527 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30528 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30529 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30530 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30531 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30532 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30533 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30534 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30535 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30536 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30537 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30538 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30539 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30540 = add(_T_30517, _T_30518) @[exu_mul_ctl.scala 137:112] + node _T_30541 = add(_T_30540, _T_30519) @[exu_mul_ctl.scala 137:112] + node _T_30542 = add(_T_30541, _T_30520) @[exu_mul_ctl.scala 137:112] + node _T_30543 = add(_T_30542, _T_30521) @[exu_mul_ctl.scala 137:112] + node _T_30544 = add(_T_30543, _T_30522) @[exu_mul_ctl.scala 137:112] + node _T_30545 = add(_T_30544, _T_30523) @[exu_mul_ctl.scala 137:112] + node _T_30546 = add(_T_30545, _T_30524) @[exu_mul_ctl.scala 137:112] + node _T_30547 = add(_T_30546, _T_30525) @[exu_mul_ctl.scala 137:112] + node _T_30548 = add(_T_30547, _T_30526) @[exu_mul_ctl.scala 137:112] + node _T_30549 = add(_T_30548, _T_30527) @[exu_mul_ctl.scala 137:112] + node _T_30550 = add(_T_30549, _T_30528) @[exu_mul_ctl.scala 137:112] + node _T_30551 = add(_T_30550, _T_30529) @[exu_mul_ctl.scala 137:112] + node _T_30552 = add(_T_30551, _T_30530) @[exu_mul_ctl.scala 137:112] + node _T_30553 = add(_T_30552, _T_30531) @[exu_mul_ctl.scala 137:112] + node _T_30554 = add(_T_30553, _T_30532) @[exu_mul_ctl.scala 137:112] + node _T_30555 = add(_T_30554, _T_30533) @[exu_mul_ctl.scala 137:112] + node _T_30556 = add(_T_30555, _T_30534) @[exu_mul_ctl.scala 137:112] + node _T_30557 = add(_T_30556, _T_30535) @[exu_mul_ctl.scala 137:112] + node _T_30558 = add(_T_30557, _T_30536) @[exu_mul_ctl.scala 137:112] + node _T_30559 = add(_T_30558, _T_30537) @[exu_mul_ctl.scala 137:112] + node _T_30560 = add(_T_30559, _T_30538) @[exu_mul_ctl.scala 137:112] + node _T_30561 = add(_T_30560, _T_30539) @[exu_mul_ctl.scala 137:112] + node _T_30562 = eq(_T_30561, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30563 = bits(_T_30562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30564 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_30565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30572 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30573 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30574 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30575 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30576 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30577 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30578 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30579 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30580 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30581 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30582 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30583 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30584 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30585 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30586 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30587 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30588 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30589 = add(_T_30565, _T_30566) @[exu_mul_ctl.scala 137:112] + node _T_30590 = add(_T_30589, _T_30567) @[exu_mul_ctl.scala 137:112] + node _T_30591 = add(_T_30590, _T_30568) @[exu_mul_ctl.scala 137:112] + node _T_30592 = add(_T_30591, _T_30569) @[exu_mul_ctl.scala 137:112] + node _T_30593 = add(_T_30592, _T_30570) @[exu_mul_ctl.scala 137:112] + node _T_30594 = add(_T_30593, _T_30571) @[exu_mul_ctl.scala 137:112] + node _T_30595 = add(_T_30594, _T_30572) @[exu_mul_ctl.scala 137:112] + node _T_30596 = add(_T_30595, _T_30573) @[exu_mul_ctl.scala 137:112] + node _T_30597 = add(_T_30596, _T_30574) @[exu_mul_ctl.scala 137:112] + node _T_30598 = add(_T_30597, _T_30575) @[exu_mul_ctl.scala 137:112] + node _T_30599 = add(_T_30598, _T_30576) @[exu_mul_ctl.scala 137:112] + node _T_30600 = add(_T_30599, _T_30577) @[exu_mul_ctl.scala 137:112] + node _T_30601 = add(_T_30600, _T_30578) @[exu_mul_ctl.scala 137:112] + node _T_30602 = add(_T_30601, _T_30579) @[exu_mul_ctl.scala 137:112] + node _T_30603 = add(_T_30602, _T_30580) @[exu_mul_ctl.scala 137:112] + node _T_30604 = add(_T_30603, _T_30581) @[exu_mul_ctl.scala 137:112] + node _T_30605 = add(_T_30604, _T_30582) @[exu_mul_ctl.scala 137:112] + node _T_30606 = add(_T_30605, _T_30583) @[exu_mul_ctl.scala 137:112] + node _T_30607 = add(_T_30606, _T_30584) @[exu_mul_ctl.scala 137:112] + node _T_30608 = add(_T_30607, _T_30585) @[exu_mul_ctl.scala 137:112] + node _T_30609 = add(_T_30608, _T_30586) @[exu_mul_ctl.scala 137:112] + node _T_30610 = add(_T_30609, _T_30587) @[exu_mul_ctl.scala 137:112] + node _T_30611 = add(_T_30610, _T_30588) @[exu_mul_ctl.scala 137:112] + node _T_30612 = eq(_T_30611, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30613 = bits(_T_30612, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30614 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_30615 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30616 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30617 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30618 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30619 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30620 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30621 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30622 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30623 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30624 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30625 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30626 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30627 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30628 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30629 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30630 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30631 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30632 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30633 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30634 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30635 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30636 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30637 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30638 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30639 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30640 = add(_T_30615, _T_30616) @[exu_mul_ctl.scala 137:112] + node _T_30641 = add(_T_30640, _T_30617) @[exu_mul_ctl.scala 137:112] + node _T_30642 = add(_T_30641, _T_30618) @[exu_mul_ctl.scala 137:112] + node _T_30643 = add(_T_30642, _T_30619) @[exu_mul_ctl.scala 137:112] + node _T_30644 = add(_T_30643, _T_30620) @[exu_mul_ctl.scala 137:112] + node _T_30645 = add(_T_30644, _T_30621) @[exu_mul_ctl.scala 137:112] + node _T_30646 = add(_T_30645, _T_30622) @[exu_mul_ctl.scala 137:112] + node _T_30647 = add(_T_30646, _T_30623) @[exu_mul_ctl.scala 137:112] + node _T_30648 = add(_T_30647, _T_30624) @[exu_mul_ctl.scala 137:112] + node _T_30649 = add(_T_30648, _T_30625) @[exu_mul_ctl.scala 137:112] + node _T_30650 = add(_T_30649, _T_30626) @[exu_mul_ctl.scala 137:112] + node _T_30651 = add(_T_30650, _T_30627) @[exu_mul_ctl.scala 137:112] + node _T_30652 = add(_T_30651, _T_30628) @[exu_mul_ctl.scala 137:112] + node _T_30653 = add(_T_30652, _T_30629) @[exu_mul_ctl.scala 137:112] + node _T_30654 = add(_T_30653, _T_30630) @[exu_mul_ctl.scala 137:112] + node _T_30655 = add(_T_30654, _T_30631) @[exu_mul_ctl.scala 137:112] + node _T_30656 = add(_T_30655, _T_30632) @[exu_mul_ctl.scala 137:112] + node _T_30657 = add(_T_30656, _T_30633) @[exu_mul_ctl.scala 137:112] + node _T_30658 = add(_T_30657, _T_30634) @[exu_mul_ctl.scala 137:112] + node _T_30659 = add(_T_30658, _T_30635) @[exu_mul_ctl.scala 137:112] + node _T_30660 = add(_T_30659, _T_30636) @[exu_mul_ctl.scala 137:112] + node _T_30661 = add(_T_30660, _T_30637) @[exu_mul_ctl.scala 137:112] + node _T_30662 = add(_T_30661, _T_30638) @[exu_mul_ctl.scala 137:112] + node _T_30663 = add(_T_30662, _T_30639) @[exu_mul_ctl.scala 137:112] + node _T_30664 = eq(_T_30663, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30665 = bits(_T_30664, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30666 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_30667 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30668 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30669 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30670 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30671 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30672 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30673 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30674 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30675 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30676 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30677 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30678 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30679 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30680 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30681 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30682 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30683 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30684 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30685 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30686 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30687 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30688 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30689 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30690 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30691 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30692 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30693 = add(_T_30667, _T_30668) @[exu_mul_ctl.scala 137:112] + node _T_30694 = add(_T_30693, _T_30669) @[exu_mul_ctl.scala 137:112] + node _T_30695 = add(_T_30694, _T_30670) @[exu_mul_ctl.scala 137:112] + node _T_30696 = add(_T_30695, _T_30671) @[exu_mul_ctl.scala 137:112] + node _T_30697 = add(_T_30696, _T_30672) @[exu_mul_ctl.scala 137:112] + node _T_30698 = add(_T_30697, _T_30673) @[exu_mul_ctl.scala 137:112] + node _T_30699 = add(_T_30698, _T_30674) @[exu_mul_ctl.scala 137:112] + node _T_30700 = add(_T_30699, _T_30675) @[exu_mul_ctl.scala 137:112] + node _T_30701 = add(_T_30700, _T_30676) @[exu_mul_ctl.scala 137:112] + node _T_30702 = add(_T_30701, _T_30677) @[exu_mul_ctl.scala 137:112] + node _T_30703 = add(_T_30702, _T_30678) @[exu_mul_ctl.scala 137:112] + node _T_30704 = add(_T_30703, _T_30679) @[exu_mul_ctl.scala 137:112] + node _T_30705 = add(_T_30704, _T_30680) @[exu_mul_ctl.scala 137:112] + node _T_30706 = add(_T_30705, _T_30681) @[exu_mul_ctl.scala 137:112] + node _T_30707 = add(_T_30706, _T_30682) @[exu_mul_ctl.scala 137:112] + node _T_30708 = add(_T_30707, _T_30683) @[exu_mul_ctl.scala 137:112] + node _T_30709 = add(_T_30708, _T_30684) @[exu_mul_ctl.scala 137:112] + node _T_30710 = add(_T_30709, _T_30685) @[exu_mul_ctl.scala 137:112] + node _T_30711 = add(_T_30710, _T_30686) @[exu_mul_ctl.scala 137:112] + node _T_30712 = add(_T_30711, _T_30687) @[exu_mul_ctl.scala 137:112] + node _T_30713 = add(_T_30712, _T_30688) @[exu_mul_ctl.scala 137:112] + node _T_30714 = add(_T_30713, _T_30689) @[exu_mul_ctl.scala 137:112] + node _T_30715 = add(_T_30714, _T_30690) @[exu_mul_ctl.scala 137:112] + node _T_30716 = add(_T_30715, _T_30691) @[exu_mul_ctl.scala 137:112] + node _T_30717 = add(_T_30716, _T_30692) @[exu_mul_ctl.scala 137:112] + node _T_30718 = eq(_T_30717, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30719 = bits(_T_30718, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30720 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_30721 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30722 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30723 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30724 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30725 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30726 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30727 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30728 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30729 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30730 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30731 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30732 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30733 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30734 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30735 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30736 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30737 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30738 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30739 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30740 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30741 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30742 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30743 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30744 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30745 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30746 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30747 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_30748 = add(_T_30721, _T_30722) @[exu_mul_ctl.scala 137:112] + node _T_30749 = add(_T_30748, _T_30723) @[exu_mul_ctl.scala 137:112] + node _T_30750 = add(_T_30749, _T_30724) @[exu_mul_ctl.scala 137:112] + node _T_30751 = add(_T_30750, _T_30725) @[exu_mul_ctl.scala 137:112] + node _T_30752 = add(_T_30751, _T_30726) @[exu_mul_ctl.scala 137:112] + node _T_30753 = add(_T_30752, _T_30727) @[exu_mul_ctl.scala 137:112] + node _T_30754 = add(_T_30753, _T_30728) @[exu_mul_ctl.scala 137:112] + node _T_30755 = add(_T_30754, _T_30729) @[exu_mul_ctl.scala 137:112] + node _T_30756 = add(_T_30755, _T_30730) @[exu_mul_ctl.scala 137:112] + node _T_30757 = add(_T_30756, _T_30731) @[exu_mul_ctl.scala 137:112] + node _T_30758 = add(_T_30757, _T_30732) @[exu_mul_ctl.scala 137:112] + node _T_30759 = add(_T_30758, _T_30733) @[exu_mul_ctl.scala 137:112] + node _T_30760 = add(_T_30759, _T_30734) @[exu_mul_ctl.scala 137:112] + node _T_30761 = add(_T_30760, _T_30735) @[exu_mul_ctl.scala 137:112] + node _T_30762 = add(_T_30761, _T_30736) @[exu_mul_ctl.scala 137:112] + node _T_30763 = add(_T_30762, _T_30737) @[exu_mul_ctl.scala 137:112] + node _T_30764 = add(_T_30763, _T_30738) @[exu_mul_ctl.scala 137:112] + node _T_30765 = add(_T_30764, _T_30739) @[exu_mul_ctl.scala 137:112] + node _T_30766 = add(_T_30765, _T_30740) @[exu_mul_ctl.scala 137:112] + node _T_30767 = add(_T_30766, _T_30741) @[exu_mul_ctl.scala 137:112] + node _T_30768 = add(_T_30767, _T_30742) @[exu_mul_ctl.scala 137:112] + node _T_30769 = add(_T_30768, _T_30743) @[exu_mul_ctl.scala 137:112] + node _T_30770 = add(_T_30769, _T_30744) @[exu_mul_ctl.scala 137:112] + node _T_30771 = add(_T_30770, _T_30745) @[exu_mul_ctl.scala 137:112] + node _T_30772 = add(_T_30771, _T_30746) @[exu_mul_ctl.scala 137:112] + node _T_30773 = add(_T_30772, _T_30747) @[exu_mul_ctl.scala 137:112] + node _T_30774 = eq(_T_30773, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30775 = bits(_T_30774, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30776 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_30777 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30778 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30779 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30780 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30781 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30782 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30783 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30784 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30785 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30786 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30787 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30788 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30789 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30790 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30791 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30792 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30793 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30794 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30795 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30796 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30797 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30798 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30799 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30800 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30801 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30802 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30803 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_30804 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_30805 = add(_T_30777, _T_30778) @[exu_mul_ctl.scala 137:112] + node _T_30806 = add(_T_30805, _T_30779) @[exu_mul_ctl.scala 137:112] + node _T_30807 = add(_T_30806, _T_30780) @[exu_mul_ctl.scala 137:112] + node _T_30808 = add(_T_30807, _T_30781) @[exu_mul_ctl.scala 137:112] + node _T_30809 = add(_T_30808, _T_30782) @[exu_mul_ctl.scala 137:112] + node _T_30810 = add(_T_30809, _T_30783) @[exu_mul_ctl.scala 137:112] + node _T_30811 = add(_T_30810, _T_30784) @[exu_mul_ctl.scala 137:112] + node _T_30812 = add(_T_30811, _T_30785) @[exu_mul_ctl.scala 137:112] + node _T_30813 = add(_T_30812, _T_30786) @[exu_mul_ctl.scala 137:112] + node _T_30814 = add(_T_30813, _T_30787) @[exu_mul_ctl.scala 137:112] + node _T_30815 = add(_T_30814, _T_30788) @[exu_mul_ctl.scala 137:112] + node _T_30816 = add(_T_30815, _T_30789) @[exu_mul_ctl.scala 137:112] + node _T_30817 = add(_T_30816, _T_30790) @[exu_mul_ctl.scala 137:112] + node _T_30818 = add(_T_30817, _T_30791) @[exu_mul_ctl.scala 137:112] + node _T_30819 = add(_T_30818, _T_30792) @[exu_mul_ctl.scala 137:112] + node _T_30820 = add(_T_30819, _T_30793) @[exu_mul_ctl.scala 137:112] + node _T_30821 = add(_T_30820, _T_30794) @[exu_mul_ctl.scala 137:112] + node _T_30822 = add(_T_30821, _T_30795) @[exu_mul_ctl.scala 137:112] + node _T_30823 = add(_T_30822, _T_30796) @[exu_mul_ctl.scala 137:112] + node _T_30824 = add(_T_30823, _T_30797) @[exu_mul_ctl.scala 137:112] + node _T_30825 = add(_T_30824, _T_30798) @[exu_mul_ctl.scala 137:112] + node _T_30826 = add(_T_30825, _T_30799) @[exu_mul_ctl.scala 137:112] + node _T_30827 = add(_T_30826, _T_30800) @[exu_mul_ctl.scala 137:112] + node _T_30828 = add(_T_30827, _T_30801) @[exu_mul_ctl.scala 137:112] + node _T_30829 = add(_T_30828, _T_30802) @[exu_mul_ctl.scala 137:112] + node _T_30830 = add(_T_30829, _T_30803) @[exu_mul_ctl.scala 137:112] + node _T_30831 = add(_T_30830, _T_30804) @[exu_mul_ctl.scala 137:112] + node _T_30832 = eq(_T_30831, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30833 = bits(_T_30832, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30834 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_30835 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30836 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30837 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30838 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30839 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30840 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30841 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30842 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30843 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30844 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30845 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30846 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30847 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30848 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30849 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30850 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30851 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30852 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30853 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30854 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30855 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30856 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30857 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30858 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30859 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30860 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30861 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_30862 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_30863 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_30864 = add(_T_30835, _T_30836) @[exu_mul_ctl.scala 137:112] + node _T_30865 = add(_T_30864, _T_30837) @[exu_mul_ctl.scala 137:112] + node _T_30866 = add(_T_30865, _T_30838) @[exu_mul_ctl.scala 137:112] + node _T_30867 = add(_T_30866, _T_30839) @[exu_mul_ctl.scala 137:112] + node _T_30868 = add(_T_30867, _T_30840) @[exu_mul_ctl.scala 137:112] + node _T_30869 = add(_T_30868, _T_30841) @[exu_mul_ctl.scala 137:112] + node _T_30870 = add(_T_30869, _T_30842) @[exu_mul_ctl.scala 137:112] + node _T_30871 = add(_T_30870, _T_30843) @[exu_mul_ctl.scala 137:112] + node _T_30872 = add(_T_30871, _T_30844) @[exu_mul_ctl.scala 137:112] + node _T_30873 = add(_T_30872, _T_30845) @[exu_mul_ctl.scala 137:112] + node _T_30874 = add(_T_30873, _T_30846) @[exu_mul_ctl.scala 137:112] + node _T_30875 = add(_T_30874, _T_30847) @[exu_mul_ctl.scala 137:112] + node _T_30876 = add(_T_30875, _T_30848) @[exu_mul_ctl.scala 137:112] + node _T_30877 = add(_T_30876, _T_30849) @[exu_mul_ctl.scala 137:112] + node _T_30878 = add(_T_30877, _T_30850) @[exu_mul_ctl.scala 137:112] + node _T_30879 = add(_T_30878, _T_30851) @[exu_mul_ctl.scala 137:112] + node _T_30880 = add(_T_30879, _T_30852) @[exu_mul_ctl.scala 137:112] + node _T_30881 = add(_T_30880, _T_30853) @[exu_mul_ctl.scala 137:112] + node _T_30882 = add(_T_30881, _T_30854) @[exu_mul_ctl.scala 137:112] + node _T_30883 = add(_T_30882, _T_30855) @[exu_mul_ctl.scala 137:112] + node _T_30884 = add(_T_30883, _T_30856) @[exu_mul_ctl.scala 137:112] + node _T_30885 = add(_T_30884, _T_30857) @[exu_mul_ctl.scala 137:112] + node _T_30886 = add(_T_30885, _T_30858) @[exu_mul_ctl.scala 137:112] + node _T_30887 = add(_T_30886, _T_30859) @[exu_mul_ctl.scala 137:112] + node _T_30888 = add(_T_30887, _T_30860) @[exu_mul_ctl.scala 137:112] + node _T_30889 = add(_T_30888, _T_30861) @[exu_mul_ctl.scala 137:112] + node _T_30890 = add(_T_30889, _T_30862) @[exu_mul_ctl.scala 137:112] + node _T_30891 = add(_T_30890, _T_30863) @[exu_mul_ctl.scala 137:112] + node _T_30892 = eq(_T_30891, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30893 = bits(_T_30892, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30894 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_30895 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30896 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30897 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30898 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30899 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30900 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30901 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30902 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30903 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30904 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30905 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30906 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30907 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30908 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30909 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30910 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30911 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30912 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30913 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30914 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30915 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30916 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30917 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30918 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30919 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30920 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30921 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_30922 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_30923 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_30924 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_30925 = add(_T_30895, _T_30896) @[exu_mul_ctl.scala 137:112] + node _T_30926 = add(_T_30925, _T_30897) @[exu_mul_ctl.scala 137:112] + node _T_30927 = add(_T_30926, _T_30898) @[exu_mul_ctl.scala 137:112] + node _T_30928 = add(_T_30927, _T_30899) @[exu_mul_ctl.scala 137:112] + node _T_30929 = add(_T_30928, _T_30900) @[exu_mul_ctl.scala 137:112] + node _T_30930 = add(_T_30929, _T_30901) @[exu_mul_ctl.scala 137:112] + node _T_30931 = add(_T_30930, _T_30902) @[exu_mul_ctl.scala 137:112] + node _T_30932 = add(_T_30931, _T_30903) @[exu_mul_ctl.scala 137:112] + node _T_30933 = add(_T_30932, _T_30904) @[exu_mul_ctl.scala 137:112] + node _T_30934 = add(_T_30933, _T_30905) @[exu_mul_ctl.scala 137:112] + node _T_30935 = add(_T_30934, _T_30906) @[exu_mul_ctl.scala 137:112] + node _T_30936 = add(_T_30935, _T_30907) @[exu_mul_ctl.scala 137:112] + node _T_30937 = add(_T_30936, _T_30908) @[exu_mul_ctl.scala 137:112] + node _T_30938 = add(_T_30937, _T_30909) @[exu_mul_ctl.scala 137:112] + node _T_30939 = add(_T_30938, _T_30910) @[exu_mul_ctl.scala 137:112] + node _T_30940 = add(_T_30939, _T_30911) @[exu_mul_ctl.scala 137:112] + node _T_30941 = add(_T_30940, _T_30912) @[exu_mul_ctl.scala 137:112] + node _T_30942 = add(_T_30941, _T_30913) @[exu_mul_ctl.scala 137:112] + node _T_30943 = add(_T_30942, _T_30914) @[exu_mul_ctl.scala 137:112] + node _T_30944 = add(_T_30943, _T_30915) @[exu_mul_ctl.scala 137:112] + node _T_30945 = add(_T_30944, _T_30916) @[exu_mul_ctl.scala 137:112] + node _T_30946 = add(_T_30945, _T_30917) @[exu_mul_ctl.scala 137:112] + node _T_30947 = add(_T_30946, _T_30918) @[exu_mul_ctl.scala 137:112] + node _T_30948 = add(_T_30947, _T_30919) @[exu_mul_ctl.scala 137:112] + node _T_30949 = add(_T_30948, _T_30920) @[exu_mul_ctl.scala 137:112] + node _T_30950 = add(_T_30949, _T_30921) @[exu_mul_ctl.scala 137:112] + node _T_30951 = add(_T_30950, _T_30922) @[exu_mul_ctl.scala 137:112] + node _T_30952 = add(_T_30951, _T_30923) @[exu_mul_ctl.scala 137:112] + node _T_30953 = add(_T_30952, _T_30924) @[exu_mul_ctl.scala 137:112] + node _T_30954 = eq(_T_30953, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30955 = bits(_T_30954, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30956 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_30957 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30958 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30959 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30960 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30961 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30962 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30963 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30964 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30965 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30966 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30967 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30968 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30969 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30970 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30971 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30972 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30973 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30974 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30975 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30976 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30977 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30978 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30979 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30980 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30981 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30982 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30983 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_30984 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_30985 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_30986 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_30987 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_30988 = add(_T_30957, _T_30958) @[exu_mul_ctl.scala 137:112] + node _T_30989 = add(_T_30988, _T_30959) @[exu_mul_ctl.scala 137:112] + node _T_30990 = add(_T_30989, _T_30960) @[exu_mul_ctl.scala 137:112] + node _T_30991 = add(_T_30990, _T_30961) @[exu_mul_ctl.scala 137:112] + node _T_30992 = add(_T_30991, _T_30962) @[exu_mul_ctl.scala 137:112] + node _T_30993 = add(_T_30992, _T_30963) @[exu_mul_ctl.scala 137:112] + node _T_30994 = add(_T_30993, _T_30964) @[exu_mul_ctl.scala 137:112] + node _T_30995 = add(_T_30994, _T_30965) @[exu_mul_ctl.scala 137:112] + node _T_30996 = add(_T_30995, _T_30966) @[exu_mul_ctl.scala 137:112] + node _T_30997 = add(_T_30996, _T_30967) @[exu_mul_ctl.scala 137:112] + node _T_30998 = add(_T_30997, _T_30968) @[exu_mul_ctl.scala 137:112] + node _T_30999 = add(_T_30998, _T_30969) @[exu_mul_ctl.scala 137:112] + node _T_31000 = add(_T_30999, _T_30970) @[exu_mul_ctl.scala 137:112] + node _T_31001 = add(_T_31000, _T_30971) @[exu_mul_ctl.scala 137:112] + node _T_31002 = add(_T_31001, _T_30972) @[exu_mul_ctl.scala 137:112] + node _T_31003 = add(_T_31002, _T_30973) @[exu_mul_ctl.scala 137:112] + node _T_31004 = add(_T_31003, _T_30974) @[exu_mul_ctl.scala 137:112] + node _T_31005 = add(_T_31004, _T_30975) @[exu_mul_ctl.scala 137:112] + node _T_31006 = add(_T_31005, _T_30976) @[exu_mul_ctl.scala 137:112] + node _T_31007 = add(_T_31006, _T_30977) @[exu_mul_ctl.scala 137:112] + node _T_31008 = add(_T_31007, _T_30978) @[exu_mul_ctl.scala 137:112] + node _T_31009 = add(_T_31008, _T_30979) @[exu_mul_ctl.scala 137:112] + node _T_31010 = add(_T_31009, _T_30980) @[exu_mul_ctl.scala 137:112] + node _T_31011 = add(_T_31010, _T_30981) @[exu_mul_ctl.scala 137:112] + node _T_31012 = add(_T_31011, _T_30982) @[exu_mul_ctl.scala 137:112] + node _T_31013 = add(_T_31012, _T_30983) @[exu_mul_ctl.scala 137:112] + node _T_31014 = add(_T_31013, _T_30984) @[exu_mul_ctl.scala 137:112] + node _T_31015 = add(_T_31014, _T_30985) @[exu_mul_ctl.scala 137:112] + node _T_31016 = add(_T_31015, _T_30986) @[exu_mul_ctl.scala 137:112] + node _T_31017 = add(_T_31016, _T_30987) @[exu_mul_ctl.scala 137:112] + node _T_31018 = eq(_T_31017, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_31019 = bits(_T_31018, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31020 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_31021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31028 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31030 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31031 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31032 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31033 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31034 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31035 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31036 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31037 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31038 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31039 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31040 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31041 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31042 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31043 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31044 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31045 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_31046 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_31047 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_31048 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_31049 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_31050 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_31051 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_31052 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_31053 = add(_T_31021, _T_31022) @[exu_mul_ctl.scala 137:112] + node _T_31054 = add(_T_31053, _T_31023) @[exu_mul_ctl.scala 137:112] + node _T_31055 = add(_T_31054, _T_31024) @[exu_mul_ctl.scala 137:112] + node _T_31056 = add(_T_31055, _T_31025) @[exu_mul_ctl.scala 137:112] + node _T_31057 = add(_T_31056, _T_31026) @[exu_mul_ctl.scala 137:112] + node _T_31058 = add(_T_31057, _T_31027) @[exu_mul_ctl.scala 137:112] + node _T_31059 = add(_T_31058, _T_31028) @[exu_mul_ctl.scala 137:112] + node _T_31060 = add(_T_31059, _T_31029) @[exu_mul_ctl.scala 137:112] + node _T_31061 = add(_T_31060, _T_31030) @[exu_mul_ctl.scala 137:112] + node _T_31062 = add(_T_31061, _T_31031) @[exu_mul_ctl.scala 137:112] + node _T_31063 = add(_T_31062, _T_31032) @[exu_mul_ctl.scala 137:112] + node _T_31064 = add(_T_31063, _T_31033) @[exu_mul_ctl.scala 137:112] + node _T_31065 = add(_T_31064, _T_31034) @[exu_mul_ctl.scala 137:112] + node _T_31066 = add(_T_31065, _T_31035) @[exu_mul_ctl.scala 137:112] + node _T_31067 = add(_T_31066, _T_31036) @[exu_mul_ctl.scala 137:112] + node _T_31068 = add(_T_31067, _T_31037) @[exu_mul_ctl.scala 137:112] + node _T_31069 = add(_T_31068, _T_31038) @[exu_mul_ctl.scala 137:112] + node _T_31070 = add(_T_31069, _T_31039) @[exu_mul_ctl.scala 137:112] + node _T_31071 = add(_T_31070, _T_31040) @[exu_mul_ctl.scala 137:112] + node _T_31072 = add(_T_31071, _T_31041) @[exu_mul_ctl.scala 137:112] + node _T_31073 = add(_T_31072, _T_31042) @[exu_mul_ctl.scala 137:112] + node _T_31074 = add(_T_31073, _T_31043) @[exu_mul_ctl.scala 137:112] + node _T_31075 = add(_T_31074, _T_31044) @[exu_mul_ctl.scala 137:112] + node _T_31076 = add(_T_31075, _T_31045) @[exu_mul_ctl.scala 137:112] + node _T_31077 = add(_T_31076, _T_31046) @[exu_mul_ctl.scala 137:112] + node _T_31078 = add(_T_31077, _T_31047) @[exu_mul_ctl.scala 137:112] + node _T_31079 = add(_T_31078, _T_31048) @[exu_mul_ctl.scala 137:112] + node _T_31080 = add(_T_31079, _T_31049) @[exu_mul_ctl.scala 137:112] + node _T_31081 = add(_T_31080, _T_31050) @[exu_mul_ctl.scala 137:112] + node _T_31082 = add(_T_31081, _T_31051) @[exu_mul_ctl.scala 137:112] + node _T_31083 = add(_T_31082, _T_31052) @[exu_mul_ctl.scala 137:112] + node _T_31084 = eq(_T_31083, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_31085 = bits(_T_31084, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31086 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_31087 = mux(_T_31085, _T_31086, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_31088 = mux(_T_31019, _T_31020, _T_31087) @[Mux.scala 98:16] + node _T_31089 = mux(_T_30955, _T_30956, _T_31088) @[Mux.scala 98:16] + node _T_31090 = mux(_T_30893, _T_30894, _T_31089) @[Mux.scala 98:16] + node _T_31091 = mux(_T_30833, _T_30834, _T_31090) @[Mux.scala 98:16] + node _T_31092 = mux(_T_30775, _T_30776, _T_31091) @[Mux.scala 98:16] + node _T_31093 = mux(_T_30719, _T_30720, _T_31092) @[Mux.scala 98:16] + node _T_31094 = mux(_T_30665, _T_30666, _T_31093) @[Mux.scala 98:16] + node _T_31095 = mux(_T_30613, _T_30614, _T_31094) @[Mux.scala 98:16] + node _T_31096 = mux(_T_30563, _T_30564, _T_31095) @[Mux.scala 98:16] + node _T_31097 = mux(_T_30515, _T_30516, _T_31096) @[Mux.scala 98:16] + node _T_31098 = mux(_T_30469, _T_30470, _T_31097) @[Mux.scala 98:16] + node _T_31099 = mux(_T_30425, _T_30426, _T_31098) @[Mux.scala 98:16] + node _T_31100 = mux(_T_30383, _T_30384, _T_31099) @[Mux.scala 98:16] + node _T_31101 = mux(_T_30343, _T_30344, _T_31100) @[Mux.scala 98:16] + node _T_31102 = mux(_T_30305, _T_30306, _T_31101) @[Mux.scala 98:16] + node _T_31103 = mux(_T_30269, _T_30270, _T_31102) @[Mux.scala 98:16] + node _T_31104 = mux(_T_30235, _T_30236, _T_31103) @[Mux.scala 98:16] + node _T_31105 = mux(_T_30203, _T_30204, _T_31104) @[Mux.scala 98:16] + node _T_31106 = mux(_T_30173, _T_30174, _T_31105) @[Mux.scala 98:16] + node _T_31107 = mux(_T_30145, _T_30146, _T_31106) @[Mux.scala 98:16] + node _T_31108 = mux(_T_30119, _T_30120, _T_31107) @[Mux.scala 98:16] + node _T_31109 = mux(_T_30095, _T_30096, _T_31108) @[Mux.scala 98:16] + node _T_31110 = mux(_T_30073, _T_30074, _T_31109) @[Mux.scala 98:16] + node _T_31111 = mux(_T_30053, _T_30054, _T_31110) @[Mux.scala 98:16] + node _T_31112 = mux(_T_30035, _T_30036, _T_31111) @[Mux.scala 98:16] + node _T_31113 = mux(_T_30019, _T_30020, _T_31112) @[Mux.scala 98:16] + node _T_31114 = mux(_T_30005, _T_30006, _T_31113) @[Mux.scala 98:16] + node _T_31115 = mux(_T_29993, _T_29994, _T_31114) @[Mux.scala 98:16] + node _T_31116 = mux(_T_29983, _T_29984, _T_31115) @[Mux.scala 98:16] + node _T_31117 = mux(_T_29975, _T_29976, _T_31116) @[Mux.scala 98:16] + node _T_31118 = mux(_T_29969, _T_29970, _T_31117) @[Mux.scala 98:16] + node _T_31119 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_31120 = eq(_T_31119, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31121 = bits(_T_31120, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31122 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_31123 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31124 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31125 = add(_T_31123, _T_31124) @[exu_mul_ctl.scala 137:112] + node _T_31126 = eq(_T_31125, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31127 = bits(_T_31126, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31128 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_31129 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31130 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31131 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31132 = add(_T_31129, _T_31130) @[exu_mul_ctl.scala 137:112] + node _T_31133 = add(_T_31132, _T_31131) @[exu_mul_ctl.scala 137:112] + node _T_31134 = eq(_T_31133, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31135 = bits(_T_31134, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31136 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_31137 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31138 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31139 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31140 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31141 = add(_T_31137, _T_31138) @[exu_mul_ctl.scala 137:112] + node _T_31142 = add(_T_31141, _T_31139) @[exu_mul_ctl.scala 137:112] + node _T_31143 = add(_T_31142, _T_31140) @[exu_mul_ctl.scala 137:112] + node _T_31144 = eq(_T_31143, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31145 = bits(_T_31144, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31146 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_31147 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31148 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31149 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31150 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31151 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31152 = add(_T_31147, _T_31148) @[exu_mul_ctl.scala 137:112] + node _T_31153 = add(_T_31152, _T_31149) @[exu_mul_ctl.scala 137:112] + node _T_31154 = add(_T_31153, _T_31150) @[exu_mul_ctl.scala 137:112] + node _T_31155 = add(_T_31154, _T_31151) @[exu_mul_ctl.scala 137:112] + node _T_31156 = eq(_T_31155, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31157 = bits(_T_31156, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31158 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_31159 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31160 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31161 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31162 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31163 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31164 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31165 = add(_T_31159, _T_31160) @[exu_mul_ctl.scala 137:112] + node _T_31166 = add(_T_31165, _T_31161) @[exu_mul_ctl.scala 137:112] + node _T_31167 = add(_T_31166, _T_31162) @[exu_mul_ctl.scala 137:112] + node _T_31168 = add(_T_31167, _T_31163) @[exu_mul_ctl.scala 137:112] + node _T_31169 = add(_T_31168, _T_31164) @[exu_mul_ctl.scala 137:112] + node _T_31170 = eq(_T_31169, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31171 = bits(_T_31170, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31172 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_31173 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31174 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31175 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31176 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31177 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31178 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31179 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31180 = add(_T_31173, _T_31174) @[exu_mul_ctl.scala 137:112] + node _T_31181 = add(_T_31180, _T_31175) @[exu_mul_ctl.scala 137:112] + node _T_31182 = add(_T_31181, _T_31176) @[exu_mul_ctl.scala 137:112] + node _T_31183 = add(_T_31182, _T_31177) @[exu_mul_ctl.scala 137:112] + node _T_31184 = add(_T_31183, _T_31178) @[exu_mul_ctl.scala 137:112] + node _T_31185 = add(_T_31184, _T_31179) @[exu_mul_ctl.scala 137:112] + node _T_31186 = eq(_T_31185, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31187 = bits(_T_31186, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31188 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_31189 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31190 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31191 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31192 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31193 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31194 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31195 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31196 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31197 = add(_T_31189, _T_31190) @[exu_mul_ctl.scala 137:112] + node _T_31198 = add(_T_31197, _T_31191) @[exu_mul_ctl.scala 137:112] + node _T_31199 = add(_T_31198, _T_31192) @[exu_mul_ctl.scala 137:112] + node _T_31200 = add(_T_31199, _T_31193) @[exu_mul_ctl.scala 137:112] + node _T_31201 = add(_T_31200, _T_31194) @[exu_mul_ctl.scala 137:112] + node _T_31202 = add(_T_31201, _T_31195) @[exu_mul_ctl.scala 137:112] + node _T_31203 = add(_T_31202, _T_31196) @[exu_mul_ctl.scala 137:112] + node _T_31204 = eq(_T_31203, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31205 = bits(_T_31204, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31206 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_31207 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31208 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31209 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31210 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31211 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31212 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31213 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31214 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31215 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31216 = add(_T_31207, _T_31208) @[exu_mul_ctl.scala 137:112] + node _T_31217 = add(_T_31216, _T_31209) @[exu_mul_ctl.scala 137:112] + node _T_31218 = add(_T_31217, _T_31210) @[exu_mul_ctl.scala 137:112] + node _T_31219 = add(_T_31218, _T_31211) @[exu_mul_ctl.scala 137:112] + node _T_31220 = add(_T_31219, _T_31212) @[exu_mul_ctl.scala 137:112] + node _T_31221 = add(_T_31220, _T_31213) @[exu_mul_ctl.scala 137:112] + node _T_31222 = add(_T_31221, _T_31214) @[exu_mul_ctl.scala 137:112] + node _T_31223 = add(_T_31222, _T_31215) @[exu_mul_ctl.scala 137:112] + node _T_31224 = eq(_T_31223, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31225 = bits(_T_31224, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31226 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_31227 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31228 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31229 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31230 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31231 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31232 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31233 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31234 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31235 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31236 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31237 = add(_T_31227, _T_31228) @[exu_mul_ctl.scala 137:112] + node _T_31238 = add(_T_31237, _T_31229) @[exu_mul_ctl.scala 137:112] + node _T_31239 = add(_T_31238, _T_31230) @[exu_mul_ctl.scala 137:112] + node _T_31240 = add(_T_31239, _T_31231) @[exu_mul_ctl.scala 137:112] + node _T_31241 = add(_T_31240, _T_31232) @[exu_mul_ctl.scala 137:112] + node _T_31242 = add(_T_31241, _T_31233) @[exu_mul_ctl.scala 137:112] + node _T_31243 = add(_T_31242, _T_31234) @[exu_mul_ctl.scala 137:112] + node _T_31244 = add(_T_31243, _T_31235) @[exu_mul_ctl.scala 137:112] + node _T_31245 = add(_T_31244, _T_31236) @[exu_mul_ctl.scala 137:112] + node _T_31246 = eq(_T_31245, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31247 = bits(_T_31246, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31248 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_31249 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31250 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31251 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31252 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31253 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31254 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31255 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31256 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31257 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31258 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31259 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31260 = add(_T_31249, _T_31250) @[exu_mul_ctl.scala 137:112] + node _T_31261 = add(_T_31260, _T_31251) @[exu_mul_ctl.scala 137:112] + node _T_31262 = add(_T_31261, _T_31252) @[exu_mul_ctl.scala 137:112] + node _T_31263 = add(_T_31262, _T_31253) @[exu_mul_ctl.scala 137:112] + node _T_31264 = add(_T_31263, _T_31254) @[exu_mul_ctl.scala 137:112] + node _T_31265 = add(_T_31264, _T_31255) @[exu_mul_ctl.scala 137:112] + node _T_31266 = add(_T_31265, _T_31256) @[exu_mul_ctl.scala 137:112] + node _T_31267 = add(_T_31266, _T_31257) @[exu_mul_ctl.scala 137:112] + node _T_31268 = add(_T_31267, _T_31258) @[exu_mul_ctl.scala 137:112] + node _T_31269 = add(_T_31268, _T_31259) @[exu_mul_ctl.scala 137:112] + node _T_31270 = eq(_T_31269, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31271 = bits(_T_31270, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31272 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_31273 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31274 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31275 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31276 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31277 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31278 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31279 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31280 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31281 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31282 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31283 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31284 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31285 = add(_T_31273, _T_31274) @[exu_mul_ctl.scala 137:112] + node _T_31286 = add(_T_31285, _T_31275) @[exu_mul_ctl.scala 137:112] + node _T_31287 = add(_T_31286, _T_31276) @[exu_mul_ctl.scala 137:112] + node _T_31288 = add(_T_31287, _T_31277) @[exu_mul_ctl.scala 137:112] + node _T_31289 = add(_T_31288, _T_31278) @[exu_mul_ctl.scala 137:112] + node _T_31290 = add(_T_31289, _T_31279) @[exu_mul_ctl.scala 137:112] + node _T_31291 = add(_T_31290, _T_31280) @[exu_mul_ctl.scala 137:112] + node _T_31292 = add(_T_31291, _T_31281) @[exu_mul_ctl.scala 137:112] + node _T_31293 = add(_T_31292, _T_31282) @[exu_mul_ctl.scala 137:112] + node _T_31294 = add(_T_31293, _T_31283) @[exu_mul_ctl.scala 137:112] + node _T_31295 = add(_T_31294, _T_31284) @[exu_mul_ctl.scala 137:112] + node _T_31296 = eq(_T_31295, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31297 = bits(_T_31296, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31298 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_31299 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31300 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31301 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31302 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31303 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31304 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31305 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31306 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31307 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31308 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31309 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31310 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31311 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31312 = add(_T_31299, _T_31300) @[exu_mul_ctl.scala 137:112] + node _T_31313 = add(_T_31312, _T_31301) @[exu_mul_ctl.scala 137:112] + node _T_31314 = add(_T_31313, _T_31302) @[exu_mul_ctl.scala 137:112] + node _T_31315 = add(_T_31314, _T_31303) @[exu_mul_ctl.scala 137:112] + node _T_31316 = add(_T_31315, _T_31304) @[exu_mul_ctl.scala 137:112] + node _T_31317 = add(_T_31316, _T_31305) @[exu_mul_ctl.scala 137:112] + node _T_31318 = add(_T_31317, _T_31306) @[exu_mul_ctl.scala 137:112] + node _T_31319 = add(_T_31318, _T_31307) @[exu_mul_ctl.scala 137:112] + node _T_31320 = add(_T_31319, _T_31308) @[exu_mul_ctl.scala 137:112] + node _T_31321 = add(_T_31320, _T_31309) @[exu_mul_ctl.scala 137:112] + node _T_31322 = add(_T_31321, _T_31310) @[exu_mul_ctl.scala 137:112] + node _T_31323 = add(_T_31322, _T_31311) @[exu_mul_ctl.scala 137:112] + node _T_31324 = eq(_T_31323, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31325 = bits(_T_31324, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31326 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_31327 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31328 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31329 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31330 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31331 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31332 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31333 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31334 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31335 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31336 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31337 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31338 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31339 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31340 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31341 = add(_T_31327, _T_31328) @[exu_mul_ctl.scala 137:112] + node _T_31342 = add(_T_31341, _T_31329) @[exu_mul_ctl.scala 137:112] + node _T_31343 = add(_T_31342, _T_31330) @[exu_mul_ctl.scala 137:112] + node _T_31344 = add(_T_31343, _T_31331) @[exu_mul_ctl.scala 137:112] + node _T_31345 = add(_T_31344, _T_31332) @[exu_mul_ctl.scala 137:112] + node _T_31346 = add(_T_31345, _T_31333) @[exu_mul_ctl.scala 137:112] + node _T_31347 = add(_T_31346, _T_31334) @[exu_mul_ctl.scala 137:112] + node _T_31348 = add(_T_31347, _T_31335) @[exu_mul_ctl.scala 137:112] + node _T_31349 = add(_T_31348, _T_31336) @[exu_mul_ctl.scala 137:112] + node _T_31350 = add(_T_31349, _T_31337) @[exu_mul_ctl.scala 137:112] + node _T_31351 = add(_T_31350, _T_31338) @[exu_mul_ctl.scala 137:112] + node _T_31352 = add(_T_31351, _T_31339) @[exu_mul_ctl.scala 137:112] + node _T_31353 = add(_T_31352, _T_31340) @[exu_mul_ctl.scala 137:112] + node _T_31354 = eq(_T_31353, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31355 = bits(_T_31354, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31356 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_31357 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31358 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31359 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31360 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31361 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31362 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31363 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31364 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31365 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31366 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31367 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31368 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31369 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31370 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31371 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31372 = add(_T_31357, _T_31358) @[exu_mul_ctl.scala 137:112] + node _T_31373 = add(_T_31372, _T_31359) @[exu_mul_ctl.scala 137:112] + node _T_31374 = add(_T_31373, _T_31360) @[exu_mul_ctl.scala 137:112] + node _T_31375 = add(_T_31374, _T_31361) @[exu_mul_ctl.scala 137:112] + node _T_31376 = add(_T_31375, _T_31362) @[exu_mul_ctl.scala 137:112] + node _T_31377 = add(_T_31376, _T_31363) @[exu_mul_ctl.scala 137:112] + node _T_31378 = add(_T_31377, _T_31364) @[exu_mul_ctl.scala 137:112] + node _T_31379 = add(_T_31378, _T_31365) @[exu_mul_ctl.scala 137:112] + node _T_31380 = add(_T_31379, _T_31366) @[exu_mul_ctl.scala 137:112] + node _T_31381 = add(_T_31380, _T_31367) @[exu_mul_ctl.scala 137:112] + node _T_31382 = add(_T_31381, _T_31368) @[exu_mul_ctl.scala 137:112] + node _T_31383 = add(_T_31382, _T_31369) @[exu_mul_ctl.scala 137:112] + node _T_31384 = add(_T_31383, _T_31370) @[exu_mul_ctl.scala 137:112] + node _T_31385 = add(_T_31384, _T_31371) @[exu_mul_ctl.scala 137:112] + node _T_31386 = eq(_T_31385, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31387 = bits(_T_31386, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31388 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_31389 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31390 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31391 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31392 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31393 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31394 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31395 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31396 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31397 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31398 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31399 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31400 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31401 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31402 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31403 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31404 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31405 = add(_T_31389, _T_31390) @[exu_mul_ctl.scala 137:112] + node _T_31406 = add(_T_31405, _T_31391) @[exu_mul_ctl.scala 137:112] + node _T_31407 = add(_T_31406, _T_31392) @[exu_mul_ctl.scala 137:112] + node _T_31408 = add(_T_31407, _T_31393) @[exu_mul_ctl.scala 137:112] + node _T_31409 = add(_T_31408, _T_31394) @[exu_mul_ctl.scala 137:112] + node _T_31410 = add(_T_31409, _T_31395) @[exu_mul_ctl.scala 137:112] + node _T_31411 = add(_T_31410, _T_31396) @[exu_mul_ctl.scala 137:112] + node _T_31412 = add(_T_31411, _T_31397) @[exu_mul_ctl.scala 137:112] + node _T_31413 = add(_T_31412, _T_31398) @[exu_mul_ctl.scala 137:112] + node _T_31414 = add(_T_31413, _T_31399) @[exu_mul_ctl.scala 137:112] + node _T_31415 = add(_T_31414, _T_31400) @[exu_mul_ctl.scala 137:112] + node _T_31416 = add(_T_31415, _T_31401) @[exu_mul_ctl.scala 137:112] + node _T_31417 = add(_T_31416, _T_31402) @[exu_mul_ctl.scala 137:112] + node _T_31418 = add(_T_31417, _T_31403) @[exu_mul_ctl.scala 137:112] + node _T_31419 = add(_T_31418, _T_31404) @[exu_mul_ctl.scala 137:112] + node _T_31420 = eq(_T_31419, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31421 = bits(_T_31420, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31422 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_31423 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31424 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31425 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31426 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31427 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31428 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31429 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31430 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31431 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31432 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31433 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31434 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31435 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31436 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31437 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31438 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31439 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31440 = add(_T_31423, _T_31424) @[exu_mul_ctl.scala 137:112] + node _T_31441 = add(_T_31440, _T_31425) @[exu_mul_ctl.scala 137:112] + node _T_31442 = add(_T_31441, _T_31426) @[exu_mul_ctl.scala 137:112] + node _T_31443 = add(_T_31442, _T_31427) @[exu_mul_ctl.scala 137:112] + node _T_31444 = add(_T_31443, _T_31428) @[exu_mul_ctl.scala 137:112] + node _T_31445 = add(_T_31444, _T_31429) @[exu_mul_ctl.scala 137:112] + node _T_31446 = add(_T_31445, _T_31430) @[exu_mul_ctl.scala 137:112] + node _T_31447 = add(_T_31446, _T_31431) @[exu_mul_ctl.scala 137:112] + node _T_31448 = add(_T_31447, _T_31432) @[exu_mul_ctl.scala 137:112] + node _T_31449 = add(_T_31448, _T_31433) @[exu_mul_ctl.scala 137:112] + node _T_31450 = add(_T_31449, _T_31434) @[exu_mul_ctl.scala 137:112] + node _T_31451 = add(_T_31450, _T_31435) @[exu_mul_ctl.scala 137:112] + node _T_31452 = add(_T_31451, _T_31436) @[exu_mul_ctl.scala 137:112] + node _T_31453 = add(_T_31452, _T_31437) @[exu_mul_ctl.scala 137:112] + node _T_31454 = add(_T_31453, _T_31438) @[exu_mul_ctl.scala 137:112] + node _T_31455 = add(_T_31454, _T_31439) @[exu_mul_ctl.scala 137:112] + node _T_31456 = eq(_T_31455, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31457 = bits(_T_31456, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31458 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_31459 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31460 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31461 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31462 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31463 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31464 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31465 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31466 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31467 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31468 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31469 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31470 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31471 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31472 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31473 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31474 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31475 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31476 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31477 = add(_T_31459, _T_31460) @[exu_mul_ctl.scala 137:112] + node _T_31478 = add(_T_31477, _T_31461) @[exu_mul_ctl.scala 137:112] + node _T_31479 = add(_T_31478, _T_31462) @[exu_mul_ctl.scala 137:112] + node _T_31480 = add(_T_31479, _T_31463) @[exu_mul_ctl.scala 137:112] + node _T_31481 = add(_T_31480, _T_31464) @[exu_mul_ctl.scala 137:112] + node _T_31482 = add(_T_31481, _T_31465) @[exu_mul_ctl.scala 137:112] + node _T_31483 = add(_T_31482, _T_31466) @[exu_mul_ctl.scala 137:112] + node _T_31484 = add(_T_31483, _T_31467) @[exu_mul_ctl.scala 137:112] + node _T_31485 = add(_T_31484, _T_31468) @[exu_mul_ctl.scala 137:112] + node _T_31486 = add(_T_31485, _T_31469) @[exu_mul_ctl.scala 137:112] + node _T_31487 = add(_T_31486, _T_31470) @[exu_mul_ctl.scala 137:112] + node _T_31488 = add(_T_31487, _T_31471) @[exu_mul_ctl.scala 137:112] + node _T_31489 = add(_T_31488, _T_31472) @[exu_mul_ctl.scala 137:112] + node _T_31490 = add(_T_31489, _T_31473) @[exu_mul_ctl.scala 137:112] + node _T_31491 = add(_T_31490, _T_31474) @[exu_mul_ctl.scala 137:112] + node _T_31492 = add(_T_31491, _T_31475) @[exu_mul_ctl.scala 137:112] + node _T_31493 = add(_T_31492, _T_31476) @[exu_mul_ctl.scala 137:112] + node _T_31494 = eq(_T_31493, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31495 = bits(_T_31494, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31496 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_31497 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31498 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31499 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31500 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31501 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31502 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31503 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31504 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31505 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31506 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31507 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31508 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31509 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31510 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31511 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31512 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31513 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31514 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31515 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31516 = add(_T_31497, _T_31498) @[exu_mul_ctl.scala 137:112] + node _T_31517 = add(_T_31516, _T_31499) @[exu_mul_ctl.scala 137:112] + node _T_31518 = add(_T_31517, _T_31500) @[exu_mul_ctl.scala 137:112] + node _T_31519 = add(_T_31518, _T_31501) @[exu_mul_ctl.scala 137:112] + node _T_31520 = add(_T_31519, _T_31502) @[exu_mul_ctl.scala 137:112] + node _T_31521 = add(_T_31520, _T_31503) @[exu_mul_ctl.scala 137:112] + node _T_31522 = add(_T_31521, _T_31504) @[exu_mul_ctl.scala 137:112] + node _T_31523 = add(_T_31522, _T_31505) @[exu_mul_ctl.scala 137:112] + node _T_31524 = add(_T_31523, _T_31506) @[exu_mul_ctl.scala 137:112] + node _T_31525 = add(_T_31524, _T_31507) @[exu_mul_ctl.scala 137:112] + node _T_31526 = add(_T_31525, _T_31508) @[exu_mul_ctl.scala 137:112] + node _T_31527 = add(_T_31526, _T_31509) @[exu_mul_ctl.scala 137:112] + node _T_31528 = add(_T_31527, _T_31510) @[exu_mul_ctl.scala 137:112] + node _T_31529 = add(_T_31528, _T_31511) @[exu_mul_ctl.scala 137:112] + node _T_31530 = add(_T_31529, _T_31512) @[exu_mul_ctl.scala 137:112] + node _T_31531 = add(_T_31530, _T_31513) @[exu_mul_ctl.scala 137:112] + node _T_31532 = add(_T_31531, _T_31514) @[exu_mul_ctl.scala 137:112] + node _T_31533 = add(_T_31532, _T_31515) @[exu_mul_ctl.scala 137:112] + node _T_31534 = eq(_T_31533, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31535 = bits(_T_31534, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31536 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_31537 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31538 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31539 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31540 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31541 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31542 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31543 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31544 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31545 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31546 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31547 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31548 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31549 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31550 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31551 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31552 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31553 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31554 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31555 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31556 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31557 = add(_T_31537, _T_31538) @[exu_mul_ctl.scala 137:112] + node _T_31558 = add(_T_31557, _T_31539) @[exu_mul_ctl.scala 137:112] + node _T_31559 = add(_T_31558, _T_31540) @[exu_mul_ctl.scala 137:112] + node _T_31560 = add(_T_31559, _T_31541) @[exu_mul_ctl.scala 137:112] + node _T_31561 = add(_T_31560, _T_31542) @[exu_mul_ctl.scala 137:112] + node _T_31562 = add(_T_31561, _T_31543) @[exu_mul_ctl.scala 137:112] + node _T_31563 = add(_T_31562, _T_31544) @[exu_mul_ctl.scala 137:112] + node _T_31564 = add(_T_31563, _T_31545) @[exu_mul_ctl.scala 137:112] + node _T_31565 = add(_T_31564, _T_31546) @[exu_mul_ctl.scala 137:112] + node _T_31566 = add(_T_31565, _T_31547) @[exu_mul_ctl.scala 137:112] + node _T_31567 = add(_T_31566, _T_31548) @[exu_mul_ctl.scala 137:112] + node _T_31568 = add(_T_31567, _T_31549) @[exu_mul_ctl.scala 137:112] + node _T_31569 = add(_T_31568, _T_31550) @[exu_mul_ctl.scala 137:112] + node _T_31570 = add(_T_31569, _T_31551) @[exu_mul_ctl.scala 137:112] + node _T_31571 = add(_T_31570, _T_31552) @[exu_mul_ctl.scala 137:112] + node _T_31572 = add(_T_31571, _T_31553) @[exu_mul_ctl.scala 137:112] + node _T_31573 = add(_T_31572, _T_31554) @[exu_mul_ctl.scala 137:112] + node _T_31574 = add(_T_31573, _T_31555) @[exu_mul_ctl.scala 137:112] + node _T_31575 = add(_T_31574, _T_31556) @[exu_mul_ctl.scala 137:112] + node _T_31576 = eq(_T_31575, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31577 = bits(_T_31576, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31578 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_31579 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31580 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31581 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31582 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31583 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31584 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31585 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31586 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31587 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31588 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31589 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31590 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31591 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31592 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31593 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31594 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31595 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31596 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31597 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31598 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31599 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31600 = add(_T_31579, _T_31580) @[exu_mul_ctl.scala 137:112] + node _T_31601 = add(_T_31600, _T_31581) @[exu_mul_ctl.scala 137:112] + node _T_31602 = add(_T_31601, _T_31582) @[exu_mul_ctl.scala 137:112] + node _T_31603 = add(_T_31602, _T_31583) @[exu_mul_ctl.scala 137:112] + node _T_31604 = add(_T_31603, _T_31584) @[exu_mul_ctl.scala 137:112] + node _T_31605 = add(_T_31604, _T_31585) @[exu_mul_ctl.scala 137:112] + node _T_31606 = add(_T_31605, _T_31586) @[exu_mul_ctl.scala 137:112] + node _T_31607 = add(_T_31606, _T_31587) @[exu_mul_ctl.scala 137:112] + node _T_31608 = add(_T_31607, _T_31588) @[exu_mul_ctl.scala 137:112] + node _T_31609 = add(_T_31608, _T_31589) @[exu_mul_ctl.scala 137:112] + node _T_31610 = add(_T_31609, _T_31590) @[exu_mul_ctl.scala 137:112] + node _T_31611 = add(_T_31610, _T_31591) @[exu_mul_ctl.scala 137:112] + node _T_31612 = add(_T_31611, _T_31592) @[exu_mul_ctl.scala 137:112] + node _T_31613 = add(_T_31612, _T_31593) @[exu_mul_ctl.scala 137:112] + node _T_31614 = add(_T_31613, _T_31594) @[exu_mul_ctl.scala 137:112] + node _T_31615 = add(_T_31614, _T_31595) @[exu_mul_ctl.scala 137:112] + node _T_31616 = add(_T_31615, _T_31596) @[exu_mul_ctl.scala 137:112] + node _T_31617 = add(_T_31616, _T_31597) @[exu_mul_ctl.scala 137:112] + node _T_31618 = add(_T_31617, _T_31598) @[exu_mul_ctl.scala 137:112] + node _T_31619 = add(_T_31618, _T_31599) @[exu_mul_ctl.scala 137:112] + node _T_31620 = eq(_T_31619, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31621 = bits(_T_31620, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31622 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_31623 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31624 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31625 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31626 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31627 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31628 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31629 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31630 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31631 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31632 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31633 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31634 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31635 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31636 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31637 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31638 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31639 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31640 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31641 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31642 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31643 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31644 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31645 = add(_T_31623, _T_31624) @[exu_mul_ctl.scala 137:112] + node _T_31646 = add(_T_31645, _T_31625) @[exu_mul_ctl.scala 137:112] + node _T_31647 = add(_T_31646, _T_31626) @[exu_mul_ctl.scala 137:112] + node _T_31648 = add(_T_31647, _T_31627) @[exu_mul_ctl.scala 137:112] + node _T_31649 = add(_T_31648, _T_31628) @[exu_mul_ctl.scala 137:112] + node _T_31650 = add(_T_31649, _T_31629) @[exu_mul_ctl.scala 137:112] + node _T_31651 = add(_T_31650, _T_31630) @[exu_mul_ctl.scala 137:112] + node _T_31652 = add(_T_31651, _T_31631) @[exu_mul_ctl.scala 137:112] + node _T_31653 = add(_T_31652, _T_31632) @[exu_mul_ctl.scala 137:112] + node _T_31654 = add(_T_31653, _T_31633) @[exu_mul_ctl.scala 137:112] + node _T_31655 = add(_T_31654, _T_31634) @[exu_mul_ctl.scala 137:112] + node _T_31656 = add(_T_31655, _T_31635) @[exu_mul_ctl.scala 137:112] + node _T_31657 = add(_T_31656, _T_31636) @[exu_mul_ctl.scala 137:112] + node _T_31658 = add(_T_31657, _T_31637) @[exu_mul_ctl.scala 137:112] + node _T_31659 = add(_T_31658, _T_31638) @[exu_mul_ctl.scala 137:112] + node _T_31660 = add(_T_31659, _T_31639) @[exu_mul_ctl.scala 137:112] + node _T_31661 = add(_T_31660, _T_31640) @[exu_mul_ctl.scala 137:112] + node _T_31662 = add(_T_31661, _T_31641) @[exu_mul_ctl.scala 137:112] + node _T_31663 = add(_T_31662, _T_31642) @[exu_mul_ctl.scala 137:112] + node _T_31664 = add(_T_31663, _T_31643) @[exu_mul_ctl.scala 137:112] + node _T_31665 = add(_T_31664, _T_31644) @[exu_mul_ctl.scala 137:112] + node _T_31666 = eq(_T_31665, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31667 = bits(_T_31666, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31668 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_31669 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31670 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31671 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31672 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31673 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31674 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31675 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31676 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31677 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31678 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31679 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31680 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31681 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31682 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31683 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31684 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31685 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31686 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31687 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31688 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31689 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31690 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31691 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31692 = add(_T_31669, _T_31670) @[exu_mul_ctl.scala 137:112] + node _T_31693 = add(_T_31692, _T_31671) @[exu_mul_ctl.scala 137:112] + node _T_31694 = add(_T_31693, _T_31672) @[exu_mul_ctl.scala 137:112] + node _T_31695 = add(_T_31694, _T_31673) @[exu_mul_ctl.scala 137:112] + node _T_31696 = add(_T_31695, _T_31674) @[exu_mul_ctl.scala 137:112] + node _T_31697 = add(_T_31696, _T_31675) @[exu_mul_ctl.scala 137:112] + node _T_31698 = add(_T_31697, _T_31676) @[exu_mul_ctl.scala 137:112] + node _T_31699 = add(_T_31698, _T_31677) @[exu_mul_ctl.scala 137:112] + node _T_31700 = add(_T_31699, _T_31678) @[exu_mul_ctl.scala 137:112] + node _T_31701 = add(_T_31700, _T_31679) @[exu_mul_ctl.scala 137:112] + node _T_31702 = add(_T_31701, _T_31680) @[exu_mul_ctl.scala 137:112] + node _T_31703 = add(_T_31702, _T_31681) @[exu_mul_ctl.scala 137:112] + node _T_31704 = add(_T_31703, _T_31682) @[exu_mul_ctl.scala 137:112] + node _T_31705 = add(_T_31704, _T_31683) @[exu_mul_ctl.scala 137:112] + node _T_31706 = add(_T_31705, _T_31684) @[exu_mul_ctl.scala 137:112] + node _T_31707 = add(_T_31706, _T_31685) @[exu_mul_ctl.scala 137:112] + node _T_31708 = add(_T_31707, _T_31686) @[exu_mul_ctl.scala 137:112] + node _T_31709 = add(_T_31708, _T_31687) @[exu_mul_ctl.scala 137:112] + node _T_31710 = add(_T_31709, _T_31688) @[exu_mul_ctl.scala 137:112] + node _T_31711 = add(_T_31710, _T_31689) @[exu_mul_ctl.scala 137:112] + node _T_31712 = add(_T_31711, _T_31690) @[exu_mul_ctl.scala 137:112] + node _T_31713 = add(_T_31712, _T_31691) @[exu_mul_ctl.scala 137:112] + node _T_31714 = eq(_T_31713, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31715 = bits(_T_31714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31716 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_31717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31724 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31725 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31726 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31727 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31728 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31729 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31730 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31731 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31732 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31733 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31734 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31735 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31736 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31737 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31738 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31739 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31740 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31741 = add(_T_31717, _T_31718) @[exu_mul_ctl.scala 137:112] + node _T_31742 = add(_T_31741, _T_31719) @[exu_mul_ctl.scala 137:112] + node _T_31743 = add(_T_31742, _T_31720) @[exu_mul_ctl.scala 137:112] + node _T_31744 = add(_T_31743, _T_31721) @[exu_mul_ctl.scala 137:112] + node _T_31745 = add(_T_31744, _T_31722) @[exu_mul_ctl.scala 137:112] + node _T_31746 = add(_T_31745, _T_31723) @[exu_mul_ctl.scala 137:112] + node _T_31747 = add(_T_31746, _T_31724) @[exu_mul_ctl.scala 137:112] + node _T_31748 = add(_T_31747, _T_31725) @[exu_mul_ctl.scala 137:112] + node _T_31749 = add(_T_31748, _T_31726) @[exu_mul_ctl.scala 137:112] + node _T_31750 = add(_T_31749, _T_31727) @[exu_mul_ctl.scala 137:112] + node _T_31751 = add(_T_31750, _T_31728) @[exu_mul_ctl.scala 137:112] + node _T_31752 = add(_T_31751, _T_31729) @[exu_mul_ctl.scala 137:112] + node _T_31753 = add(_T_31752, _T_31730) @[exu_mul_ctl.scala 137:112] + node _T_31754 = add(_T_31753, _T_31731) @[exu_mul_ctl.scala 137:112] + node _T_31755 = add(_T_31754, _T_31732) @[exu_mul_ctl.scala 137:112] + node _T_31756 = add(_T_31755, _T_31733) @[exu_mul_ctl.scala 137:112] + node _T_31757 = add(_T_31756, _T_31734) @[exu_mul_ctl.scala 137:112] + node _T_31758 = add(_T_31757, _T_31735) @[exu_mul_ctl.scala 137:112] + node _T_31759 = add(_T_31758, _T_31736) @[exu_mul_ctl.scala 137:112] + node _T_31760 = add(_T_31759, _T_31737) @[exu_mul_ctl.scala 137:112] + node _T_31761 = add(_T_31760, _T_31738) @[exu_mul_ctl.scala 137:112] + node _T_31762 = add(_T_31761, _T_31739) @[exu_mul_ctl.scala 137:112] + node _T_31763 = add(_T_31762, _T_31740) @[exu_mul_ctl.scala 137:112] + node _T_31764 = eq(_T_31763, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31765 = bits(_T_31764, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31766 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_31767 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31768 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31769 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31770 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31771 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31772 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31773 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31774 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31775 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31776 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31777 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31778 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31779 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31780 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31781 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31782 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31783 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31784 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31785 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31786 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31787 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31788 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31789 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31790 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31791 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_31792 = add(_T_31767, _T_31768) @[exu_mul_ctl.scala 137:112] + node _T_31793 = add(_T_31792, _T_31769) @[exu_mul_ctl.scala 137:112] + node _T_31794 = add(_T_31793, _T_31770) @[exu_mul_ctl.scala 137:112] + node _T_31795 = add(_T_31794, _T_31771) @[exu_mul_ctl.scala 137:112] + node _T_31796 = add(_T_31795, _T_31772) @[exu_mul_ctl.scala 137:112] + node _T_31797 = add(_T_31796, _T_31773) @[exu_mul_ctl.scala 137:112] + node _T_31798 = add(_T_31797, _T_31774) @[exu_mul_ctl.scala 137:112] + node _T_31799 = add(_T_31798, _T_31775) @[exu_mul_ctl.scala 137:112] + node _T_31800 = add(_T_31799, _T_31776) @[exu_mul_ctl.scala 137:112] + node _T_31801 = add(_T_31800, _T_31777) @[exu_mul_ctl.scala 137:112] + node _T_31802 = add(_T_31801, _T_31778) @[exu_mul_ctl.scala 137:112] + node _T_31803 = add(_T_31802, _T_31779) @[exu_mul_ctl.scala 137:112] + node _T_31804 = add(_T_31803, _T_31780) @[exu_mul_ctl.scala 137:112] + node _T_31805 = add(_T_31804, _T_31781) @[exu_mul_ctl.scala 137:112] + node _T_31806 = add(_T_31805, _T_31782) @[exu_mul_ctl.scala 137:112] + node _T_31807 = add(_T_31806, _T_31783) @[exu_mul_ctl.scala 137:112] + node _T_31808 = add(_T_31807, _T_31784) @[exu_mul_ctl.scala 137:112] + node _T_31809 = add(_T_31808, _T_31785) @[exu_mul_ctl.scala 137:112] + node _T_31810 = add(_T_31809, _T_31786) @[exu_mul_ctl.scala 137:112] + node _T_31811 = add(_T_31810, _T_31787) @[exu_mul_ctl.scala 137:112] + node _T_31812 = add(_T_31811, _T_31788) @[exu_mul_ctl.scala 137:112] + node _T_31813 = add(_T_31812, _T_31789) @[exu_mul_ctl.scala 137:112] + node _T_31814 = add(_T_31813, _T_31790) @[exu_mul_ctl.scala 137:112] + node _T_31815 = add(_T_31814, _T_31791) @[exu_mul_ctl.scala 137:112] + node _T_31816 = eq(_T_31815, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31817 = bits(_T_31816, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31818 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_31819 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31820 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31821 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31822 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31823 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31824 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31825 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31826 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31827 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31828 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31829 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31830 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31831 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31832 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31833 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31834 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31835 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31836 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31837 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31838 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31839 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31840 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31841 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31842 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31843 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_31844 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_31845 = add(_T_31819, _T_31820) @[exu_mul_ctl.scala 137:112] + node _T_31846 = add(_T_31845, _T_31821) @[exu_mul_ctl.scala 137:112] + node _T_31847 = add(_T_31846, _T_31822) @[exu_mul_ctl.scala 137:112] + node _T_31848 = add(_T_31847, _T_31823) @[exu_mul_ctl.scala 137:112] + node _T_31849 = add(_T_31848, _T_31824) @[exu_mul_ctl.scala 137:112] + node _T_31850 = add(_T_31849, _T_31825) @[exu_mul_ctl.scala 137:112] + node _T_31851 = add(_T_31850, _T_31826) @[exu_mul_ctl.scala 137:112] + node _T_31852 = add(_T_31851, _T_31827) @[exu_mul_ctl.scala 137:112] + node _T_31853 = add(_T_31852, _T_31828) @[exu_mul_ctl.scala 137:112] + node _T_31854 = add(_T_31853, _T_31829) @[exu_mul_ctl.scala 137:112] + node _T_31855 = add(_T_31854, _T_31830) @[exu_mul_ctl.scala 137:112] + node _T_31856 = add(_T_31855, _T_31831) @[exu_mul_ctl.scala 137:112] + node _T_31857 = add(_T_31856, _T_31832) @[exu_mul_ctl.scala 137:112] + node _T_31858 = add(_T_31857, _T_31833) @[exu_mul_ctl.scala 137:112] + node _T_31859 = add(_T_31858, _T_31834) @[exu_mul_ctl.scala 137:112] + node _T_31860 = add(_T_31859, _T_31835) @[exu_mul_ctl.scala 137:112] + node _T_31861 = add(_T_31860, _T_31836) @[exu_mul_ctl.scala 137:112] + node _T_31862 = add(_T_31861, _T_31837) @[exu_mul_ctl.scala 137:112] + node _T_31863 = add(_T_31862, _T_31838) @[exu_mul_ctl.scala 137:112] + node _T_31864 = add(_T_31863, _T_31839) @[exu_mul_ctl.scala 137:112] + node _T_31865 = add(_T_31864, _T_31840) @[exu_mul_ctl.scala 137:112] + node _T_31866 = add(_T_31865, _T_31841) @[exu_mul_ctl.scala 137:112] + node _T_31867 = add(_T_31866, _T_31842) @[exu_mul_ctl.scala 137:112] + node _T_31868 = add(_T_31867, _T_31843) @[exu_mul_ctl.scala 137:112] + node _T_31869 = add(_T_31868, _T_31844) @[exu_mul_ctl.scala 137:112] + node _T_31870 = eq(_T_31869, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31871 = bits(_T_31870, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31872 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_31873 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31874 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31875 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31876 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31877 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31878 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31879 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31880 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31881 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31882 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31883 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31884 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31885 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31886 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31887 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31888 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31889 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31890 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31891 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31892 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31893 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31894 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31895 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31896 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31897 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_31898 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_31899 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_31900 = add(_T_31873, _T_31874) @[exu_mul_ctl.scala 137:112] + node _T_31901 = add(_T_31900, _T_31875) @[exu_mul_ctl.scala 137:112] + node _T_31902 = add(_T_31901, _T_31876) @[exu_mul_ctl.scala 137:112] + node _T_31903 = add(_T_31902, _T_31877) @[exu_mul_ctl.scala 137:112] + node _T_31904 = add(_T_31903, _T_31878) @[exu_mul_ctl.scala 137:112] + node _T_31905 = add(_T_31904, _T_31879) @[exu_mul_ctl.scala 137:112] + node _T_31906 = add(_T_31905, _T_31880) @[exu_mul_ctl.scala 137:112] + node _T_31907 = add(_T_31906, _T_31881) @[exu_mul_ctl.scala 137:112] + node _T_31908 = add(_T_31907, _T_31882) @[exu_mul_ctl.scala 137:112] + node _T_31909 = add(_T_31908, _T_31883) @[exu_mul_ctl.scala 137:112] + node _T_31910 = add(_T_31909, _T_31884) @[exu_mul_ctl.scala 137:112] + node _T_31911 = add(_T_31910, _T_31885) @[exu_mul_ctl.scala 137:112] + node _T_31912 = add(_T_31911, _T_31886) @[exu_mul_ctl.scala 137:112] + node _T_31913 = add(_T_31912, _T_31887) @[exu_mul_ctl.scala 137:112] + node _T_31914 = add(_T_31913, _T_31888) @[exu_mul_ctl.scala 137:112] + node _T_31915 = add(_T_31914, _T_31889) @[exu_mul_ctl.scala 137:112] + node _T_31916 = add(_T_31915, _T_31890) @[exu_mul_ctl.scala 137:112] + node _T_31917 = add(_T_31916, _T_31891) @[exu_mul_ctl.scala 137:112] + node _T_31918 = add(_T_31917, _T_31892) @[exu_mul_ctl.scala 137:112] + node _T_31919 = add(_T_31918, _T_31893) @[exu_mul_ctl.scala 137:112] + node _T_31920 = add(_T_31919, _T_31894) @[exu_mul_ctl.scala 137:112] + node _T_31921 = add(_T_31920, _T_31895) @[exu_mul_ctl.scala 137:112] + node _T_31922 = add(_T_31921, _T_31896) @[exu_mul_ctl.scala 137:112] + node _T_31923 = add(_T_31922, _T_31897) @[exu_mul_ctl.scala 137:112] + node _T_31924 = add(_T_31923, _T_31898) @[exu_mul_ctl.scala 137:112] + node _T_31925 = add(_T_31924, _T_31899) @[exu_mul_ctl.scala 137:112] + node _T_31926 = eq(_T_31925, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31927 = bits(_T_31926, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31928 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_31929 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31930 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31931 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31932 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31933 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31934 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31935 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31936 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31937 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31938 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31939 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31940 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31941 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31942 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31943 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31944 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31945 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31946 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31947 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31948 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31949 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31950 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31951 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31952 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31953 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_31954 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_31955 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_31956 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_31957 = add(_T_31929, _T_31930) @[exu_mul_ctl.scala 137:112] + node _T_31958 = add(_T_31957, _T_31931) @[exu_mul_ctl.scala 137:112] + node _T_31959 = add(_T_31958, _T_31932) @[exu_mul_ctl.scala 137:112] + node _T_31960 = add(_T_31959, _T_31933) @[exu_mul_ctl.scala 137:112] + node _T_31961 = add(_T_31960, _T_31934) @[exu_mul_ctl.scala 137:112] + node _T_31962 = add(_T_31961, _T_31935) @[exu_mul_ctl.scala 137:112] + node _T_31963 = add(_T_31962, _T_31936) @[exu_mul_ctl.scala 137:112] + node _T_31964 = add(_T_31963, _T_31937) @[exu_mul_ctl.scala 137:112] + node _T_31965 = add(_T_31964, _T_31938) @[exu_mul_ctl.scala 137:112] + node _T_31966 = add(_T_31965, _T_31939) @[exu_mul_ctl.scala 137:112] + node _T_31967 = add(_T_31966, _T_31940) @[exu_mul_ctl.scala 137:112] + node _T_31968 = add(_T_31967, _T_31941) @[exu_mul_ctl.scala 137:112] + node _T_31969 = add(_T_31968, _T_31942) @[exu_mul_ctl.scala 137:112] + node _T_31970 = add(_T_31969, _T_31943) @[exu_mul_ctl.scala 137:112] + node _T_31971 = add(_T_31970, _T_31944) @[exu_mul_ctl.scala 137:112] + node _T_31972 = add(_T_31971, _T_31945) @[exu_mul_ctl.scala 137:112] + node _T_31973 = add(_T_31972, _T_31946) @[exu_mul_ctl.scala 137:112] + node _T_31974 = add(_T_31973, _T_31947) @[exu_mul_ctl.scala 137:112] + node _T_31975 = add(_T_31974, _T_31948) @[exu_mul_ctl.scala 137:112] + node _T_31976 = add(_T_31975, _T_31949) @[exu_mul_ctl.scala 137:112] + node _T_31977 = add(_T_31976, _T_31950) @[exu_mul_ctl.scala 137:112] + node _T_31978 = add(_T_31977, _T_31951) @[exu_mul_ctl.scala 137:112] + node _T_31979 = add(_T_31978, _T_31952) @[exu_mul_ctl.scala 137:112] + node _T_31980 = add(_T_31979, _T_31953) @[exu_mul_ctl.scala 137:112] + node _T_31981 = add(_T_31980, _T_31954) @[exu_mul_ctl.scala 137:112] + node _T_31982 = add(_T_31981, _T_31955) @[exu_mul_ctl.scala 137:112] + node _T_31983 = add(_T_31982, _T_31956) @[exu_mul_ctl.scala 137:112] + node _T_31984 = eq(_T_31983, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31985 = bits(_T_31984, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31986 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_31987 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31988 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31989 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31990 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31991 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31992 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31993 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31994 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31995 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31996 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31997 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31998 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31999 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32000 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32001 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32002 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32003 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32004 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32005 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32006 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32007 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32008 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32009 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32010 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32011 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32012 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_32013 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_32014 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_32015 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_32016 = add(_T_31987, _T_31988) @[exu_mul_ctl.scala 137:112] + node _T_32017 = add(_T_32016, _T_31989) @[exu_mul_ctl.scala 137:112] + node _T_32018 = add(_T_32017, _T_31990) @[exu_mul_ctl.scala 137:112] + node _T_32019 = add(_T_32018, _T_31991) @[exu_mul_ctl.scala 137:112] + node _T_32020 = add(_T_32019, _T_31992) @[exu_mul_ctl.scala 137:112] + node _T_32021 = add(_T_32020, _T_31993) @[exu_mul_ctl.scala 137:112] + node _T_32022 = add(_T_32021, _T_31994) @[exu_mul_ctl.scala 137:112] + node _T_32023 = add(_T_32022, _T_31995) @[exu_mul_ctl.scala 137:112] + node _T_32024 = add(_T_32023, _T_31996) @[exu_mul_ctl.scala 137:112] + node _T_32025 = add(_T_32024, _T_31997) @[exu_mul_ctl.scala 137:112] + node _T_32026 = add(_T_32025, _T_31998) @[exu_mul_ctl.scala 137:112] + node _T_32027 = add(_T_32026, _T_31999) @[exu_mul_ctl.scala 137:112] + node _T_32028 = add(_T_32027, _T_32000) @[exu_mul_ctl.scala 137:112] + node _T_32029 = add(_T_32028, _T_32001) @[exu_mul_ctl.scala 137:112] + node _T_32030 = add(_T_32029, _T_32002) @[exu_mul_ctl.scala 137:112] + node _T_32031 = add(_T_32030, _T_32003) @[exu_mul_ctl.scala 137:112] + node _T_32032 = add(_T_32031, _T_32004) @[exu_mul_ctl.scala 137:112] + node _T_32033 = add(_T_32032, _T_32005) @[exu_mul_ctl.scala 137:112] + node _T_32034 = add(_T_32033, _T_32006) @[exu_mul_ctl.scala 137:112] + node _T_32035 = add(_T_32034, _T_32007) @[exu_mul_ctl.scala 137:112] + node _T_32036 = add(_T_32035, _T_32008) @[exu_mul_ctl.scala 137:112] + node _T_32037 = add(_T_32036, _T_32009) @[exu_mul_ctl.scala 137:112] + node _T_32038 = add(_T_32037, _T_32010) @[exu_mul_ctl.scala 137:112] + node _T_32039 = add(_T_32038, _T_32011) @[exu_mul_ctl.scala 137:112] + node _T_32040 = add(_T_32039, _T_32012) @[exu_mul_ctl.scala 137:112] + node _T_32041 = add(_T_32040, _T_32013) @[exu_mul_ctl.scala 137:112] + node _T_32042 = add(_T_32041, _T_32014) @[exu_mul_ctl.scala 137:112] + node _T_32043 = add(_T_32042, _T_32015) @[exu_mul_ctl.scala 137:112] + node _T_32044 = eq(_T_32043, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_32045 = bits(_T_32044, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32046 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_32047 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32048 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32049 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32050 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32051 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32052 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32053 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32054 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32055 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32056 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32057 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32058 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32059 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32060 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32061 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32062 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32063 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32064 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32065 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32066 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32067 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32068 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32069 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32070 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32071 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32072 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_32073 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_32074 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_32075 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_32076 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_32077 = add(_T_32047, _T_32048) @[exu_mul_ctl.scala 137:112] + node _T_32078 = add(_T_32077, _T_32049) @[exu_mul_ctl.scala 137:112] + node _T_32079 = add(_T_32078, _T_32050) @[exu_mul_ctl.scala 137:112] + node _T_32080 = add(_T_32079, _T_32051) @[exu_mul_ctl.scala 137:112] + node _T_32081 = add(_T_32080, _T_32052) @[exu_mul_ctl.scala 137:112] + node _T_32082 = add(_T_32081, _T_32053) @[exu_mul_ctl.scala 137:112] + node _T_32083 = add(_T_32082, _T_32054) @[exu_mul_ctl.scala 137:112] + node _T_32084 = add(_T_32083, _T_32055) @[exu_mul_ctl.scala 137:112] + node _T_32085 = add(_T_32084, _T_32056) @[exu_mul_ctl.scala 137:112] + node _T_32086 = add(_T_32085, _T_32057) @[exu_mul_ctl.scala 137:112] + node _T_32087 = add(_T_32086, _T_32058) @[exu_mul_ctl.scala 137:112] + node _T_32088 = add(_T_32087, _T_32059) @[exu_mul_ctl.scala 137:112] + node _T_32089 = add(_T_32088, _T_32060) @[exu_mul_ctl.scala 137:112] + node _T_32090 = add(_T_32089, _T_32061) @[exu_mul_ctl.scala 137:112] + node _T_32091 = add(_T_32090, _T_32062) @[exu_mul_ctl.scala 137:112] + node _T_32092 = add(_T_32091, _T_32063) @[exu_mul_ctl.scala 137:112] + node _T_32093 = add(_T_32092, _T_32064) @[exu_mul_ctl.scala 137:112] + node _T_32094 = add(_T_32093, _T_32065) @[exu_mul_ctl.scala 137:112] + node _T_32095 = add(_T_32094, _T_32066) @[exu_mul_ctl.scala 137:112] + node _T_32096 = add(_T_32095, _T_32067) @[exu_mul_ctl.scala 137:112] + node _T_32097 = add(_T_32096, _T_32068) @[exu_mul_ctl.scala 137:112] + node _T_32098 = add(_T_32097, _T_32069) @[exu_mul_ctl.scala 137:112] + node _T_32099 = add(_T_32098, _T_32070) @[exu_mul_ctl.scala 137:112] + node _T_32100 = add(_T_32099, _T_32071) @[exu_mul_ctl.scala 137:112] + node _T_32101 = add(_T_32100, _T_32072) @[exu_mul_ctl.scala 137:112] + node _T_32102 = add(_T_32101, _T_32073) @[exu_mul_ctl.scala 137:112] + node _T_32103 = add(_T_32102, _T_32074) @[exu_mul_ctl.scala 137:112] + node _T_32104 = add(_T_32103, _T_32075) @[exu_mul_ctl.scala 137:112] + node _T_32105 = add(_T_32104, _T_32076) @[exu_mul_ctl.scala 137:112] + node _T_32106 = eq(_T_32105, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_32107 = bits(_T_32106, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32108 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_32109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32116 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32117 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32118 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32119 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32120 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32121 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32122 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32123 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32124 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32125 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32126 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32127 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32128 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32129 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32130 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32131 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32132 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32133 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32134 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_32135 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_32136 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_32137 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_32138 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_32139 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_32140 = add(_T_32109, _T_32110) @[exu_mul_ctl.scala 137:112] + node _T_32141 = add(_T_32140, _T_32111) @[exu_mul_ctl.scala 137:112] + node _T_32142 = add(_T_32141, _T_32112) @[exu_mul_ctl.scala 137:112] + node _T_32143 = add(_T_32142, _T_32113) @[exu_mul_ctl.scala 137:112] + node _T_32144 = add(_T_32143, _T_32114) @[exu_mul_ctl.scala 137:112] + node _T_32145 = add(_T_32144, _T_32115) @[exu_mul_ctl.scala 137:112] + node _T_32146 = add(_T_32145, _T_32116) @[exu_mul_ctl.scala 137:112] + node _T_32147 = add(_T_32146, _T_32117) @[exu_mul_ctl.scala 137:112] + node _T_32148 = add(_T_32147, _T_32118) @[exu_mul_ctl.scala 137:112] + node _T_32149 = add(_T_32148, _T_32119) @[exu_mul_ctl.scala 137:112] + node _T_32150 = add(_T_32149, _T_32120) @[exu_mul_ctl.scala 137:112] + node _T_32151 = add(_T_32150, _T_32121) @[exu_mul_ctl.scala 137:112] + node _T_32152 = add(_T_32151, _T_32122) @[exu_mul_ctl.scala 137:112] + node _T_32153 = add(_T_32152, _T_32123) @[exu_mul_ctl.scala 137:112] + node _T_32154 = add(_T_32153, _T_32124) @[exu_mul_ctl.scala 137:112] + node _T_32155 = add(_T_32154, _T_32125) @[exu_mul_ctl.scala 137:112] + node _T_32156 = add(_T_32155, _T_32126) @[exu_mul_ctl.scala 137:112] + node _T_32157 = add(_T_32156, _T_32127) @[exu_mul_ctl.scala 137:112] + node _T_32158 = add(_T_32157, _T_32128) @[exu_mul_ctl.scala 137:112] + node _T_32159 = add(_T_32158, _T_32129) @[exu_mul_ctl.scala 137:112] + node _T_32160 = add(_T_32159, _T_32130) @[exu_mul_ctl.scala 137:112] + node _T_32161 = add(_T_32160, _T_32131) @[exu_mul_ctl.scala 137:112] + node _T_32162 = add(_T_32161, _T_32132) @[exu_mul_ctl.scala 137:112] + node _T_32163 = add(_T_32162, _T_32133) @[exu_mul_ctl.scala 137:112] + node _T_32164 = add(_T_32163, _T_32134) @[exu_mul_ctl.scala 137:112] + node _T_32165 = add(_T_32164, _T_32135) @[exu_mul_ctl.scala 137:112] + node _T_32166 = add(_T_32165, _T_32136) @[exu_mul_ctl.scala 137:112] + node _T_32167 = add(_T_32166, _T_32137) @[exu_mul_ctl.scala 137:112] + node _T_32168 = add(_T_32167, _T_32138) @[exu_mul_ctl.scala 137:112] + node _T_32169 = add(_T_32168, _T_32139) @[exu_mul_ctl.scala 137:112] + node _T_32170 = eq(_T_32169, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_32171 = bits(_T_32170, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32172 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_32173 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32174 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32175 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32176 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32177 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32178 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32179 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32180 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32181 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32182 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32183 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32184 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32185 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32186 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32187 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32188 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32189 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32190 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32191 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32192 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32193 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32194 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32195 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32196 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32197 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32198 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_32199 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_32200 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_32201 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_32202 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_32203 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_32204 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_32205 = add(_T_32173, _T_32174) @[exu_mul_ctl.scala 137:112] + node _T_32206 = add(_T_32205, _T_32175) @[exu_mul_ctl.scala 137:112] + node _T_32207 = add(_T_32206, _T_32176) @[exu_mul_ctl.scala 137:112] + node _T_32208 = add(_T_32207, _T_32177) @[exu_mul_ctl.scala 137:112] + node _T_32209 = add(_T_32208, _T_32178) @[exu_mul_ctl.scala 137:112] + node _T_32210 = add(_T_32209, _T_32179) @[exu_mul_ctl.scala 137:112] + node _T_32211 = add(_T_32210, _T_32180) @[exu_mul_ctl.scala 137:112] + node _T_32212 = add(_T_32211, _T_32181) @[exu_mul_ctl.scala 137:112] + node _T_32213 = add(_T_32212, _T_32182) @[exu_mul_ctl.scala 137:112] + node _T_32214 = add(_T_32213, _T_32183) @[exu_mul_ctl.scala 137:112] + node _T_32215 = add(_T_32214, _T_32184) @[exu_mul_ctl.scala 137:112] + node _T_32216 = add(_T_32215, _T_32185) @[exu_mul_ctl.scala 137:112] + node _T_32217 = add(_T_32216, _T_32186) @[exu_mul_ctl.scala 137:112] + node _T_32218 = add(_T_32217, _T_32187) @[exu_mul_ctl.scala 137:112] + node _T_32219 = add(_T_32218, _T_32188) @[exu_mul_ctl.scala 137:112] + node _T_32220 = add(_T_32219, _T_32189) @[exu_mul_ctl.scala 137:112] + node _T_32221 = add(_T_32220, _T_32190) @[exu_mul_ctl.scala 137:112] + node _T_32222 = add(_T_32221, _T_32191) @[exu_mul_ctl.scala 137:112] + node _T_32223 = add(_T_32222, _T_32192) @[exu_mul_ctl.scala 137:112] + node _T_32224 = add(_T_32223, _T_32193) @[exu_mul_ctl.scala 137:112] + node _T_32225 = add(_T_32224, _T_32194) @[exu_mul_ctl.scala 137:112] + node _T_32226 = add(_T_32225, _T_32195) @[exu_mul_ctl.scala 137:112] + node _T_32227 = add(_T_32226, _T_32196) @[exu_mul_ctl.scala 137:112] + node _T_32228 = add(_T_32227, _T_32197) @[exu_mul_ctl.scala 137:112] + node _T_32229 = add(_T_32228, _T_32198) @[exu_mul_ctl.scala 137:112] + node _T_32230 = add(_T_32229, _T_32199) @[exu_mul_ctl.scala 137:112] + node _T_32231 = add(_T_32230, _T_32200) @[exu_mul_ctl.scala 137:112] + node _T_32232 = add(_T_32231, _T_32201) @[exu_mul_ctl.scala 137:112] + node _T_32233 = add(_T_32232, _T_32202) @[exu_mul_ctl.scala 137:112] + node _T_32234 = add(_T_32233, _T_32203) @[exu_mul_ctl.scala 137:112] + node _T_32235 = add(_T_32234, _T_32204) @[exu_mul_ctl.scala 137:112] + node _T_32236 = eq(_T_32235, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_32237 = bits(_T_32236, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32238 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_32239 = mux(_T_32237, _T_32238, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_32240 = mux(_T_32171, _T_32172, _T_32239) @[Mux.scala 98:16] + node _T_32241 = mux(_T_32107, _T_32108, _T_32240) @[Mux.scala 98:16] + node _T_32242 = mux(_T_32045, _T_32046, _T_32241) @[Mux.scala 98:16] + node _T_32243 = mux(_T_31985, _T_31986, _T_32242) @[Mux.scala 98:16] + node _T_32244 = mux(_T_31927, _T_31928, _T_32243) @[Mux.scala 98:16] + node _T_32245 = mux(_T_31871, _T_31872, _T_32244) @[Mux.scala 98:16] + node _T_32246 = mux(_T_31817, _T_31818, _T_32245) @[Mux.scala 98:16] + node _T_32247 = mux(_T_31765, _T_31766, _T_32246) @[Mux.scala 98:16] + node _T_32248 = mux(_T_31715, _T_31716, _T_32247) @[Mux.scala 98:16] + node _T_32249 = mux(_T_31667, _T_31668, _T_32248) @[Mux.scala 98:16] + node _T_32250 = mux(_T_31621, _T_31622, _T_32249) @[Mux.scala 98:16] + node _T_32251 = mux(_T_31577, _T_31578, _T_32250) @[Mux.scala 98:16] + node _T_32252 = mux(_T_31535, _T_31536, _T_32251) @[Mux.scala 98:16] + node _T_32253 = mux(_T_31495, _T_31496, _T_32252) @[Mux.scala 98:16] + node _T_32254 = mux(_T_31457, _T_31458, _T_32253) @[Mux.scala 98:16] + node _T_32255 = mux(_T_31421, _T_31422, _T_32254) @[Mux.scala 98:16] + node _T_32256 = mux(_T_31387, _T_31388, _T_32255) @[Mux.scala 98:16] + node _T_32257 = mux(_T_31355, _T_31356, _T_32256) @[Mux.scala 98:16] + node _T_32258 = mux(_T_31325, _T_31326, _T_32257) @[Mux.scala 98:16] + node _T_32259 = mux(_T_31297, _T_31298, _T_32258) @[Mux.scala 98:16] + node _T_32260 = mux(_T_31271, _T_31272, _T_32259) @[Mux.scala 98:16] + node _T_32261 = mux(_T_31247, _T_31248, _T_32260) @[Mux.scala 98:16] + node _T_32262 = mux(_T_31225, _T_31226, _T_32261) @[Mux.scala 98:16] + node _T_32263 = mux(_T_31205, _T_31206, _T_32262) @[Mux.scala 98:16] + node _T_32264 = mux(_T_31187, _T_31188, _T_32263) @[Mux.scala 98:16] + node _T_32265 = mux(_T_31171, _T_31172, _T_32264) @[Mux.scala 98:16] + node _T_32266 = mux(_T_31157, _T_31158, _T_32265) @[Mux.scala 98:16] + node _T_32267 = mux(_T_31145, _T_31146, _T_32266) @[Mux.scala 98:16] + node _T_32268 = mux(_T_31135, _T_31136, _T_32267) @[Mux.scala 98:16] + node _T_32269 = mux(_T_31127, _T_31128, _T_32268) @[Mux.scala 98:16] + node _T_32270 = mux(_T_31121, _T_31122, _T_32269) @[Mux.scala 98:16] + node _T_32271 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_32272 = eq(_T_32271, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32273 = bits(_T_32272, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32274 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_32275 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32276 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32277 = add(_T_32275, _T_32276) @[exu_mul_ctl.scala 137:112] + node _T_32278 = eq(_T_32277, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32279 = bits(_T_32278, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32280 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_32281 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32282 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32283 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32284 = add(_T_32281, _T_32282) @[exu_mul_ctl.scala 137:112] + node _T_32285 = add(_T_32284, _T_32283) @[exu_mul_ctl.scala 137:112] + node _T_32286 = eq(_T_32285, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32287 = bits(_T_32286, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32288 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_32289 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32290 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32291 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32292 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32293 = add(_T_32289, _T_32290) @[exu_mul_ctl.scala 137:112] + node _T_32294 = add(_T_32293, _T_32291) @[exu_mul_ctl.scala 137:112] + node _T_32295 = add(_T_32294, _T_32292) @[exu_mul_ctl.scala 137:112] + node _T_32296 = eq(_T_32295, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32297 = bits(_T_32296, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32298 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_32299 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32300 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32301 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32302 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32303 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32304 = add(_T_32299, _T_32300) @[exu_mul_ctl.scala 137:112] + node _T_32305 = add(_T_32304, _T_32301) @[exu_mul_ctl.scala 137:112] + node _T_32306 = add(_T_32305, _T_32302) @[exu_mul_ctl.scala 137:112] + node _T_32307 = add(_T_32306, _T_32303) @[exu_mul_ctl.scala 137:112] + node _T_32308 = eq(_T_32307, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32309 = bits(_T_32308, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32310 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_32311 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32312 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32313 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32314 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32315 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32316 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32317 = add(_T_32311, _T_32312) @[exu_mul_ctl.scala 137:112] + node _T_32318 = add(_T_32317, _T_32313) @[exu_mul_ctl.scala 137:112] + node _T_32319 = add(_T_32318, _T_32314) @[exu_mul_ctl.scala 137:112] + node _T_32320 = add(_T_32319, _T_32315) @[exu_mul_ctl.scala 137:112] + node _T_32321 = add(_T_32320, _T_32316) @[exu_mul_ctl.scala 137:112] + node _T_32322 = eq(_T_32321, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32323 = bits(_T_32322, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32324 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_32325 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32326 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32327 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32328 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32329 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32330 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32331 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32332 = add(_T_32325, _T_32326) @[exu_mul_ctl.scala 137:112] + node _T_32333 = add(_T_32332, _T_32327) @[exu_mul_ctl.scala 137:112] + node _T_32334 = add(_T_32333, _T_32328) @[exu_mul_ctl.scala 137:112] + node _T_32335 = add(_T_32334, _T_32329) @[exu_mul_ctl.scala 137:112] + node _T_32336 = add(_T_32335, _T_32330) @[exu_mul_ctl.scala 137:112] + node _T_32337 = add(_T_32336, _T_32331) @[exu_mul_ctl.scala 137:112] + node _T_32338 = eq(_T_32337, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32339 = bits(_T_32338, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32340 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_32341 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32342 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32343 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32344 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32345 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32346 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32347 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32348 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32349 = add(_T_32341, _T_32342) @[exu_mul_ctl.scala 137:112] + node _T_32350 = add(_T_32349, _T_32343) @[exu_mul_ctl.scala 137:112] + node _T_32351 = add(_T_32350, _T_32344) @[exu_mul_ctl.scala 137:112] + node _T_32352 = add(_T_32351, _T_32345) @[exu_mul_ctl.scala 137:112] + node _T_32353 = add(_T_32352, _T_32346) @[exu_mul_ctl.scala 137:112] + node _T_32354 = add(_T_32353, _T_32347) @[exu_mul_ctl.scala 137:112] + node _T_32355 = add(_T_32354, _T_32348) @[exu_mul_ctl.scala 137:112] + node _T_32356 = eq(_T_32355, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32357 = bits(_T_32356, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32358 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_32359 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32360 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32361 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32362 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32363 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32364 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32365 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32366 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32367 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32368 = add(_T_32359, _T_32360) @[exu_mul_ctl.scala 137:112] + node _T_32369 = add(_T_32368, _T_32361) @[exu_mul_ctl.scala 137:112] + node _T_32370 = add(_T_32369, _T_32362) @[exu_mul_ctl.scala 137:112] + node _T_32371 = add(_T_32370, _T_32363) @[exu_mul_ctl.scala 137:112] + node _T_32372 = add(_T_32371, _T_32364) @[exu_mul_ctl.scala 137:112] + node _T_32373 = add(_T_32372, _T_32365) @[exu_mul_ctl.scala 137:112] + node _T_32374 = add(_T_32373, _T_32366) @[exu_mul_ctl.scala 137:112] + node _T_32375 = add(_T_32374, _T_32367) @[exu_mul_ctl.scala 137:112] + node _T_32376 = eq(_T_32375, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32377 = bits(_T_32376, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32378 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_32379 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32380 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32381 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32382 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32383 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32384 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32385 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32386 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32387 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32388 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32389 = add(_T_32379, _T_32380) @[exu_mul_ctl.scala 137:112] + node _T_32390 = add(_T_32389, _T_32381) @[exu_mul_ctl.scala 137:112] + node _T_32391 = add(_T_32390, _T_32382) @[exu_mul_ctl.scala 137:112] + node _T_32392 = add(_T_32391, _T_32383) @[exu_mul_ctl.scala 137:112] + node _T_32393 = add(_T_32392, _T_32384) @[exu_mul_ctl.scala 137:112] + node _T_32394 = add(_T_32393, _T_32385) @[exu_mul_ctl.scala 137:112] + node _T_32395 = add(_T_32394, _T_32386) @[exu_mul_ctl.scala 137:112] + node _T_32396 = add(_T_32395, _T_32387) @[exu_mul_ctl.scala 137:112] + node _T_32397 = add(_T_32396, _T_32388) @[exu_mul_ctl.scala 137:112] + node _T_32398 = eq(_T_32397, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32399 = bits(_T_32398, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32400 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_32401 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32402 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32403 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32404 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32405 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32406 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32407 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32408 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32409 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32410 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32411 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32412 = add(_T_32401, _T_32402) @[exu_mul_ctl.scala 137:112] + node _T_32413 = add(_T_32412, _T_32403) @[exu_mul_ctl.scala 137:112] + node _T_32414 = add(_T_32413, _T_32404) @[exu_mul_ctl.scala 137:112] + node _T_32415 = add(_T_32414, _T_32405) @[exu_mul_ctl.scala 137:112] + node _T_32416 = add(_T_32415, _T_32406) @[exu_mul_ctl.scala 137:112] + node _T_32417 = add(_T_32416, _T_32407) @[exu_mul_ctl.scala 137:112] + node _T_32418 = add(_T_32417, _T_32408) @[exu_mul_ctl.scala 137:112] + node _T_32419 = add(_T_32418, _T_32409) @[exu_mul_ctl.scala 137:112] + node _T_32420 = add(_T_32419, _T_32410) @[exu_mul_ctl.scala 137:112] + node _T_32421 = add(_T_32420, _T_32411) @[exu_mul_ctl.scala 137:112] + node _T_32422 = eq(_T_32421, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32423 = bits(_T_32422, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32424 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_32425 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32426 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32427 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32428 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32429 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32430 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32431 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32432 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32433 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32434 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32435 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32436 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32437 = add(_T_32425, _T_32426) @[exu_mul_ctl.scala 137:112] + node _T_32438 = add(_T_32437, _T_32427) @[exu_mul_ctl.scala 137:112] + node _T_32439 = add(_T_32438, _T_32428) @[exu_mul_ctl.scala 137:112] + node _T_32440 = add(_T_32439, _T_32429) @[exu_mul_ctl.scala 137:112] + node _T_32441 = add(_T_32440, _T_32430) @[exu_mul_ctl.scala 137:112] + node _T_32442 = add(_T_32441, _T_32431) @[exu_mul_ctl.scala 137:112] + node _T_32443 = add(_T_32442, _T_32432) @[exu_mul_ctl.scala 137:112] + node _T_32444 = add(_T_32443, _T_32433) @[exu_mul_ctl.scala 137:112] + node _T_32445 = add(_T_32444, _T_32434) @[exu_mul_ctl.scala 137:112] + node _T_32446 = add(_T_32445, _T_32435) @[exu_mul_ctl.scala 137:112] + node _T_32447 = add(_T_32446, _T_32436) @[exu_mul_ctl.scala 137:112] + node _T_32448 = eq(_T_32447, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32449 = bits(_T_32448, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32450 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_32451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32453 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32454 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32455 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32456 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32457 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32458 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32459 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32460 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32461 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32462 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32463 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32464 = add(_T_32451, _T_32452) @[exu_mul_ctl.scala 137:112] + node _T_32465 = add(_T_32464, _T_32453) @[exu_mul_ctl.scala 137:112] + node _T_32466 = add(_T_32465, _T_32454) @[exu_mul_ctl.scala 137:112] + node _T_32467 = add(_T_32466, _T_32455) @[exu_mul_ctl.scala 137:112] + node _T_32468 = add(_T_32467, _T_32456) @[exu_mul_ctl.scala 137:112] + node _T_32469 = add(_T_32468, _T_32457) @[exu_mul_ctl.scala 137:112] + node _T_32470 = add(_T_32469, _T_32458) @[exu_mul_ctl.scala 137:112] + node _T_32471 = add(_T_32470, _T_32459) @[exu_mul_ctl.scala 137:112] + node _T_32472 = add(_T_32471, _T_32460) @[exu_mul_ctl.scala 137:112] + node _T_32473 = add(_T_32472, _T_32461) @[exu_mul_ctl.scala 137:112] + node _T_32474 = add(_T_32473, _T_32462) @[exu_mul_ctl.scala 137:112] + node _T_32475 = add(_T_32474, _T_32463) @[exu_mul_ctl.scala 137:112] + node _T_32476 = eq(_T_32475, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32477 = bits(_T_32476, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32478 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_32479 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32480 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32481 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32482 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32483 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32484 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32485 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32486 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32487 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32488 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32489 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32490 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32491 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32492 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32493 = add(_T_32479, _T_32480) @[exu_mul_ctl.scala 137:112] + node _T_32494 = add(_T_32493, _T_32481) @[exu_mul_ctl.scala 137:112] + node _T_32495 = add(_T_32494, _T_32482) @[exu_mul_ctl.scala 137:112] + node _T_32496 = add(_T_32495, _T_32483) @[exu_mul_ctl.scala 137:112] + node _T_32497 = add(_T_32496, _T_32484) @[exu_mul_ctl.scala 137:112] + node _T_32498 = add(_T_32497, _T_32485) @[exu_mul_ctl.scala 137:112] + node _T_32499 = add(_T_32498, _T_32486) @[exu_mul_ctl.scala 137:112] + node _T_32500 = add(_T_32499, _T_32487) @[exu_mul_ctl.scala 137:112] + node _T_32501 = add(_T_32500, _T_32488) @[exu_mul_ctl.scala 137:112] + node _T_32502 = add(_T_32501, _T_32489) @[exu_mul_ctl.scala 137:112] + node _T_32503 = add(_T_32502, _T_32490) @[exu_mul_ctl.scala 137:112] + node _T_32504 = add(_T_32503, _T_32491) @[exu_mul_ctl.scala 137:112] + node _T_32505 = add(_T_32504, _T_32492) @[exu_mul_ctl.scala 137:112] + node _T_32506 = eq(_T_32505, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32507 = bits(_T_32506, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32508 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_32509 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32510 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32511 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32512 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32513 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32514 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32515 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32516 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32517 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32518 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32519 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32520 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32521 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32522 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32523 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32524 = add(_T_32509, _T_32510) @[exu_mul_ctl.scala 137:112] + node _T_32525 = add(_T_32524, _T_32511) @[exu_mul_ctl.scala 137:112] + node _T_32526 = add(_T_32525, _T_32512) @[exu_mul_ctl.scala 137:112] + node _T_32527 = add(_T_32526, _T_32513) @[exu_mul_ctl.scala 137:112] + node _T_32528 = add(_T_32527, _T_32514) @[exu_mul_ctl.scala 137:112] + node _T_32529 = add(_T_32528, _T_32515) @[exu_mul_ctl.scala 137:112] + node _T_32530 = add(_T_32529, _T_32516) @[exu_mul_ctl.scala 137:112] + node _T_32531 = add(_T_32530, _T_32517) @[exu_mul_ctl.scala 137:112] + node _T_32532 = add(_T_32531, _T_32518) @[exu_mul_ctl.scala 137:112] + node _T_32533 = add(_T_32532, _T_32519) @[exu_mul_ctl.scala 137:112] + node _T_32534 = add(_T_32533, _T_32520) @[exu_mul_ctl.scala 137:112] + node _T_32535 = add(_T_32534, _T_32521) @[exu_mul_ctl.scala 137:112] + node _T_32536 = add(_T_32535, _T_32522) @[exu_mul_ctl.scala 137:112] + node _T_32537 = add(_T_32536, _T_32523) @[exu_mul_ctl.scala 137:112] + node _T_32538 = eq(_T_32537, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32539 = bits(_T_32538, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32540 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_32541 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32542 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32543 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32544 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32545 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32546 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32547 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32548 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32549 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32550 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32551 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32552 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32553 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32554 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32555 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32556 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32557 = add(_T_32541, _T_32542) @[exu_mul_ctl.scala 137:112] + node _T_32558 = add(_T_32557, _T_32543) @[exu_mul_ctl.scala 137:112] + node _T_32559 = add(_T_32558, _T_32544) @[exu_mul_ctl.scala 137:112] + node _T_32560 = add(_T_32559, _T_32545) @[exu_mul_ctl.scala 137:112] + node _T_32561 = add(_T_32560, _T_32546) @[exu_mul_ctl.scala 137:112] + node _T_32562 = add(_T_32561, _T_32547) @[exu_mul_ctl.scala 137:112] + node _T_32563 = add(_T_32562, _T_32548) @[exu_mul_ctl.scala 137:112] + node _T_32564 = add(_T_32563, _T_32549) @[exu_mul_ctl.scala 137:112] + node _T_32565 = add(_T_32564, _T_32550) @[exu_mul_ctl.scala 137:112] + node _T_32566 = add(_T_32565, _T_32551) @[exu_mul_ctl.scala 137:112] + node _T_32567 = add(_T_32566, _T_32552) @[exu_mul_ctl.scala 137:112] + node _T_32568 = add(_T_32567, _T_32553) @[exu_mul_ctl.scala 137:112] + node _T_32569 = add(_T_32568, _T_32554) @[exu_mul_ctl.scala 137:112] + node _T_32570 = add(_T_32569, _T_32555) @[exu_mul_ctl.scala 137:112] + node _T_32571 = add(_T_32570, _T_32556) @[exu_mul_ctl.scala 137:112] + node _T_32572 = eq(_T_32571, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32573 = bits(_T_32572, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32574 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_32575 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32576 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32577 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32578 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32579 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32580 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32581 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32582 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32583 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32584 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32585 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32586 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32587 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32588 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32589 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32590 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32591 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32592 = add(_T_32575, _T_32576) @[exu_mul_ctl.scala 137:112] + node _T_32593 = add(_T_32592, _T_32577) @[exu_mul_ctl.scala 137:112] + node _T_32594 = add(_T_32593, _T_32578) @[exu_mul_ctl.scala 137:112] + node _T_32595 = add(_T_32594, _T_32579) @[exu_mul_ctl.scala 137:112] + node _T_32596 = add(_T_32595, _T_32580) @[exu_mul_ctl.scala 137:112] + node _T_32597 = add(_T_32596, _T_32581) @[exu_mul_ctl.scala 137:112] + node _T_32598 = add(_T_32597, _T_32582) @[exu_mul_ctl.scala 137:112] + node _T_32599 = add(_T_32598, _T_32583) @[exu_mul_ctl.scala 137:112] + node _T_32600 = add(_T_32599, _T_32584) @[exu_mul_ctl.scala 137:112] + node _T_32601 = add(_T_32600, _T_32585) @[exu_mul_ctl.scala 137:112] + node _T_32602 = add(_T_32601, _T_32586) @[exu_mul_ctl.scala 137:112] + node _T_32603 = add(_T_32602, _T_32587) @[exu_mul_ctl.scala 137:112] + node _T_32604 = add(_T_32603, _T_32588) @[exu_mul_ctl.scala 137:112] + node _T_32605 = add(_T_32604, _T_32589) @[exu_mul_ctl.scala 137:112] + node _T_32606 = add(_T_32605, _T_32590) @[exu_mul_ctl.scala 137:112] + node _T_32607 = add(_T_32606, _T_32591) @[exu_mul_ctl.scala 137:112] + node _T_32608 = eq(_T_32607, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32609 = bits(_T_32608, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32610 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_32611 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32612 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32613 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32614 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32615 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32616 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32617 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32618 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32619 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32620 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32621 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32622 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32623 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32624 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32625 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32626 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32627 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32628 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32629 = add(_T_32611, _T_32612) @[exu_mul_ctl.scala 137:112] + node _T_32630 = add(_T_32629, _T_32613) @[exu_mul_ctl.scala 137:112] + node _T_32631 = add(_T_32630, _T_32614) @[exu_mul_ctl.scala 137:112] + node _T_32632 = add(_T_32631, _T_32615) @[exu_mul_ctl.scala 137:112] + node _T_32633 = add(_T_32632, _T_32616) @[exu_mul_ctl.scala 137:112] + node _T_32634 = add(_T_32633, _T_32617) @[exu_mul_ctl.scala 137:112] + node _T_32635 = add(_T_32634, _T_32618) @[exu_mul_ctl.scala 137:112] + node _T_32636 = add(_T_32635, _T_32619) @[exu_mul_ctl.scala 137:112] + node _T_32637 = add(_T_32636, _T_32620) @[exu_mul_ctl.scala 137:112] + node _T_32638 = add(_T_32637, _T_32621) @[exu_mul_ctl.scala 137:112] + node _T_32639 = add(_T_32638, _T_32622) @[exu_mul_ctl.scala 137:112] + node _T_32640 = add(_T_32639, _T_32623) @[exu_mul_ctl.scala 137:112] + node _T_32641 = add(_T_32640, _T_32624) @[exu_mul_ctl.scala 137:112] + node _T_32642 = add(_T_32641, _T_32625) @[exu_mul_ctl.scala 137:112] + node _T_32643 = add(_T_32642, _T_32626) @[exu_mul_ctl.scala 137:112] + node _T_32644 = add(_T_32643, _T_32627) @[exu_mul_ctl.scala 137:112] + node _T_32645 = add(_T_32644, _T_32628) @[exu_mul_ctl.scala 137:112] + node _T_32646 = eq(_T_32645, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32647 = bits(_T_32646, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32648 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_32649 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32650 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32651 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32652 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32653 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32654 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32655 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32656 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32657 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32658 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32659 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32660 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32661 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32662 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32663 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32664 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32665 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32666 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32667 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32668 = add(_T_32649, _T_32650) @[exu_mul_ctl.scala 137:112] + node _T_32669 = add(_T_32668, _T_32651) @[exu_mul_ctl.scala 137:112] + node _T_32670 = add(_T_32669, _T_32652) @[exu_mul_ctl.scala 137:112] + node _T_32671 = add(_T_32670, _T_32653) @[exu_mul_ctl.scala 137:112] + node _T_32672 = add(_T_32671, _T_32654) @[exu_mul_ctl.scala 137:112] + node _T_32673 = add(_T_32672, _T_32655) @[exu_mul_ctl.scala 137:112] + node _T_32674 = add(_T_32673, _T_32656) @[exu_mul_ctl.scala 137:112] + node _T_32675 = add(_T_32674, _T_32657) @[exu_mul_ctl.scala 137:112] + node _T_32676 = add(_T_32675, _T_32658) @[exu_mul_ctl.scala 137:112] + node _T_32677 = add(_T_32676, _T_32659) @[exu_mul_ctl.scala 137:112] + node _T_32678 = add(_T_32677, _T_32660) @[exu_mul_ctl.scala 137:112] + node _T_32679 = add(_T_32678, _T_32661) @[exu_mul_ctl.scala 137:112] + node _T_32680 = add(_T_32679, _T_32662) @[exu_mul_ctl.scala 137:112] + node _T_32681 = add(_T_32680, _T_32663) @[exu_mul_ctl.scala 137:112] + node _T_32682 = add(_T_32681, _T_32664) @[exu_mul_ctl.scala 137:112] + node _T_32683 = add(_T_32682, _T_32665) @[exu_mul_ctl.scala 137:112] + node _T_32684 = add(_T_32683, _T_32666) @[exu_mul_ctl.scala 137:112] + node _T_32685 = add(_T_32684, _T_32667) @[exu_mul_ctl.scala 137:112] + node _T_32686 = eq(_T_32685, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32687 = bits(_T_32686, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32688 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_32689 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32690 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32691 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32692 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32693 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32694 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32695 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32696 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32697 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32698 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32699 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32700 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32701 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32702 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32703 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32704 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32705 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32706 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32707 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32708 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32709 = add(_T_32689, _T_32690) @[exu_mul_ctl.scala 137:112] + node _T_32710 = add(_T_32709, _T_32691) @[exu_mul_ctl.scala 137:112] + node _T_32711 = add(_T_32710, _T_32692) @[exu_mul_ctl.scala 137:112] + node _T_32712 = add(_T_32711, _T_32693) @[exu_mul_ctl.scala 137:112] + node _T_32713 = add(_T_32712, _T_32694) @[exu_mul_ctl.scala 137:112] + node _T_32714 = add(_T_32713, _T_32695) @[exu_mul_ctl.scala 137:112] + node _T_32715 = add(_T_32714, _T_32696) @[exu_mul_ctl.scala 137:112] + node _T_32716 = add(_T_32715, _T_32697) @[exu_mul_ctl.scala 137:112] + node _T_32717 = add(_T_32716, _T_32698) @[exu_mul_ctl.scala 137:112] + node _T_32718 = add(_T_32717, _T_32699) @[exu_mul_ctl.scala 137:112] + node _T_32719 = add(_T_32718, _T_32700) @[exu_mul_ctl.scala 137:112] + node _T_32720 = add(_T_32719, _T_32701) @[exu_mul_ctl.scala 137:112] + node _T_32721 = add(_T_32720, _T_32702) @[exu_mul_ctl.scala 137:112] + node _T_32722 = add(_T_32721, _T_32703) @[exu_mul_ctl.scala 137:112] + node _T_32723 = add(_T_32722, _T_32704) @[exu_mul_ctl.scala 137:112] + node _T_32724 = add(_T_32723, _T_32705) @[exu_mul_ctl.scala 137:112] + node _T_32725 = add(_T_32724, _T_32706) @[exu_mul_ctl.scala 137:112] + node _T_32726 = add(_T_32725, _T_32707) @[exu_mul_ctl.scala 137:112] + node _T_32727 = add(_T_32726, _T_32708) @[exu_mul_ctl.scala 137:112] + node _T_32728 = eq(_T_32727, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32729 = bits(_T_32728, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32730 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_32731 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32732 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32733 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32734 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32735 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32736 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32737 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32738 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32739 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32740 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32741 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32742 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32743 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32744 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32745 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32746 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32747 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32748 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32749 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32750 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32751 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32752 = add(_T_32731, _T_32732) @[exu_mul_ctl.scala 137:112] + node _T_32753 = add(_T_32752, _T_32733) @[exu_mul_ctl.scala 137:112] + node _T_32754 = add(_T_32753, _T_32734) @[exu_mul_ctl.scala 137:112] + node _T_32755 = add(_T_32754, _T_32735) @[exu_mul_ctl.scala 137:112] + node _T_32756 = add(_T_32755, _T_32736) @[exu_mul_ctl.scala 137:112] + node _T_32757 = add(_T_32756, _T_32737) @[exu_mul_ctl.scala 137:112] + node _T_32758 = add(_T_32757, _T_32738) @[exu_mul_ctl.scala 137:112] + node _T_32759 = add(_T_32758, _T_32739) @[exu_mul_ctl.scala 137:112] + node _T_32760 = add(_T_32759, _T_32740) @[exu_mul_ctl.scala 137:112] + node _T_32761 = add(_T_32760, _T_32741) @[exu_mul_ctl.scala 137:112] + node _T_32762 = add(_T_32761, _T_32742) @[exu_mul_ctl.scala 137:112] + node _T_32763 = add(_T_32762, _T_32743) @[exu_mul_ctl.scala 137:112] + node _T_32764 = add(_T_32763, _T_32744) @[exu_mul_ctl.scala 137:112] + node _T_32765 = add(_T_32764, _T_32745) @[exu_mul_ctl.scala 137:112] + node _T_32766 = add(_T_32765, _T_32746) @[exu_mul_ctl.scala 137:112] + node _T_32767 = add(_T_32766, _T_32747) @[exu_mul_ctl.scala 137:112] + node _T_32768 = add(_T_32767, _T_32748) @[exu_mul_ctl.scala 137:112] + node _T_32769 = add(_T_32768, _T_32749) @[exu_mul_ctl.scala 137:112] + node _T_32770 = add(_T_32769, _T_32750) @[exu_mul_ctl.scala 137:112] + node _T_32771 = add(_T_32770, _T_32751) @[exu_mul_ctl.scala 137:112] + node _T_32772 = eq(_T_32771, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32773 = bits(_T_32772, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32774 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_32775 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32776 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32777 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32778 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32779 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32780 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32781 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32782 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32783 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32784 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32785 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32786 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32787 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32788 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32789 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32790 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32791 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32792 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32793 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32794 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32795 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32796 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32797 = add(_T_32775, _T_32776) @[exu_mul_ctl.scala 137:112] + node _T_32798 = add(_T_32797, _T_32777) @[exu_mul_ctl.scala 137:112] + node _T_32799 = add(_T_32798, _T_32778) @[exu_mul_ctl.scala 137:112] + node _T_32800 = add(_T_32799, _T_32779) @[exu_mul_ctl.scala 137:112] + node _T_32801 = add(_T_32800, _T_32780) @[exu_mul_ctl.scala 137:112] + node _T_32802 = add(_T_32801, _T_32781) @[exu_mul_ctl.scala 137:112] + node _T_32803 = add(_T_32802, _T_32782) @[exu_mul_ctl.scala 137:112] + node _T_32804 = add(_T_32803, _T_32783) @[exu_mul_ctl.scala 137:112] + node _T_32805 = add(_T_32804, _T_32784) @[exu_mul_ctl.scala 137:112] + node _T_32806 = add(_T_32805, _T_32785) @[exu_mul_ctl.scala 137:112] + node _T_32807 = add(_T_32806, _T_32786) @[exu_mul_ctl.scala 137:112] + node _T_32808 = add(_T_32807, _T_32787) @[exu_mul_ctl.scala 137:112] + node _T_32809 = add(_T_32808, _T_32788) @[exu_mul_ctl.scala 137:112] + node _T_32810 = add(_T_32809, _T_32789) @[exu_mul_ctl.scala 137:112] + node _T_32811 = add(_T_32810, _T_32790) @[exu_mul_ctl.scala 137:112] + node _T_32812 = add(_T_32811, _T_32791) @[exu_mul_ctl.scala 137:112] + node _T_32813 = add(_T_32812, _T_32792) @[exu_mul_ctl.scala 137:112] + node _T_32814 = add(_T_32813, _T_32793) @[exu_mul_ctl.scala 137:112] + node _T_32815 = add(_T_32814, _T_32794) @[exu_mul_ctl.scala 137:112] + node _T_32816 = add(_T_32815, _T_32795) @[exu_mul_ctl.scala 137:112] + node _T_32817 = add(_T_32816, _T_32796) @[exu_mul_ctl.scala 137:112] + node _T_32818 = eq(_T_32817, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32819 = bits(_T_32818, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32820 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_32821 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32822 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32823 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32824 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32825 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32826 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32827 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32828 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32829 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32830 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32831 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32832 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32833 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32834 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32835 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32836 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32837 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32838 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32839 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32840 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32841 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32842 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32843 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32844 = add(_T_32821, _T_32822) @[exu_mul_ctl.scala 137:112] + node _T_32845 = add(_T_32844, _T_32823) @[exu_mul_ctl.scala 137:112] + node _T_32846 = add(_T_32845, _T_32824) @[exu_mul_ctl.scala 137:112] + node _T_32847 = add(_T_32846, _T_32825) @[exu_mul_ctl.scala 137:112] + node _T_32848 = add(_T_32847, _T_32826) @[exu_mul_ctl.scala 137:112] + node _T_32849 = add(_T_32848, _T_32827) @[exu_mul_ctl.scala 137:112] + node _T_32850 = add(_T_32849, _T_32828) @[exu_mul_ctl.scala 137:112] + node _T_32851 = add(_T_32850, _T_32829) @[exu_mul_ctl.scala 137:112] + node _T_32852 = add(_T_32851, _T_32830) @[exu_mul_ctl.scala 137:112] + node _T_32853 = add(_T_32852, _T_32831) @[exu_mul_ctl.scala 137:112] + node _T_32854 = add(_T_32853, _T_32832) @[exu_mul_ctl.scala 137:112] + node _T_32855 = add(_T_32854, _T_32833) @[exu_mul_ctl.scala 137:112] + node _T_32856 = add(_T_32855, _T_32834) @[exu_mul_ctl.scala 137:112] + node _T_32857 = add(_T_32856, _T_32835) @[exu_mul_ctl.scala 137:112] + node _T_32858 = add(_T_32857, _T_32836) @[exu_mul_ctl.scala 137:112] + node _T_32859 = add(_T_32858, _T_32837) @[exu_mul_ctl.scala 137:112] + node _T_32860 = add(_T_32859, _T_32838) @[exu_mul_ctl.scala 137:112] + node _T_32861 = add(_T_32860, _T_32839) @[exu_mul_ctl.scala 137:112] + node _T_32862 = add(_T_32861, _T_32840) @[exu_mul_ctl.scala 137:112] + node _T_32863 = add(_T_32862, _T_32841) @[exu_mul_ctl.scala 137:112] + node _T_32864 = add(_T_32863, _T_32842) @[exu_mul_ctl.scala 137:112] + node _T_32865 = add(_T_32864, _T_32843) @[exu_mul_ctl.scala 137:112] + node _T_32866 = eq(_T_32865, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32867 = bits(_T_32866, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32868 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_32869 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32870 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32871 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32872 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32873 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32874 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32875 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32876 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32877 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32878 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32879 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32880 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32881 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32882 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32883 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32884 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32885 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32886 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32887 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32888 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32889 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32890 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32891 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32892 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32893 = add(_T_32869, _T_32870) @[exu_mul_ctl.scala 137:112] + node _T_32894 = add(_T_32893, _T_32871) @[exu_mul_ctl.scala 137:112] + node _T_32895 = add(_T_32894, _T_32872) @[exu_mul_ctl.scala 137:112] + node _T_32896 = add(_T_32895, _T_32873) @[exu_mul_ctl.scala 137:112] + node _T_32897 = add(_T_32896, _T_32874) @[exu_mul_ctl.scala 137:112] + node _T_32898 = add(_T_32897, _T_32875) @[exu_mul_ctl.scala 137:112] + node _T_32899 = add(_T_32898, _T_32876) @[exu_mul_ctl.scala 137:112] + node _T_32900 = add(_T_32899, _T_32877) @[exu_mul_ctl.scala 137:112] + node _T_32901 = add(_T_32900, _T_32878) @[exu_mul_ctl.scala 137:112] + node _T_32902 = add(_T_32901, _T_32879) @[exu_mul_ctl.scala 137:112] + node _T_32903 = add(_T_32902, _T_32880) @[exu_mul_ctl.scala 137:112] + node _T_32904 = add(_T_32903, _T_32881) @[exu_mul_ctl.scala 137:112] + node _T_32905 = add(_T_32904, _T_32882) @[exu_mul_ctl.scala 137:112] + node _T_32906 = add(_T_32905, _T_32883) @[exu_mul_ctl.scala 137:112] + node _T_32907 = add(_T_32906, _T_32884) @[exu_mul_ctl.scala 137:112] + node _T_32908 = add(_T_32907, _T_32885) @[exu_mul_ctl.scala 137:112] + node _T_32909 = add(_T_32908, _T_32886) @[exu_mul_ctl.scala 137:112] + node _T_32910 = add(_T_32909, _T_32887) @[exu_mul_ctl.scala 137:112] + node _T_32911 = add(_T_32910, _T_32888) @[exu_mul_ctl.scala 137:112] + node _T_32912 = add(_T_32911, _T_32889) @[exu_mul_ctl.scala 137:112] + node _T_32913 = add(_T_32912, _T_32890) @[exu_mul_ctl.scala 137:112] + node _T_32914 = add(_T_32913, _T_32891) @[exu_mul_ctl.scala 137:112] + node _T_32915 = add(_T_32914, _T_32892) @[exu_mul_ctl.scala 137:112] + node _T_32916 = eq(_T_32915, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32917 = bits(_T_32916, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32918 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_32919 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32920 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32921 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32922 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32923 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32924 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32925 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32926 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32927 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32928 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32929 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32930 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32931 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32932 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32933 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32934 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32935 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32936 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32937 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32938 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32939 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32940 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32941 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32942 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32943 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32944 = add(_T_32919, _T_32920) @[exu_mul_ctl.scala 137:112] + node _T_32945 = add(_T_32944, _T_32921) @[exu_mul_ctl.scala 137:112] + node _T_32946 = add(_T_32945, _T_32922) @[exu_mul_ctl.scala 137:112] + node _T_32947 = add(_T_32946, _T_32923) @[exu_mul_ctl.scala 137:112] + node _T_32948 = add(_T_32947, _T_32924) @[exu_mul_ctl.scala 137:112] + node _T_32949 = add(_T_32948, _T_32925) @[exu_mul_ctl.scala 137:112] + node _T_32950 = add(_T_32949, _T_32926) @[exu_mul_ctl.scala 137:112] + node _T_32951 = add(_T_32950, _T_32927) @[exu_mul_ctl.scala 137:112] + node _T_32952 = add(_T_32951, _T_32928) @[exu_mul_ctl.scala 137:112] + node _T_32953 = add(_T_32952, _T_32929) @[exu_mul_ctl.scala 137:112] + node _T_32954 = add(_T_32953, _T_32930) @[exu_mul_ctl.scala 137:112] + node _T_32955 = add(_T_32954, _T_32931) @[exu_mul_ctl.scala 137:112] + node _T_32956 = add(_T_32955, _T_32932) @[exu_mul_ctl.scala 137:112] + node _T_32957 = add(_T_32956, _T_32933) @[exu_mul_ctl.scala 137:112] + node _T_32958 = add(_T_32957, _T_32934) @[exu_mul_ctl.scala 137:112] + node _T_32959 = add(_T_32958, _T_32935) @[exu_mul_ctl.scala 137:112] + node _T_32960 = add(_T_32959, _T_32936) @[exu_mul_ctl.scala 137:112] + node _T_32961 = add(_T_32960, _T_32937) @[exu_mul_ctl.scala 137:112] + node _T_32962 = add(_T_32961, _T_32938) @[exu_mul_ctl.scala 137:112] + node _T_32963 = add(_T_32962, _T_32939) @[exu_mul_ctl.scala 137:112] + node _T_32964 = add(_T_32963, _T_32940) @[exu_mul_ctl.scala 137:112] + node _T_32965 = add(_T_32964, _T_32941) @[exu_mul_ctl.scala 137:112] + node _T_32966 = add(_T_32965, _T_32942) @[exu_mul_ctl.scala 137:112] + node _T_32967 = add(_T_32966, _T_32943) @[exu_mul_ctl.scala 137:112] + node _T_32968 = eq(_T_32967, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32969 = bits(_T_32968, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32970 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_32971 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32972 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32973 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32974 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32975 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32976 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32977 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32978 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32979 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32980 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32981 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32982 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32983 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32984 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32985 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32986 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32987 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32988 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32989 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32990 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32991 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32992 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32993 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32994 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32995 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32996 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_32997 = add(_T_32971, _T_32972) @[exu_mul_ctl.scala 137:112] + node _T_32998 = add(_T_32997, _T_32973) @[exu_mul_ctl.scala 137:112] + node _T_32999 = add(_T_32998, _T_32974) @[exu_mul_ctl.scala 137:112] + node _T_33000 = add(_T_32999, _T_32975) @[exu_mul_ctl.scala 137:112] + node _T_33001 = add(_T_33000, _T_32976) @[exu_mul_ctl.scala 137:112] + node _T_33002 = add(_T_33001, _T_32977) @[exu_mul_ctl.scala 137:112] + node _T_33003 = add(_T_33002, _T_32978) @[exu_mul_ctl.scala 137:112] + node _T_33004 = add(_T_33003, _T_32979) @[exu_mul_ctl.scala 137:112] + node _T_33005 = add(_T_33004, _T_32980) @[exu_mul_ctl.scala 137:112] + node _T_33006 = add(_T_33005, _T_32981) @[exu_mul_ctl.scala 137:112] + node _T_33007 = add(_T_33006, _T_32982) @[exu_mul_ctl.scala 137:112] + node _T_33008 = add(_T_33007, _T_32983) @[exu_mul_ctl.scala 137:112] + node _T_33009 = add(_T_33008, _T_32984) @[exu_mul_ctl.scala 137:112] + node _T_33010 = add(_T_33009, _T_32985) @[exu_mul_ctl.scala 137:112] + node _T_33011 = add(_T_33010, _T_32986) @[exu_mul_ctl.scala 137:112] + node _T_33012 = add(_T_33011, _T_32987) @[exu_mul_ctl.scala 137:112] + node _T_33013 = add(_T_33012, _T_32988) @[exu_mul_ctl.scala 137:112] + node _T_33014 = add(_T_33013, _T_32989) @[exu_mul_ctl.scala 137:112] + node _T_33015 = add(_T_33014, _T_32990) @[exu_mul_ctl.scala 137:112] + node _T_33016 = add(_T_33015, _T_32991) @[exu_mul_ctl.scala 137:112] + node _T_33017 = add(_T_33016, _T_32992) @[exu_mul_ctl.scala 137:112] + node _T_33018 = add(_T_33017, _T_32993) @[exu_mul_ctl.scala 137:112] + node _T_33019 = add(_T_33018, _T_32994) @[exu_mul_ctl.scala 137:112] + node _T_33020 = add(_T_33019, _T_32995) @[exu_mul_ctl.scala 137:112] + node _T_33021 = add(_T_33020, _T_32996) @[exu_mul_ctl.scala 137:112] + node _T_33022 = eq(_T_33021, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33023 = bits(_T_33022, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33024 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_33025 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33026 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33027 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33028 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33029 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33030 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33031 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33032 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33033 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33034 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33035 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33036 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33037 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33038 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33039 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33040 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33041 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33042 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33043 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33044 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33045 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33046 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33047 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33048 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33049 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33050 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33051 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33052 = add(_T_33025, _T_33026) @[exu_mul_ctl.scala 137:112] + node _T_33053 = add(_T_33052, _T_33027) @[exu_mul_ctl.scala 137:112] + node _T_33054 = add(_T_33053, _T_33028) @[exu_mul_ctl.scala 137:112] + node _T_33055 = add(_T_33054, _T_33029) @[exu_mul_ctl.scala 137:112] + node _T_33056 = add(_T_33055, _T_33030) @[exu_mul_ctl.scala 137:112] + node _T_33057 = add(_T_33056, _T_33031) @[exu_mul_ctl.scala 137:112] + node _T_33058 = add(_T_33057, _T_33032) @[exu_mul_ctl.scala 137:112] + node _T_33059 = add(_T_33058, _T_33033) @[exu_mul_ctl.scala 137:112] + node _T_33060 = add(_T_33059, _T_33034) @[exu_mul_ctl.scala 137:112] + node _T_33061 = add(_T_33060, _T_33035) @[exu_mul_ctl.scala 137:112] + node _T_33062 = add(_T_33061, _T_33036) @[exu_mul_ctl.scala 137:112] + node _T_33063 = add(_T_33062, _T_33037) @[exu_mul_ctl.scala 137:112] + node _T_33064 = add(_T_33063, _T_33038) @[exu_mul_ctl.scala 137:112] + node _T_33065 = add(_T_33064, _T_33039) @[exu_mul_ctl.scala 137:112] + node _T_33066 = add(_T_33065, _T_33040) @[exu_mul_ctl.scala 137:112] + node _T_33067 = add(_T_33066, _T_33041) @[exu_mul_ctl.scala 137:112] + node _T_33068 = add(_T_33067, _T_33042) @[exu_mul_ctl.scala 137:112] + node _T_33069 = add(_T_33068, _T_33043) @[exu_mul_ctl.scala 137:112] + node _T_33070 = add(_T_33069, _T_33044) @[exu_mul_ctl.scala 137:112] + node _T_33071 = add(_T_33070, _T_33045) @[exu_mul_ctl.scala 137:112] + node _T_33072 = add(_T_33071, _T_33046) @[exu_mul_ctl.scala 137:112] + node _T_33073 = add(_T_33072, _T_33047) @[exu_mul_ctl.scala 137:112] + node _T_33074 = add(_T_33073, _T_33048) @[exu_mul_ctl.scala 137:112] + node _T_33075 = add(_T_33074, _T_33049) @[exu_mul_ctl.scala 137:112] + node _T_33076 = add(_T_33075, _T_33050) @[exu_mul_ctl.scala 137:112] + node _T_33077 = add(_T_33076, _T_33051) @[exu_mul_ctl.scala 137:112] + node _T_33078 = eq(_T_33077, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33079 = bits(_T_33078, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33080 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_33081 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33082 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33083 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33084 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33085 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33086 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33087 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33088 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33089 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33090 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33091 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33092 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33093 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33094 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33095 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33096 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33097 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33098 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33099 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33100 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33101 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33102 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33103 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33104 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33105 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33106 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33107 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33108 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_33109 = add(_T_33081, _T_33082) @[exu_mul_ctl.scala 137:112] + node _T_33110 = add(_T_33109, _T_33083) @[exu_mul_ctl.scala 137:112] + node _T_33111 = add(_T_33110, _T_33084) @[exu_mul_ctl.scala 137:112] + node _T_33112 = add(_T_33111, _T_33085) @[exu_mul_ctl.scala 137:112] + node _T_33113 = add(_T_33112, _T_33086) @[exu_mul_ctl.scala 137:112] + node _T_33114 = add(_T_33113, _T_33087) @[exu_mul_ctl.scala 137:112] + node _T_33115 = add(_T_33114, _T_33088) @[exu_mul_ctl.scala 137:112] + node _T_33116 = add(_T_33115, _T_33089) @[exu_mul_ctl.scala 137:112] + node _T_33117 = add(_T_33116, _T_33090) @[exu_mul_ctl.scala 137:112] + node _T_33118 = add(_T_33117, _T_33091) @[exu_mul_ctl.scala 137:112] + node _T_33119 = add(_T_33118, _T_33092) @[exu_mul_ctl.scala 137:112] + node _T_33120 = add(_T_33119, _T_33093) @[exu_mul_ctl.scala 137:112] + node _T_33121 = add(_T_33120, _T_33094) @[exu_mul_ctl.scala 137:112] + node _T_33122 = add(_T_33121, _T_33095) @[exu_mul_ctl.scala 137:112] + node _T_33123 = add(_T_33122, _T_33096) @[exu_mul_ctl.scala 137:112] + node _T_33124 = add(_T_33123, _T_33097) @[exu_mul_ctl.scala 137:112] + node _T_33125 = add(_T_33124, _T_33098) @[exu_mul_ctl.scala 137:112] + node _T_33126 = add(_T_33125, _T_33099) @[exu_mul_ctl.scala 137:112] + node _T_33127 = add(_T_33126, _T_33100) @[exu_mul_ctl.scala 137:112] + node _T_33128 = add(_T_33127, _T_33101) @[exu_mul_ctl.scala 137:112] + node _T_33129 = add(_T_33128, _T_33102) @[exu_mul_ctl.scala 137:112] + node _T_33130 = add(_T_33129, _T_33103) @[exu_mul_ctl.scala 137:112] + node _T_33131 = add(_T_33130, _T_33104) @[exu_mul_ctl.scala 137:112] + node _T_33132 = add(_T_33131, _T_33105) @[exu_mul_ctl.scala 137:112] + node _T_33133 = add(_T_33132, _T_33106) @[exu_mul_ctl.scala 137:112] + node _T_33134 = add(_T_33133, _T_33107) @[exu_mul_ctl.scala 137:112] + node _T_33135 = add(_T_33134, _T_33108) @[exu_mul_ctl.scala 137:112] + node _T_33136 = eq(_T_33135, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33137 = bits(_T_33136, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33138 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_33139 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33140 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33141 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33142 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33143 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33144 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33145 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33146 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33147 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33148 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33149 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33150 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33151 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33152 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33153 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33154 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33155 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33156 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33157 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33158 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33159 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33160 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33161 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33162 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33163 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33164 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33165 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33166 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_33167 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_33168 = add(_T_33139, _T_33140) @[exu_mul_ctl.scala 137:112] + node _T_33169 = add(_T_33168, _T_33141) @[exu_mul_ctl.scala 137:112] + node _T_33170 = add(_T_33169, _T_33142) @[exu_mul_ctl.scala 137:112] + node _T_33171 = add(_T_33170, _T_33143) @[exu_mul_ctl.scala 137:112] + node _T_33172 = add(_T_33171, _T_33144) @[exu_mul_ctl.scala 137:112] + node _T_33173 = add(_T_33172, _T_33145) @[exu_mul_ctl.scala 137:112] + node _T_33174 = add(_T_33173, _T_33146) @[exu_mul_ctl.scala 137:112] + node _T_33175 = add(_T_33174, _T_33147) @[exu_mul_ctl.scala 137:112] + node _T_33176 = add(_T_33175, _T_33148) @[exu_mul_ctl.scala 137:112] + node _T_33177 = add(_T_33176, _T_33149) @[exu_mul_ctl.scala 137:112] + node _T_33178 = add(_T_33177, _T_33150) @[exu_mul_ctl.scala 137:112] + node _T_33179 = add(_T_33178, _T_33151) @[exu_mul_ctl.scala 137:112] + node _T_33180 = add(_T_33179, _T_33152) @[exu_mul_ctl.scala 137:112] + node _T_33181 = add(_T_33180, _T_33153) @[exu_mul_ctl.scala 137:112] + node _T_33182 = add(_T_33181, _T_33154) @[exu_mul_ctl.scala 137:112] + node _T_33183 = add(_T_33182, _T_33155) @[exu_mul_ctl.scala 137:112] + node _T_33184 = add(_T_33183, _T_33156) @[exu_mul_ctl.scala 137:112] + node _T_33185 = add(_T_33184, _T_33157) @[exu_mul_ctl.scala 137:112] + node _T_33186 = add(_T_33185, _T_33158) @[exu_mul_ctl.scala 137:112] + node _T_33187 = add(_T_33186, _T_33159) @[exu_mul_ctl.scala 137:112] + node _T_33188 = add(_T_33187, _T_33160) @[exu_mul_ctl.scala 137:112] + node _T_33189 = add(_T_33188, _T_33161) @[exu_mul_ctl.scala 137:112] + node _T_33190 = add(_T_33189, _T_33162) @[exu_mul_ctl.scala 137:112] + node _T_33191 = add(_T_33190, _T_33163) @[exu_mul_ctl.scala 137:112] + node _T_33192 = add(_T_33191, _T_33164) @[exu_mul_ctl.scala 137:112] + node _T_33193 = add(_T_33192, _T_33165) @[exu_mul_ctl.scala 137:112] + node _T_33194 = add(_T_33193, _T_33166) @[exu_mul_ctl.scala 137:112] + node _T_33195 = add(_T_33194, _T_33167) @[exu_mul_ctl.scala 137:112] + node _T_33196 = eq(_T_33195, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33197 = bits(_T_33196, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33198 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_33199 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33200 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33201 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33202 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33203 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33204 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33205 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33206 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33207 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33208 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33209 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33210 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33211 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33212 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33213 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33214 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33215 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33216 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33217 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33218 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33219 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33220 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33221 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33222 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33223 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33224 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33225 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33226 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_33227 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_33228 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_33229 = add(_T_33199, _T_33200) @[exu_mul_ctl.scala 137:112] + node _T_33230 = add(_T_33229, _T_33201) @[exu_mul_ctl.scala 137:112] + node _T_33231 = add(_T_33230, _T_33202) @[exu_mul_ctl.scala 137:112] + node _T_33232 = add(_T_33231, _T_33203) @[exu_mul_ctl.scala 137:112] + node _T_33233 = add(_T_33232, _T_33204) @[exu_mul_ctl.scala 137:112] + node _T_33234 = add(_T_33233, _T_33205) @[exu_mul_ctl.scala 137:112] + node _T_33235 = add(_T_33234, _T_33206) @[exu_mul_ctl.scala 137:112] + node _T_33236 = add(_T_33235, _T_33207) @[exu_mul_ctl.scala 137:112] + node _T_33237 = add(_T_33236, _T_33208) @[exu_mul_ctl.scala 137:112] + node _T_33238 = add(_T_33237, _T_33209) @[exu_mul_ctl.scala 137:112] + node _T_33239 = add(_T_33238, _T_33210) @[exu_mul_ctl.scala 137:112] + node _T_33240 = add(_T_33239, _T_33211) @[exu_mul_ctl.scala 137:112] + node _T_33241 = add(_T_33240, _T_33212) @[exu_mul_ctl.scala 137:112] + node _T_33242 = add(_T_33241, _T_33213) @[exu_mul_ctl.scala 137:112] + node _T_33243 = add(_T_33242, _T_33214) @[exu_mul_ctl.scala 137:112] + node _T_33244 = add(_T_33243, _T_33215) @[exu_mul_ctl.scala 137:112] + node _T_33245 = add(_T_33244, _T_33216) @[exu_mul_ctl.scala 137:112] + node _T_33246 = add(_T_33245, _T_33217) @[exu_mul_ctl.scala 137:112] + node _T_33247 = add(_T_33246, _T_33218) @[exu_mul_ctl.scala 137:112] + node _T_33248 = add(_T_33247, _T_33219) @[exu_mul_ctl.scala 137:112] + node _T_33249 = add(_T_33248, _T_33220) @[exu_mul_ctl.scala 137:112] + node _T_33250 = add(_T_33249, _T_33221) @[exu_mul_ctl.scala 137:112] + node _T_33251 = add(_T_33250, _T_33222) @[exu_mul_ctl.scala 137:112] + node _T_33252 = add(_T_33251, _T_33223) @[exu_mul_ctl.scala 137:112] + node _T_33253 = add(_T_33252, _T_33224) @[exu_mul_ctl.scala 137:112] + node _T_33254 = add(_T_33253, _T_33225) @[exu_mul_ctl.scala 137:112] + node _T_33255 = add(_T_33254, _T_33226) @[exu_mul_ctl.scala 137:112] + node _T_33256 = add(_T_33255, _T_33227) @[exu_mul_ctl.scala 137:112] + node _T_33257 = add(_T_33256, _T_33228) @[exu_mul_ctl.scala 137:112] + node _T_33258 = eq(_T_33257, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33259 = bits(_T_33258, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33260 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_33261 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33262 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33263 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33264 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33265 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33266 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33267 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33268 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33269 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33270 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33271 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33272 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33273 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33274 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33275 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33276 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33277 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33278 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33279 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33280 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33281 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33282 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33283 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33284 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33285 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33286 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33287 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33288 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_33289 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_33290 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_33291 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_33292 = add(_T_33261, _T_33262) @[exu_mul_ctl.scala 137:112] + node _T_33293 = add(_T_33292, _T_33263) @[exu_mul_ctl.scala 137:112] + node _T_33294 = add(_T_33293, _T_33264) @[exu_mul_ctl.scala 137:112] + node _T_33295 = add(_T_33294, _T_33265) @[exu_mul_ctl.scala 137:112] + node _T_33296 = add(_T_33295, _T_33266) @[exu_mul_ctl.scala 137:112] + node _T_33297 = add(_T_33296, _T_33267) @[exu_mul_ctl.scala 137:112] + node _T_33298 = add(_T_33297, _T_33268) @[exu_mul_ctl.scala 137:112] + node _T_33299 = add(_T_33298, _T_33269) @[exu_mul_ctl.scala 137:112] + node _T_33300 = add(_T_33299, _T_33270) @[exu_mul_ctl.scala 137:112] + node _T_33301 = add(_T_33300, _T_33271) @[exu_mul_ctl.scala 137:112] + node _T_33302 = add(_T_33301, _T_33272) @[exu_mul_ctl.scala 137:112] + node _T_33303 = add(_T_33302, _T_33273) @[exu_mul_ctl.scala 137:112] + node _T_33304 = add(_T_33303, _T_33274) @[exu_mul_ctl.scala 137:112] + node _T_33305 = add(_T_33304, _T_33275) @[exu_mul_ctl.scala 137:112] + node _T_33306 = add(_T_33305, _T_33276) @[exu_mul_ctl.scala 137:112] + node _T_33307 = add(_T_33306, _T_33277) @[exu_mul_ctl.scala 137:112] + node _T_33308 = add(_T_33307, _T_33278) @[exu_mul_ctl.scala 137:112] + node _T_33309 = add(_T_33308, _T_33279) @[exu_mul_ctl.scala 137:112] + node _T_33310 = add(_T_33309, _T_33280) @[exu_mul_ctl.scala 137:112] + node _T_33311 = add(_T_33310, _T_33281) @[exu_mul_ctl.scala 137:112] + node _T_33312 = add(_T_33311, _T_33282) @[exu_mul_ctl.scala 137:112] + node _T_33313 = add(_T_33312, _T_33283) @[exu_mul_ctl.scala 137:112] + node _T_33314 = add(_T_33313, _T_33284) @[exu_mul_ctl.scala 137:112] + node _T_33315 = add(_T_33314, _T_33285) @[exu_mul_ctl.scala 137:112] + node _T_33316 = add(_T_33315, _T_33286) @[exu_mul_ctl.scala 137:112] + node _T_33317 = add(_T_33316, _T_33287) @[exu_mul_ctl.scala 137:112] + node _T_33318 = add(_T_33317, _T_33288) @[exu_mul_ctl.scala 137:112] + node _T_33319 = add(_T_33318, _T_33289) @[exu_mul_ctl.scala 137:112] + node _T_33320 = add(_T_33319, _T_33290) @[exu_mul_ctl.scala 137:112] + node _T_33321 = add(_T_33320, _T_33291) @[exu_mul_ctl.scala 137:112] + node _T_33322 = eq(_T_33321, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33323 = bits(_T_33322, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33324 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_33325 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33326 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33327 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33328 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33329 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33330 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33331 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33332 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33333 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33334 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33335 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33336 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33337 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33338 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33339 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33340 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33341 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33342 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33343 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33344 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33345 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33346 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33347 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33348 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33349 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33350 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33351 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33352 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_33353 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_33354 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_33355 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_33356 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_33357 = add(_T_33325, _T_33326) @[exu_mul_ctl.scala 137:112] + node _T_33358 = add(_T_33357, _T_33327) @[exu_mul_ctl.scala 137:112] + node _T_33359 = add(_T_33358, _T_33328) @[exu_mul_ctl.scala 137:112] + node _T_33360 = add(_T_33359, _T_33329) @[exu_mul_ctl.scala 137:112] + node _T_33361 = add(_T_33360, _T_33330) @[exu_mul_ctl.scala 137:112] + node _T_33362 = add(_T_33361, _T_33331) @[exu_mul_ctl.scala 137:112] + node _T_33363 = add(_T_33362, _T_33332) @[exu_mul_ctl.scala 137:112] + node _T_33364 = add(_T_33363, _T_33333) @[exu_mul_ctl.scala 137:112] + node _T_33365 = add(_T_33364, _T_33334) @[exu_mul_ctl.scala 137:112] + node _T_33366 = add(_T_33365, _T_33335) @[exu_mul_ctl.scala 137:112] + node _T_33367 = add(_T_33366, _T_33336) @[exu_mul_ctl.scala 137:112] + node _T_33368 = add(_T_33367, _T_33337) @[exu_mul_ctl.scala 137:112] + node _T_33369 = add(_T_33368, _T_33338) @[exu_mul_ctl.scala 137:112] + node _T_33370 = add(_T_33369, _T_33339) @[exu_mul_ctl.scala 137:112] + node _T_33371 = add(_T_33370, _T_33340) @[exu_mul_ctl.scala 137:112] + node _T_33372 = add(_T_33371, _T_33341) @[exu_mul_ctl.scala 137:112] + node _T_33373 = add(_T_33372, _T_33342) @[exu_mul_ctl.scala 137:112] + node _T_33374 = add(_T_33373, _T_33343) @[exu_mul_ctl.scala 137:112] + node _T_33375 = add(_T_33374, _T_33344) @[exu_mul_ctl.scala 137:112] + node _T_33376 = add(_T_33375, _T_33345) @[exu_mul_ctl.scala 137:112] + node _T_33377 = add(_T_33376, _T_33346) @[exu_mul_ctl.scala 137:112] + node _T_33378 = add(_T_33377, _T_33347) @[exu_mul_ctl.scala 137:112] + node _T_33379 = add(_T_33378, _T_33348) @[exu_mul_ctl.scala 137:112] + node _T_33380 = add(_T_33379, _T_33349) @[exu_mul_ctl.scala 137:112] + node _T_33381 = add(_T_33380, _T_33350) @[exu_mul_ctl.scala 137:112] + node _T_33382 = add(_T_33381, _T_33351) @[exu_mul_ctl.scala 137:112] + node _T_33383 = add(_T_33382, _T_33352) @[exu_mul_ctl.scala 137:112] + node _T_33384 = add(_T_33383, _T_33353) @[exu_mul_ctl.scala 137:112] + node _T_33385 = add(_T_33384, _T_33354) @[exu_mul_ctl.scala 137:112] + node _T_33386 = add(_T_33385, _T_33355) @[exu_mul_ctl.scala 137:112] + node _T_33387 = add(_T_33386, _T_33356) @[exu_mul_ctl.scala 137:112] + node _T_33388 = eq(_T_33387, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33389 = bits(_T_33388, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33390 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_33391 = mux(_T_33389, _T_33390, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_33392 = mux(_T_33323, _T_33324, _T_33391) @[Mux.scala 98:16] + node _T_33393 = mux(_T_33259, _T_33260, _T_33392) @[Mux.scala 98:16] + node _T_33394 = mux(_T_33197, _T_33198, _T_33393) @[Mux.scala 98:16] + node _T_33395 = mux(_T_33137, _T_33138, _T_33394) @[Mux.scala 98:16] + node _T_33396 = mux(_T_33079, _T_33080, _T_33395) @[Mux.scala 98:16] + node _T_33397 = mux(_T_33023, _T_33024, _T_33396) @[Mux.scala 98:16] + node _T_33398 = mux(_T_32969, _T_32970, _T_33397) @[Mux.scala 98:16] + node _T_33399 = mux(_T_32917, _T_32918, _T_33398) @[Mux.scala 98:16] + node _T_33400 = mux(_T_32867, _T_32868, _T_33399) @[Mux.scala 98:16] + node _T_33401 = mux(_T_32819, _T_32820, _T_33400) @[Mux.scala 98:16] + node _T_33402 = mux(_T_32773, _T_32774, _T_33401) @[Mux.scala 98:16] + node _T_33403 = mux(_T_32729, _T_32730, _T_33402) @[Mux.scala 98:16] + node _T_33404 = mux(_T_32687, _T_32688, _T_33403) @[Mux.scala 98:16] + node _T_33405 = mux(_T_32647, _T_32648, _T_33404) @[Mux.scala 98:16] + node _T_33406 = mux(_T_32609, _T_32610, _T_33405) @[Mux.scala 98:16] + node _T_33407 = mux(_T_32573, _T_32574, _T_33406) @[Mux.scala 98:16] + node _T_33408 = mux(_T_32539, _T_32540, _T_33407) @[Mux.scala 98:16] + node _T_33409 = mux(_T_32507, _T_32508, _T_33408) @[Mux.scala 98:16] + node _T_33410 = mux(_T_32477, _T_32478, _T_33409) @[Mux.scala 98:16] + node _T_33411 = mux(_T_32449, _T_32450, _T_33410) @[Mux.scala 98:16] + node _T_33412 = mux(_T_32423, _T_32424, _T_33411) @[Mux.scala 98:16] + node _T_33413 = mux(_T_32399, _T_32400, _T_33412) @[Mux.scala 98:16] + node _T_33414 = mux(_T_32377, _T_32378, _T_33413) @[Mux.scala 98:16] + node _T_33415 = mux(_T_32357, _T_32358, _T_33414) @[Mux.scala 98:16] + node _T_33416 = mux(_T_32339, _T_32340, _T_33415) @[Mux.scala 98:16] + node _T_33417 = mux(_T_32323, _T_32324, _T_33416) @[Mux.scala 98:16] + node _T_33418 = mux(_T_32309, _T_32310, _T_33417) @[Mux.scala 98:16] + node _T_33419 = mux(_T_32297, _T_32298, _T_33418) @[Mux.scala 98:16] + node _T_33420 = mux(_T_32287, _T_32288, _T_33419) @[Mux.scala 98:16] + node _T_33421 = mux(_T_32279, _T_32280, _T_33420) @[Mux.scala 98:16] + node _T_33422 = mux(_T_32273, _T_32274, _T_33421) @[Mux.scala 98:16] + node _T_33423 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_33424 = eq(_T_33423, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33425 = bits(_T_33424, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33426 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_33427 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33428 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33429 = add(_T_33427, _T_33428) @[exu_mul_ctl.scala 137:112] + node _T_33430 = eq(_T_33429, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33431 = bits(_T_33430, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33432 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_33433 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33434 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33435 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33436 = add(_T_33433, _T_33434) @[exu_mul_ctl.scala 137:112] + node _T_33437 = add(_T_33436, _T_33435) @[exu_mul_ctl.scala 137:112] + node _T_33438 = eq(_T_33437, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33439 = bits(_T_33438, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33440 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_33441 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33442 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33443 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33444 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33445 = add(_T_33441, _T_33442) @[exu_mul_ctl.scala 137:112] + node _T_33446 = add(_T_33445, _T_33443) @[exu_mul_ctl.scala 137:112] + node _T_33447 = add(_T_33446, _T_33444) @[exu_mul_ctl.scala 137:112] + node _T_33448 = eq(_T_33447, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33449 = bits(_T_33448, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33450 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_33451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33453 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33454 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33455 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33456 = add(_T_33451, _T_33452) @[exu_mul_ctl.scala 137:112] + node _T_33457 = add(_T_33456, _T_33453) @[exu_mul_ctl.scala 137:112] + node _T_33458 = add(_T_33457, _T_33454) @[exu_mul_ctl.scala 137:112] + node _T_33459 = add(_T_33458, _T_33455) @[exu_mul_ctl.scala 137:112] + node _T_33460 = eq(_T_33459, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33461 = bits(_T_33460, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33462 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_33463 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33464 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33465 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33466 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33467 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33468 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33469 = add(_T_33463, _T_33464) @[exu_mul_ctl.scala 137:112] + node _T_33470 = add(_T_33469, _T_33465) @[exu_mul_ctl.scala 137:112] + node _T_33471 = add(_T_33470, _T_33466) @[exu_mul_ctl.scala 137:112] + node _T_33472 = add(_T_33471, _T_33467) @[exu_mul_ctl.scala 137:112] + node _T_33473 = add(_T_33472, _T_33468) @[exu_mul_ctl.scala 137:112] + node _T_33474 = eq(_T_33473, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33475 = bits(_T_33474, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33476 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_33477 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33478 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33479 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33480 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33481 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33482 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33483 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33484 = add(_T_33477, _T_33478) @[exu_mul_ctl.scala 137:112] + node _T_33485 = add(_T_33484, _T_33479) @[exu_mul_ctl.scala 137:112] + node _T_33486 = add(_T_33485, _T_33480) @[exu_mul_ctl.scala 137:112] + node _T_33487 = add(_T_33486, _T_33481) @[exu_mul_ctl.scala 137:112] + node _T_33488 = add(_T_33487, _T_33482) @[exu_mul_ctl.scala 137:112] + node _T_33489 = add(_T_33488, _T_33483) @[exu_mul_ctl.scala 137:112] + node _T_33490 = eq(_T_33489, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33491 = bits(_T_33490, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33492 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_33493 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33494 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33495 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33496 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33497 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33498 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33499 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33500 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33501 = add(_T_33493, _T_33494) @[exu_mul_ctl.scala 137:112] + node _T_33502 = add(_T_33501, _T_33495) @[exu_mul_ctl.scala 137:112] + node _T_33503 = add(_T_33502, _T_33496) @[exu_mul_ctl.scala 137:112] + node _T_33504 = add(_T_33503, _T_33497) @[exu_mul_ctl.scala 137:112] + node _T_33505 = add(_T_33504, _T_33498) @[exu_mul_ctl.scala 137:112] + node _T_33506 = add(_T_33505, _T_33499) @[exu_mul_ctl.scala 137:112] + node _T_33507 = add(_T_33506, _T_33500) @[exu_mul_ctl.scala 137:112] + node _T_33508 = eq(_T_33507, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33509 = bits(_T_33508, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33510 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_33511 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33512 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33513 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33514 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33515 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33516 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33517 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33518 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33519 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33520 = add(_T_33511, _T_33512) @[exu_mul_ctl.scala 137:112] + node _T_33521 = add(_T_33520, _T_33513) @[exu_mul_ctl.scala 137:112] + node _T_33522 = add(_T_33521, _T_33514) @[exu_mul_ctl.scala 137:112] + node _T_33523 = add(_T_33522, _T_33515) @[exu_mul_ctl.scala 137:112] + node _T_33524 = add(_T_33523, _T_33516) @[exu_mul_ctl.scala 137:112] + node _T_33525 = add(_T_33524, _T_33517) @[exu_mul_ctl.scala 137:112] + node _T_33526 = add(_T_33525, _T_33518) @[exu_mul_ctl.scala 137:112] + node _T_33527 = add(_T_33526, _T_33519) @[exu_mul_ctl.scala 137:112] + node _T_33528 = eq(_T_33527, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33529 = bits(_T_33528, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33530 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_33531 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33532 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33533 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33534 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33535 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33536 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33537 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33538 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33539 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33540 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33541 = add(_T_33531, _T_33532) @[exu_mul_ctl.scala 137:112] + node _T_33542 = add(_T_33541, _T_33533) @[exu_mul_ctl.scala 137:112] + node _T_33543 = add(_T_33542, _T_33534) @[exu_mul_ctl.scala 137:112] + node _T_33544 = add(_T_33543, _T_33535) @[exu_mul_ctl.scala 137:112] + node _T_33545 = add(_T_33544, _T_33536) @[exu_mul_ctl.scala 137:112] + node _T_33546 = add(_T_33545, _T_33537) @[exu_mul_ctl.scala 137:112] + node _T_33547 = add(_T_33546, _T_33538) @[exu_mul_ctl.scala 137:112] + node _T_33548 = add(_T_33547, _T_33539) @[exu_mul_ctl.scala 137:112] + node _T_33549 = add(_T_33548, _T_33540) @[exu_mul_ctl.scala 137:112] + node _T_33550 = eq(_T_33549, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33551 = bits(_T_33550, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33552 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_33553 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33554 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33555 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33556 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33557 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33558 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33559 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33560 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33561 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33562 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33563 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33564 = add(_T_33553, _T_33554) @[exu_mul_ctl.scala 137:112] + node _T_33565 = add(_T_33564, _T_33555) @[exu_mul_ctl.scala 137:112] + node _T_33566 = add(_T_33565, _T_33556) @[exu_mul_ctl.scala 137:112] + node _T_33567 = add(_T_33566, _T_33557) @[exu_mul_ctl.scala 137:112] + node _T_33568 = add(_T_33567, _T_33558) @[exu_mul_ctl.scala 137:112] + node _T_33569 = add(_T_33568, _T_33559) @[exu_mul_ctl.scala 137:112] + node _T_33570 = add(_T_33569, _T_33560) @[exu_mul_ctl.scala 137:112] + node _T_33571 = add(_T_33570, _T_33561) @[exu_mul_ctl.scala 137:112] + node _T_33572 = add(_T_33571, _T_33562) @[exu_mul_ctl.scala 137:112] + node _T_33573 = add(_T_33572, _T_33563) @[exu_mul_ctl.scala 137:112] + node _T_33574 = eq(_T_33573, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33575 = bits(_T_33574, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33576 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_33577 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33578 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33579 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33580 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33581 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33582 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33583 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33584 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33585 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33586 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33587 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33588 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33589 = add(_T_33577, _T_33578) @[exu_mul_ctl.scala 137:112] + node _T_33590 = add(_T_33589, _T_33579) @[exu_mul_ctl.scala 137:112] + node _T_33591 = add(_T_33590, _T_33580) @[exu_mul_ctl.scala 137:112] + node _T_33592 = add(_T_33591, _T_33581) @[exu_mul_ctl.scala 137:112] + node _T_33593 = add(_T_33592, _T_33582) @[exu_mul_ctl.scala 137:112] + node _T_33594 = add(_T_33593, _T_33583) @[exu_mul_ctl.scala 137:112] + node _T_33595 = add(_T_33594, _T_33584) @[exu_mul_ctl.scala 137:112] + node _T_33596 = add(_T_33595, _T_33585) @[exu_mul_ctl.scala 137:112] + node _T_33597 = add(_T_33596, _T_33586) @[exu_mul_ctl.scala 137:112] + node _T_33598 = add(_T_33597, _T_33587) @[exu_mul_ctl.scala 137:112] + node _T_33599 = add(_T_33598, _T_33588) @[exu_mul_ctl.scala 137:112] + node _T_33600 = eq(_T_33599, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33601 = bits(_T_33600, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33602 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_33603 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33604 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33605 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33606 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33607 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33608 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33609 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33610 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33611 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33612 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33613 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33614 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33615 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33616 = add(_T_33603, _T_33604) @[exu_mul_ctl.scala 137:112] + node _T_33617 = add(_T_33616, _T_33605) @[exu_mul_ctl.scala 137:112] + node _T_33618 = add(_T_33617, _T_33606) @[exu_mul_ctl.scala 137:112] + node _T_33619 = add(_T_33618, _T_33607) @[exu_mul_ctl.scala 137:112] + node _T_33620 = add(_T_33619, _T_33608) @[exu_mul_ctl.scala 137:112] + node _T_33621 = add(_T_33620, _T_33609) @[exu_mul_ctl.scala 137:112] + node _T_33622 = add(_T_33621, _T_33610) @[exu_mul_ctl.scala 137:112] + node _T_33623 = add(_T_33622, _T_33611) @[exu_mul_ctl.scala 137:112] + node _T_33624 = add(_T_33623, _T_33612) @[exu_mul_ctl.scala 137:112] + node _T_33625 = add(_T_33624, _T_33613) @[exu_mul_ctl.scala 137:112] + node _T_33626 = add(_T_33625, _T_33614) @[exu_mul_ctl.scala 137:112] + node _T_33627 = add(_T_33626, _T_33615) @[exu_mul_ctl.scala 137:112] + node _T_33628 = eq(_T_33627, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33629 = bits(_T_33628, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33630 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_33631 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33632 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33633 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33634 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33635 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33636 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33637 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33638 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33639 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33640 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33641 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33642 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33643 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33644 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33645 = add(_T_33631, _T_33632) @[exu_mul_ctl.scala 137:112] + node _T_33646 = add(_T_33645, _T_33633) @[exu_mul_ctl.scala 137:112] + node _T_33647 = add(_T_33646, _T_33634) @[exu_mul_ctl.scala 137:112] + node _T_33648 = add(_T_33647, _T_33635) @[exu_mul_ctl.scala 137:112] + node _T_33649 = add(_T_33648, _T_33636) @[exu_mul_ctl.scala 137:112] + node _T_33650 = add(_T_33649, _T_33637) @[exu_mul_ctl.scala 137:112] + node _T_33651 = add(_T_33650, _T_33638) @[exu_mul_ctl.scala 137:112] + node _T_33652 = add(_T_33651, _T_33639) @[exu_mul_ctl.scala 137:112] + node _T_33653 = add(_T_33652, _T_33640) @[exu_mul_ctl.scala 137:112] + node _T_33654 = add(_T_33653, _T_33641) @[exu_mul_ctl.scala 137:112] + node _T_33655 = add(_T_33654, _T_33642) @[exu_mul_ctl.scala 137:112] + node _T_33656 = add(_T_33655, _T_33643) @[exu_mul_ctl.scala 137:112] + node _T_33657 = add(_T_33656, _T_33644) @[exu_mul_ctl.scala 137:112] + node _T_33658 = eq(_T_33657, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33659 = bits(_T_33658, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33660 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_33661 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33662 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33663 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33664 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33665 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33666 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33667 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33668 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33669 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33670 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33671 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33672 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33673 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33674 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33675 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33676 = add(_T_33661, _T_33662) @[exu_mul_ctl.scala 137:112] + node _T_33677 = add(_T_33676, _T_33663) @[exu_mul_ctl.scala 137:112] + node _T_33678 = add(_T_33677, _T_33664) @[exu_mul_ctl.scala 137:112] + node _T_33679 = add(_T_33678, _T_33665) @[exu_mul_ctl.scala 137:112] + node _T_33680 = add(_T_33679, _T_33666) @[exu_mul_ctl.scala 137:112] + node _T_33681 = add(_T_33680, _T_33667) @[exu_mul_ctl.scala 137:112] + node _T_33682 = add(_T_33681, _T_33668) @[exu_mul_ctl.scala 137:112] + node _T_33683 = add(_T_33682, _T_33669) @[exu_mul_ctl.scala 137:112] + node _T_33684 = add(_T_33683, _T_33670) @[exu_mul_ctl.scala 137:112] + node _T_33685 = add(_T_33684, _T_33671) @[exu_mul_ctl.scala 137:112] + node _T_33686 = add(_T_33685, _T_33672) @[exu_mul_ctl.scala 137:112] + node _T_33687 = add(_T_33686, _T_33673) @[exu_mul_ctl.scala 137:112] + node _T_33688 = add(_T_33687, _T_33674) @[exu_mul_ctl.scala 137:112] + node _T_33689 = add(_T_33688, _T_33675) @[exu_mul_ctl.scala 137:112] + node _T_33690 = eq(_T_33689, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33691 = bits(_T_33690, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33692 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_33693 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33694 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33695 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33696 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33697 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33698 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33699 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33700 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33701 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33702 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33703 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33704 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33705 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33706 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33707 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33708 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33709 = add(_T_33693, _T_33694) @[exu_mul_ctl.scala 137:112] + node _T_33710 = add(_T_33709, _T_33695) @[exu_mul_ctl.scala 137:112] + node _T_33711 = add(_T_33710, _T_33696) @[exu_mul_ctl.scala 137:112] + node _T_33712 = add(_T_33711, _T_33697) @[exu_mul_ctl.scala 137:112] + node _T_33713 = add(_T_33712, _T_33698) @[exu_mul_ctl.scala 137:112] + node _T_33714 = add(_T_33713, _T_33699) @[exu_mul_ctl.scala 137:112] + node _T_33715 = add(_T_33714, _T_33700) @[exu_mul_ctl.scala 137:112] + node _T_33716 = add(_T_33715, _T_33701) @[exu_mul_ctl.scala 137:112] + node _T_33717 = add(_T_33716, _T_33702) @[exu_mul_ctl.scala 137:112] + node _T_33718 = add(_T_33717, _T_33703) @[exu_mul_ctl.scala 137:112] + node _T_33719 = add(_T_33718, _T_33704) @[exu_mul_ctl.scala 137:112] + node _T_33720 = add(_T_33719, _T_33705) @[exu_mul_ctl.scala 137:112] + node _T_33721 = add(_T_33720, _T_33706) @[exu_mul_ctl.scala 137:112] + node _T_33722 = add(_T_33721, _T_33707) @[exu_mul_ctl.scala 137:112] + node _T_33723 = add(_T_33722, _T_33708) @[exu_mul_ctl.scala 137:112] + node _T_33724 = eq(_T_33723, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33725 = bits(_T_33724, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33726 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_33727 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33728 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33729 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33730 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33731 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33732 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33733 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33734 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33735 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33736 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33737 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33738 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33739 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33740 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33741 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33742 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33743 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33744 = add(_T_33727, _T_33728) @[exu_mul_ctl.scala 137:112] + node _T_33745 = add(_T_33744, _T_33729) @[exu_mul_ctl.scala 137:112] + node _T_33746 = add(_T_33745, _T_33730) @[exu_mul_ctl.scala 137:112] + node _T_33747 = add(_T_33746, _T_33731) @[exu_mul_ctl.scala 137:112] + node _T_33748 = add(_T_33747, _T_33732) @[exu_mul_ctl.scala 137:112] + node _T_33749 = add(_T_33748, _T_33733) @[exu_mul_ctl.scala 137:112] + node _T_33750 = add(_T_33749, _T_33734) @[exu_mul_ctl.scala 137:112] + node _T_33751 = add(_T_33750, _T_33735) @[exu_mul_ctl.scala 137:112] + node _T_33752 = add(_T_33751, _T_33736) @[exu_mul_ctl.scala 137:112] + node _T_33753 = add(_T_33752, _T_33737) @[exu_mul_ctl.scala 137:112] + node _T_33754 = add(_T_33753, _T_33738) @[exu_mul_ctl.scala 137:112] + node _T_33755 = add(_T_33754, _T_33739) @[exu_mul_ctl.scala 137:112] + node _T_33756 = add(_T_33755, _T_33740) @[exu_mul_ctl.scala 137:112] + node _T_33757 = add(_T_33756, _T_33741) @[exu_mul_ctl.scala 137:112] + node _T_33758 = add(_T_33757, _T_33742) @[exu_mul_ctl.scala 137:112] + node _T_33759 = add(_T_33758, _T_33743) @[exu_mul_ctl.scala 137:112] + node _T_33760 = eq(_T_33759, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33761 = bits(_T_33760, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33762 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_33763 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33764 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33765 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33766 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33767 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33768 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33769 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33770 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33771 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33772 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33773 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33774 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33775 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33776 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33777 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33778 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33779 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33780 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33781 = add(_T_33763, _T_33764) @[exu_mul_ctl.scala 137:112] + node _T_33782 = add(_T_33781, _T_33765) @[exu_mul_ctl.scala 137:112] + node _T_33783 = add(_T_33782, _T_33766) @[exu_mul_ctl.scala 137:112] + node _T_33784 = add(_T_33783, _T_33767) @[exu_mul_ctl.scala 137:112] + node _T_33785 = add(_T_33784, _T_33768) @[exu_mul_ctl.scala 137:112] + node _T_33786 = add(_T_33785, _T_33769) @[exu_mul_ctl.scala 137:112] + node _T_33787 = add(_T_33786, _T_33770) @[exu_mul_ctl.scala 137:112] + node _T_33788 = add(_T_33787, _T_33771) @[exu_mul_ctl.scala 137:112] + node _T_33789 = add(_T_33788, _T_33772) @[exu_mul_ctl.scala 137:112] + node _T_33790 = add(_T_33789, _T_33773) @[exu_mul_ctl.scala 137:112] + node _T_33791 = add(_T_33790, _T_33774) @[exu_mul_ctl.scala 137:112] + node _T_33792 = add(_T_33791, _T_33775) @[exu_mul_ctl.scala 137:112] + node _T_33793 = add(_T_33792, _T_33776) @[exu_mul_ctl.scala 137:112] + node _T_33794 = add(_T_33793, _T_33777) @[exu_mul_ctl.scala 137:112] + node _T_33795 = add(_T_33794, _T_33778) @[exu_mul_ctl.scala 137:112] + node _T_33796 = add(_T_33795, _T_33779) @[exu_mul_ctl.scala 137:112] + node _T_33797 = add(_T_33796, _T_33780) @[exu_mul_ctl.scala 137:112] + node _T_33798 = eq(_T_33797, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33799 = bits(_T_33798, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33800 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_33801 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33802 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33803 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33804 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33805 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33806 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33807 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33808 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33809 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33810 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33811 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33812 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33813 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33814 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33815 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33816 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33817 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33818 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33819 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33820 = add(_T_33801, _T_33802) @[exu_mul_ctl.scala 137:112] + node _T_33821 = add(_T_33820, _T_33803) @[exu_mul_ctl.scala 137:112] + node _T_33822 = add(_T_33821, _T_33804) @[exu_mul_ctl.scala 137:112] + node _T_33823 = add(_T_33822, _T_33805) @[exu_mul_ctl.scala 137:112] + node _T_33824 = add(_T_33823, _T_33806) @[exu_mul_ctl.scala 137:112] + node _T_33825 = add(_T_33824, _T_33807) @[exu_mul_ctl.scala 137:112] + node _T_33826 = add(_T_33825, _T_33808) @[exu_mul_ctl.scala 137:112] + node _T_33827 = add(_T_33826, _T_33809) @[exu_mul_ctl.scala 137:112] + node _T_33828 = add(_T_33827, _T_33810) @[exu_mul_ctl.scala 137:112] + node _T_33829 = add(_T_33828, _T_33811) @[exu_mul_ctl.scala 137:112] + node _T_33830 = add(_T_33829, _T_33812) @[exu_mul_ctl.scala 137:112] + node _T_33831 = add(_T_33830, _T_33813) @[exu_mul_ctl.scala 137:112] + node _T_33832 = add(_T_33831, _T_33814) @[exu_mul_ctl.scala 137:112] + node _T_33833 = add(_T_33832, _T_33815) @[exu_mul_ctl.scala 137:112] + node _T_33834 = add(_T_33833, _T_33816) @[exu_mul_ctl.scala 137:112] + node _T_33835 = add(_T_33834, _T_33817) @[exu_mul_ctl.scala 137:112] + node _T_33836 = add(_T_33835, _T_33818) @[exu_mul_ctl.scala 137:112] + node _T_33837 = add(_T_33836, _T_33819) @[exu_mul_ctl.scala 137:112] + node _T_33838 = eq(_T_33837, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33839 = bits(_T_33838, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33840 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_33841 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33842 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33843 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33844 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33845 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33846 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33847 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33848 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33849 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33850 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33851 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33852 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33853 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33854 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33855 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33856 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33857 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33858 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33859 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33860 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33861 = add(_T_33841, _T_33842) @[exu_mul_ctl.scala 137:112] + node _T_33862 = add(_T_33861, _T_33843) @[exu_mul_ctl.scala 137:112] + node _T_33863 = add(_T_33862, _T_33844) @[exu_mul_ctl.scala 137:112] + node _T_33864 = add(_T_33863, _T_33845) @[exu_mul_ctl.scala 137:112] + node _T_33865 = add(_T_33864, _T_33846) @[exu_mul_ctl.scala 137:112] + node _T_33866 = add(_T_33865, _T_33847) @[exu_mul_ctl.scala 137:112] + node _T_33867 = add(_T_33866, _T_33848) @[exu_mul_ctl.scala 137:112] + node _T_33868 = add(_T_33867, _T_33849) @[exu_mul_ctl.scala 137:112] + node _T_33869 = add(_T_33868, _T_33850) @[exu_mul_ctl.scala 137:112] + node _T_33870 = add(_T_33869, _T_33851) @[exu_mul_ctl.scala 137:112] + node _T_33871 = add(_T_33870, _T_33852) @[exu_mul_ctl.scala 137:112] + node _T_33872 = add(_T_33871, _T_33853) @[exu_mul_ctl.scala 137:112] + node _T_33873 = add(_T_33872, _T_33854) @[exu_mul_ctl.scala 137:112] + node _T_33874 = add(_T_33873, _T_33855) @[exu_mul_ctl.scala 137:112] + node _T_33875 = add(_T_33874, _T_33856) @[exu_mul_ctl.scala 137:112] + node _T_33876 = add(_T_33875, _T_33857) @[exu_mul_ctl.scala 137:112] + node _T_33877 = add(_T_33876, _T_33858) @[exu_mul_ctl.scala 137:112] + node _T_33878 = add(_T_33877, _T_33859) @[exu_mul_ctl.scala 137:112] + node _T_33879 = add(_T_33878, _T_33860) @[exu_mul_ctl.scala 137:112] + node _T_33880 = eq(_T_33879, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33881 = bits(_T_33880, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33882 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_33883 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33884 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33885 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33886 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33887 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33888 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33889 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33890 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33891 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33892 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33893 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33894 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33895 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33896 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33897 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33898 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33899 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33900 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33901 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33902 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33903 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33904 = add(_T_33883, _T_33884) @[exu_mul_ctl.scala 137:112] + node _T_33905 = add(_T_33904, _T_33885) @[exu_mul_ctl.scala 137:112] + node _T_33906 = add(_T_33905, _T_33886) @[exu_mul_ctl.scala 137:112] + node _T_33907 = add(_T_33906, _T_33887) @[exu_mul_ctl.scala 137:112] + node _T_33908 = add(_T_33907, _T_33888) @[exu_mul_ctl.scala 137:112] + node _T_33909 = add(_T_33908, _T_33889) @[exu_mul_ctl.scala 137:112] + node _T_33910 = add(_T_33909, _T_33890) @[exu_mul_ctl.scala 137:112] + node _T_33911 = add(_T_33910, _T_33891) @[exu_mul_ctl.scala 137:112] + node _T_33912 = add(_T_33911, _T_33892) @[exu_mul_ctl.scala 137:112] + node _T_33913 = add(_T_33912, _T_33893) @[exu_mul_ctl.scala 137:112] + node _T_33914 = add(_T_33913, _T_33894) @[exu_mul_ctl.scala 137:112] + node _T_33915 = add(_T_33914, _T_33895) @[exu_mul_ctl.scala 137:112] + node _T_33916 = add(_T_33915, _T_33896) @[exu_mul_ctl.scala 137:112] + node _T_33917 = add(_T_33916, _T_33897) @[exu_mul_ctl.scala 137:112] + node _T_33918 = add(_T_33917, _T_33898) @[exu_mul_ctl.scala 137:112] + node _T_33919 = add(_T_33918, _T_33899) @[exu_mul_ctl.scala 137:112] + node _T_33920 = add(_T_33919, _T_33900) @[exu_mul_ctl.scala 137:112] + node _T_33921 = add(_T_33920, _T_33901) @[exu_mul_ctl.scala 137:112] + node _T_33922 = add(_T_33921, _T_33902) @[exu_mul_ctl.scala 137:112] + node _T_33923 = add(_T_33922, _T_33903) @[exu_mul_ctl.scala 137:112] + node _T_33924 = eq(_T_33923, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33925 = bits(_T_33924, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33926 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_33927 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33928 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33929 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33930 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33931 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33932 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33933 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33934 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33935 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33936 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33937 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33938 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33939 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33940 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33941 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33942 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33943 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33944 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33945 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33946 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33947 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33948 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33949 = add(_T_33927, _T_33928) @[exu_mul_ctl.scala 137:112] + node _T_33950 = add(_T_33949, _T_33929) @[exu_mul_ctl.scala 137:112] + node _T_33951 = add(_T_33950, _T_33930) @[exu_mul_ctl.scala 137:112] + node _T_33952 = add(_T_33951, _T_33931) @[exu_mul_ctl.scala 137:112] + node _T_33953 = add(_T_33952, _T_33932) @[exu_mul_ctl.scala 137:112] + node _T_33954 = add(_T_33953, _T_33933) @[exu_mul_ctl.scala 137:112] + node _T_33955 = add(_T_33954, _T_33934) @[exu_mul_ctl.scala 137:112] + node _T_33956 = add(_T_33955, _T_33935) @[exu_mul_ctl.scala 137:112] + node _T_33957 = add(_T_33956, _T_33936) @[exu_mul_ctl.scala 137:112] + node _T_33958 = add(_T_33957, _T_33937) @[exu_mul_ctl.scala 137:112] + node _T_33959 = add(_T_33958, _T_33938) @[exu_mul_ctl.scala 137:112] + node _T_33960 = add(_T_33959, _T_33939) @[exu_mul_ctl.scala 137:112] + node _T_33961 = add(_T_33960, _T_33940) @[exu_mul_ctl.scala 137:112] + node _T_33962 = add(_T_33961, _T_33941) @[exu_mul_ctl.scala 137:112] + node _T_33963 = add(_T_33962, _T_33942) @[exu_mul_ctl.scala 137:112] + node _T_33964 = add(_T_33963, _T_33943) @[exu_mul_ctl.scala 137:112] + node _T_33965 = add(_T_33964, _T_33944) @[exu_mul_ctl.scala 137:112] + node _T_33966 = add(_T_33965, _T_33945) @[exu_mul_ctl.scala 137:112] + node _T_33967 = add(_T_33966, _T_33946) @[exu_mul_ctl.scala 137:112] + node _T_33968 = add(_T_33967, _T_33947) @[exu_mul_ctl.scala 137:112] + node _T_33969 = add(_T_33968, _T_33948) @[exu_mul_ctl.scala 137:112] + node _T_33970 = eq(_T_33969, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33971 = bits(_T_33970, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33972 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_33973 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33974 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33975 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33976 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33977 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33978 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33979 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33980 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33981 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33982 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33983 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33984 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33985 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33986 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33987 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33988 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33989 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33990 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33991 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33992 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33993 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33994 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33995 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33996 = add(_T_33973, _T_33974) @[exu_mul_ctl.scala 137:112] + node _T_33997 = add(_T_33996, _T_33975) @[exu_mul_ctl.scala 137:112] + node _T_33998 = add(_T_33997, _T_33976) @[exu_mul_ctl.scala 137:112] + node _T_33999 = add(_T_33998, _T_33977) @[exu_mul_ctl.scala 137:112] + node _T_34000 = add(_T_33999, _T_33978) @[exu_mul_ctl.scala 137:112] + node _T_34001 = add(_T_34000, _T_33979) @[exu_mul_ctl.scala 137:112] + node _T_34002 = add(_T_34001, _T_33980) @[exu_mul_ctl.scala 137:112] + node _T_34003 = add(_T_34002, _T_33981) @[exu_mul_ctl.scala 137:112] + node _T_34004 = add(_T_34003, _T_33982) @[exu_mul_ctl.scala 137:112] + node _T_34005 = add(_T_34004, _T_33983) @[exu_mul_ctl.scala 137:112] + node _T_34006 = add(_T_34005, _T_33984) @[exu_mul_ctl.scala 137:112] + node _T_34007 = add(_T_34006, _T_33985) @[exu_mul_ctl.scala 137:112] + node _T_34008 = add(_T_34007, _T_33986) @[exu_mul_ctl.scala 137:112] + node _T_34009 = add(_T_34008, _T_33987) @[exu_mul_ctl.scala 137:112] + node _T_34010 = add(_T_34009, _T_33988) @[exu_mul_ctl.scala 137:112] + node _T_34011 = add(_T_34010, _T_33989) @[exu_mul_ctl.scala 137:112] + node _T_34012 = add(_T_34011, _T_33990) @[exu_mul_ctl.scala 137:112] + node _T_34013 = add(_T_34012, _T_33991) @[exu_mul_ctl.scala 137:112] + node _T_34014 = add(_T_34013, _T_33992) @[exu_mul_ctl.scala 137:112] + node _T_34015 = add(_T_34014, _T_33993) @[exu_mul_ctl.scala 137:112] + node _T_34016 = add(_T_34015, _T_33994) @[exu_mul_ctl.scala 137:112] + node _T_34017 = add(_T_34016, _T_33995) @[exu_mul_ctl.scala 137:112] + node _T_34018 = eq(_T_34017, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34019 = bits(_T_34018, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34020 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_34021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34028 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34030 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34031 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34032 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34033 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34034 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34035 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34036 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34037 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34038 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34039 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34040 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34041 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34042 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34043 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34044 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34045 = add(_T_34021, _T_34022) @[exu_mul_ctl.scala 137:112] + node _T_34046 = add(_T_34045, _T_34023) @[exu_mul_ctl.scala 137:112] + node _T_34047 = add(_T_34046, _T_34024) @[exu_mul_ctl.scala 137:112] + node _T_34048 = add(_T_34047, _T_34025) @[exu_mul_ctl.scala 137:112] + node _T_34049 = add(_T_34048, _T_34026) @[exu_mul_ctl.scala 137:112] + node _T_34050 = add(_T_34049, _T_34027) @[exu_mul_ctl.scala 137:112] + node _T_34051 = add(_T_34050, _T_34028) @[exu_mul_ctl.scala 137:112] + node _T_34052 = add(_T_34051, _T_34029) @[exu_mul_ctl.scala 137:112] + node _T_34053 = add(_T_34052, _T_34030) @[exu_mul_ctl.scala 137:112] + node _T_34054 = add(_T_34053, _T_34031) @[exu_mul_ctl.scala 137:112] + node _T_34055 = add(_T_34054, _T_34032) @[exu_mul_ctl.scala 137:112] + node _T_34056 = add(_T_34055, _T_34033) @[exu_mul_ctl.scala 137:112] + node _T_34057 = add(_T_34056, _T_34034) @[exu_mul_ctl.scala 137:112] + node _T_34058 = add(_T_34057, _T_34035) @[exu_mul_ctl.scala 137:112] + node _T_34059 = add(_T_34058, _T_34036) @[exu_mul_ctl.scala 137:112] + node _T_34060 = add(_T_34059, _T_34037) @[exu_mul_ctl.scala 137:112] + node _T_34061 = add(_T_34060, _T_34038) @[exu_mul_ctl.scala 137:112] + node _T_34062 = add(_T_34061, _T_34039) @[exu_mul_ctl.scala 137:112] + node _T_34063 = add(_T_34062, _T_34040) @[exu_mul_ctl.scala 137:112] + node _T_34064 = add(_T_34063, _T_34041) @[exu_mul_ctl.scala 137:112] + node _T_34065 = add(_T_34064, _T_34042) @[exu_mul_ctl.scala 137:112] + node _T_34066 = add(_T_34065, _T_34043) @[exu_mul_ctl.scala 137:112] + node _T_34067 = add(_T_34066, _T_34044) @[exu_mul_ctl.scala 137:112] + node _T_34068 = eq(_T_34067, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34069 = bits(_T_34068, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34070 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_34071 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34072 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34073 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34074 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34075 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34076 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34077 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34078 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34079 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34080 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34081 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34082 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34083 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34084 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34085 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34086 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34087 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34088 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34089 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34090 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34091 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34092 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34093 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34094 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34095 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34096 = add(_T_34071, _T_34072) @[exu_mul_ctl.scala 137:112] + node _T_34097 = add(_T_34096, _T_34073) @[exu_mul_ctl.scala 137:112] + node _T_34098 = add(_T_34097, _T_34074) @[exu_mul_ctl.scala 137:112] + node _T_34099 = add(_T_34098, _T_34075) @[exu_mul_ctl.scala 137:112] + node _T_34100 = add(_T_34099, _T_34076) @[exu_mul_ctl.scala 137:112] + node _T_34101 = add(_T_34100, _T_34077) @[exu_mul_ctl.scala 137:112] + node _T_34102 = add(_T_34101, _T_34078) @[exu_mul_ctl.scala 137:112] + node _T_34103 = add(_T_34102, _T_34079) @[exu_mul_ctl.scala 137:112] + node _T_34104 = add(_T_34103, _T_34080) @[exu_mul_ctl.scala 137:112] + node _T_34105 = add(_T_34104, _T_34081) @[exu_mul_ctl.scala 137:112] + node _T_34106 = add(_T_34105, _T_34082) @[exu_mul_ctl.scala 137:112] + node _T_34107 = add(_T_34106, _T_34083) @[exu_mul_ctl.scala 137:112] + node _T_34108 = add(_T_34107, _T_34084) @[exu_mul_ctl.scala 137:112] + node _T_34109 = add(_T_34108, _T_34085) @[exu_mul_ctl.scala 137:112] + node _T_34110 = add(_T_34109, _T_34086) @[exu_mul_ctl.scala 137:112] + node _T_34111 = add(_T_34110, _T_34087) @[exu_mul_ctl.scala 137:112] + node _T_34112 = add(_T_34111, _T_34088) @[exu_mul_ctl.scala 137:112] + node _T_34113 = add(_T_34112, _T_34089) @[exu_mul_ctl.scala 137:112] + node _T_34114 = add(_T_34113, _T_34090) @[exu_mul_ctl.scala 137:112] + node _T_34115 = add(_T_34114, _T_34091) @[exu_mul_ctl.scala 137:112] + node _T_34116 = add(_T_34115, _T_34092) @[exu_mul_ctl.scala 137:112] + node _T_34117 = add(_T_34116, _T_34093) @[exu_mul_ctl.scala 137:112] + node _T_34118 = add(_T_34117, _T_34094) @[exu_mul_ctl.scala 137:112] + node _T_34119 = add(_T_34118, _T_34095) @[exu_mul_ctl.scala 137:112] + node _T_34120 = eq(_T_34119, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34121 = bits(_T_34120, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34122 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_34123 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34124 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34125 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34126 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34127 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34128 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34129 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34130 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34131 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34132 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34133 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34134 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34135 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34136 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34137 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34138 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34139 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34140 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34141 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34142 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34143 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34144 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34145 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34146 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34147 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34148 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34149 = add(_T_34123, _T_34124) @[exu_mul_ctl.scala 137:112] + node _T_34150 = add(_T_34149, _T_34125) @[exu_mul_ctl.scala 137:112] + node _T_34151 = add(_T_34150, _T_34126) @[exu_mul_ctl.scala 137:112] + node _T_34152 = add(_T_34151, _T_34127) @[exu_mul_ctl.scala 137:112] + node _T_34153 = add(_T_34152, _T_34128) @[exu_mul_ctl.scala 137:112] + node _T_34154 = add(_T_34153, _T_34129) @[exu_mul_ctl.scala 137:112] + node _T_34155 = add(_T_34154, _T_34130) @[exu_mul_ctl.scala 137:112] + node _T_34156 = add(_T_34155, _T_34131) @[exu_mul_ctl.scala 137:112] + node _T_34157 = add(_T_34156, _T_34132) @[exu_mul_ctl.scala 137:112] + node _T_34158 = add(_T_34157, _T_34133) @[exu_mul_ctl.scala 137:112] + node _T_34159 = add(_T_34158, _T_34134) @[exu_mul_ctl.scala 137:112] + node _T_34160 = add(_T_34159, _T_34135) @[exu_mul_ctl.scala 137:112] + node _T_34161 = add(_T_34160, _T_34136) @[exu_mul_ctl.scala 137:112] + node _T_34162 = add(_T_34161, _T_34137) @[exu_mul_ctl.scala 137:112] + node _T_34163 = add(_T_34162, _T_34138) @[exu_mul_ctl.scala 137:112] + node _T_34164 = add(_T_34163, _T_34139) @[exu_mul_ctl.scala 137:112] + node _T_34165 = add(_T_34164, _T_34140) @[exu_mul_ctl.scala 137:112] + node _T_34166 = add(_T_34165, _T_34141) @[exu_mul_ctl.scala 137:112] + node _T_34167 = add(_T_34166, _T_34142) @[exu_mul_ctl.scala 137:112] + node _T_34168 = add(_T_34167, _T_34143) @[exu_mul_ctl.scala 137:112] + node _T_34169 = add(_T_34168, _T_34144) @[exu_mul_ctl.scala 137:112] + node _T_34170 = add(_T_34169, _T_34145) @[exu_mul_ctl.scala 137:112] + node _T_34171 = add(_T_34170, _T_34146) @[exu_mul_ctl.scala 137:112] + node _T_34172 = add(_T_34171, _T_34147) @[exu_mul_ctl.scala 137:112] + node _T_34173 = add(_T_34172, _T_34148) @[exu_mul_ctl.scala 137:112] + node _T_34174 = eq(_T_34173, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34175 = bits(_T_34174, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34176 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_34177 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34178 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34179 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34180 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34181 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34182 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34183 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34184 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34185 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34186 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34187 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34188 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34189 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34190 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34191 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34192 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34193 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34194 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34195 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34196 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34197 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34198 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34199 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34200 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34201 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34202 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34203 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34204 = add(_T_34177, _T_34178) @[exu_mul_ctl.scala 137:112] + node _T_34205 = add(_T_34204, _T_34179) @[exu_mul_ctl.scala 137:112] + node _T_34206 = add(_T_34205, _T_34180) @[exu_mul_ctl.scala 137:112] + node _T_34207 = add(_T_34206, _T_34181) @[exu_mul_ctl.scala 137:112] + node _T_34208 = add(_T_34207, _T_34182) @[exu_mul_ctl.scala 137:112] + node _T_34209 = add(_T_34208, _T_34183) @[exu_mul_ctl.scala 137:112] + node _T_34210 = add(_T_34209, _T_34184) @[exu_mul_ctl.scala 137:112] + node _T_34211 = add(_T_34210, _T_34185) @[exu_mul_ctl.scala 137:112] + node _T_34212 = add(_T_34211, _T_34186) @[exu_mul_ctl.scala 137:112] + node _T_34213 = add(_T_34212, _T_34187) @[exu_mul_ctl.scala 137:112] + node _T_34214 = add(_T_34213, _T_34188) @[exu_mul_ctl.scala 137:112] + node _T_34215 = add(_T_34214, _T_34189) @[exu_mul_ctl.scala 137:112] + node _T_34216 = add(_T_34215, _T_34190) @[exu_mul_ctl.scala 137:112] + node _T_34217 = add(_T_34216, _T_34191) @[exu_mul_ctl.scala 137:112] + node _T_34218 = add(_T_34217, _T_34192) @[exu_mul_ctl.scala 137:112] + node _T_34219 = add(_T_34218, _T_34193) @[exu_mul_ctl.scala 137:112] + node _T_34220 = add(_T_34219, _T_34194) @[exu_mul_ctl.scala 137:112] + node _T_34221 = add(_T_34220, _T_34195) @[exu_mul_ctl.scala 137:112] + node _T_34222 = add(_T_34221, _T_34196) @[exu_mul_ctl.scala 137:112] + node _T_34223 = add(_T_34222, _T_34197) @[exu_mul_ctl.scala 137:112] + node _T_34224 = add(_T_34223, _T_34198) @[exu_mul_ctl.scala 137:112] + node _T_34225 = add(_T_34224, _T_34199) @[exu_mul_ctl.scala 137:112] + node _T_34226 = add(_T_34225, _T_34200) @[exu_mul_ctl.scala 137:112] + node _T_34227 = add(_T_34226, _T_34201) @[exu_mul_ctl.scala 137:112] + node _T_34228 = add(_T_34227, _T_34202) @[exu_mul_ctl.scala 137:112] + node _T_34229 = add(_T_34228, _T_34203) @[exu_mul_ctl.scala 137:112] + node _T_34230 = eq(_T_34229, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34231 = bits(_T_34230, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34232 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_34233 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34234 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34235 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34236 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34237 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34238 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34239 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34240 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34241 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34242 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34243 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34244 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34245 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34246 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34247 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34248 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34249 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34250 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34251 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34252 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34253 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34254 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34255 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34256 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34257 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34258 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34259 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34260 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_34261 = add(_T_34233, _T_34234) @[exu_mul_ctl.scala 137:112] + node _T_34262 = add(_T_34261, _T_34235) @[exu_mul_ctl.scala 137:112] + node _T_34263 = add(_T_34262, _T_34236) @[exu_mul_ctl.scala 137:112] + node _T_34264 = add(_T_34263, _T_34237) @[exu_mul_ctl.scala 137:112] + node _T_34265 = add(_T_34264, _T_34238) @[exu_mul_ctl.scala 137:112] + node _T_34266 = add(_T_34265, _T_34239) @[exu_mul_ctl.scala 137:112] + node _T_34267 = add(_T_34266, _T_34240) @[exu_mul_ctl.scala 137:112] + node _T_34268 = add(_T_34267, _T_34241) @[exu_mul_ctl.scala 137:112] + node _T_34269 = add(_T_34268, _T_34242) @[exu_mul_ctl.scala 137:112] + node _T_34270 = add(_T_34269, _T_34243) @[exu_mul_ctl.scala 137:112] + node _T_34271 = add(_T_34270, _T_34244) @[exu_mul_ctl.scala 137:112] + node _T_34272 = add(_T_34271, _T_34245) @[exu_mul_ctl.scala 137:112] + node _T_34273 = add(_T_34272, _T_34246) @[exu_mul_ctl.scala 137:112] + node _T_34274 = add(_T_34273, _T_34247) @[exu_mul_ctl.scala 137:112] + node _T_34275 = add(_T_34274, _T_34248) @[exu_mul_ctl.scala 137:112] + node _T_34276 = add(_T_34275, _T_34249) @[exu_mul_ctl.scala 137:112] + node _T_34277 = add(_T_34276, _T_34250) @[exu_mul_ctl.scala 137:112] + node _T_34278 = add(_T_34277, _T_34251) @[exu_mul_ctl.scala 137:112] + node _T_34279 = add(_T_34278, _T_34252) @[exu_mul_ctl.scala 137:112] + node _T_34280 = add(_T_34279, _T_34253) @[exu_mul_ctl.scala 137:112] + node _T_34281 = add(_T_34280, _T_34254) @[exu_mul_ctl.scala 137:112] + node _T_34282 = add(_T_34281, _T_34255) @[exu_mul_ctl.scala 137:112] + node _T_34283 = add(_T_34282, _T_34256) @[exu_mul_ctl.scala 137:112] + node _T_34284 = add(_T_34283, _T_34257) @[exu_mul_ctl.scala 137:112] + node _T_34285 = add(_T_34284, _T_34258) @[exu_mul_ctl.scala 137:112] + node _T_34286 = add(_T_34285, _T_34259) @[exu_mul_ctl.scala 137:112] + node _T_34287 = add(_T_34286, _T_34260) @[exu_mul_ctl.scala 137:112] + node _T_34288 = eq(_T_34287, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34289 = bits(_T_34288, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34290 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_34291 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34292 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34293 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34294 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34295 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34296 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34297 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34298 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34299 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34300 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34301 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34302 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34303 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34304 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34305 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34306 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34307 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34308 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34309 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34310 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34311 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34312 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34313 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34314 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34315 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34316 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34317 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34318 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_34319 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_34320 = add(_T_34291, _T_34292) @[exu_mul_ctl.scala 137:112] + node _T_34321 = add(_T_34320, _T_34293) @[exu_mul_ctl.scala 137:112] + node _T_34322 = add(_T_34321, _T_34294) @[exu_mul_ctl.scala 137:112] + node _T_34323 = add(_T_34322, _T_34295) @[exu_mul_ctl.scala 137:112] + node _T_34324 = add(_T_34323, _T_34296) @[exu_mul_ctl.scala 137:112] + node _T_34325 = add(_T_34324, _T_34297) @[exu_mul_ctl.scala 137:112] + node _T_34326 = add(_T_34325, _T_34298) @[exu_mul_ctl.scala 137:112] + node _T_34327 = add(_T_34326, _T_34299) @[exu_mul_ctl.scala 137:112] + node _T_34328 = add(_T_34327, _T_34300) @[exu_mul_ctl.scala 137:112] + node _T_34329 = add(_T_34328, _T_34301) @[exu_mul_ctl.scala 137:112] + node _T_34330 = add(_T_34329, _T_34302) @[exu_mul_ctl.scala 137:112] + node _T_34331 = add(_T_34330, _T_34303) @[exu_mul_ctl.scala 137:112] + node _T_34332 = add(_T_34331, _T_34304) @[exu_mul_ctl.scala 137:112] + node _T_34333 = add(_T_34332, _T_34305) @[exu_mul_ctl.scala 137:112] + node _T_34334 = add(_T_34333, _T_34306) @[exu_mul_ctl.scala 137:112] + node _T_34335 = add(_T_34334, _T_34307) @[exu_mul_ctl.scala 137:112] + node _T_34336 = add(_T_34335, _T_34308) @[exu_mul_ctl.scala 137:112] + node _T_34337 = add(_T_34336, _T_34309) @[exu_mul_ctl.scala 137:112] + node _T_34338 = add(_T_34337, _T_34310) @[exu_mul_ctl.scala 137:112] + node _T_34339 = add(_T_34338, _T_34311) @[exu_mul_ctl.scala 137:112] + node _T_34340 = add(_T_34339, _T_34312) @[exu_mul_ctl.scala 137:112] + node _T_34341 = add(_T_34340, _T_34313) @[exu_mul_ctl.scala 137:112] + node _T_34342 = add(_T_34341, _T_34314) @[exu_mul_ctl.scala 137:112] + node _T_34343 = add(_T_34342, _T_34315) @[exu_mul_ctl.scala 137:112] + node _T_34344 = add(_T_34343, _T_34316) @[exu_mul_ctl.scala 137:112] + node _T_34345 = add(_T_34344, _T_34317) @[exu_mul_ctl.scala 137:112] + node _T_34346 = add(_T_34345, _T_34318) @[exu_mul_ctl.scala 137:112] + node _T_34347 = add(_T_34346, _T_34319) @[exu_mul_ctl.scala 137:112] + node _T_34348 = eq(_T_34347, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34349 = bits(_T_34348, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34350 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_34351 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34352 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34353 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34354 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34355 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34356 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34357 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34358 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34359 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34360 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34361 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34362 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34363 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34364 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34365 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34366 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34367 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34368 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34369 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34370 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34371 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34372 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34373 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34374 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34375 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34376 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34377 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34378 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_34379 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_34380 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_34381 = add(_T_34351, _T_34352) @[exu_mul_ctl.scala 137:112] + node _T_34382 = add(_T_34381, _T_34353) @[exu_mul_ctl.scala 137:112] + node _T_34383 = add(_T_34382, _T_34354) @[exu_mul_ctl.scala 137:112] + node _T_34384 = add(_T_34383, _T_34355) @[exu_mul_ctl.scala 137:112] + node _T_34385 = add(_T_34384, _T_34356) @[exu_mul_ctl.scala 137:112] + node _T_34386 = add(_T_34385, _T_34357) @[exu_mul_ctl.scala 137:112] + node _T_34387 = add(_T_34386, _T_34358) @[exu_mul_ctl.scala 137:112] + node _T_34388 = add(_T_34387, _T_34359) @[exu_mul_ctl.scala 137:112] + node _T_34389 = add(_T_34388, _T_34360) @[exu_mul_ctl.scala 137:112] + node _T_34390 = add(_T_34389, _T_34361) @[exu_mul_ctl.scala 137:112] + node _T_34391 = add(_T_34390, _T_34362) @[exu_mul_ctl.scala 137:112] + node _T_34392 = add(_T_34391, _T_34363) @[exu_mul_ctl.scala 137:112] + node _T_34393 = add(_T_34392, _T_34364) @[exu_mul_ctl.scala 137:112] + node _T_34394 = add(_T_34393, _T_34365) @[exu_mul_ctl.scala 137:112] + node _T_34395 = add(_T_34394, _T_34366) @[exu_mul_ctl.scala 137:112] + node _T_34396 = add(_T_34395, _T_34367) @[exu_mul_ctl.scala 137:112] + node _T_34397 = add(_T_34396, _T_34368) @[exu_mul_ctl.scala 137:112] + node _T_34398 = add(_T_34397, _T_34369) @[exu_mul_ctl.scala 137:112] + node _T_34399 = add(_T_34398, _T_34370) @[exu_mul_ctl.scala 137:112] + node _T_34400 = add(_T_34399, _T_34371) @[exu_mul_ctl.scala 137:112] + node _T_34401 = add(_T_34400, _T_34372) @[exu_mul_ctl.scala 137:112] + node _T_34402 = add(_T_34401, _T_34373) @[exu_mul_ctl.scala 137:112] + node _T_34403 = add(_T_34402, _T_34374) @[exu_mul_ctl.scala 137:112] + node _T_34404 = add(_T_34403, _T_34375) @[exu_mul_ctl.scala 137:112] + node _T_34405 = add(_T_34404, _T_34376) @[exu_mul_ctl.scala 137:112] + node _T_34406 = add(_T_34405, _T_34377) @[exu_mul_ctl.scala 137:112] + node _T_34407 = add(_T_34406, _T_34378) @[exu_mul_ctl.scala 137:112] + node _T_34408 = add(_T_34407, _T_34379) @[exu_mul_ctl.scala 137:112] + node _T_34409 = add(_T_34408, _T_34380) @[exu_mul_ctl.scala 137:112] + node _T_34410 = eq(_T_34409, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34411 = bits(_T_34410, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34412 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_34413 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34414 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34415 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34416 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34417 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34418 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34419 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34420 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34421 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34422 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34423 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34424 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34425 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34426 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34427 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34428 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34429 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34430 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34431 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34432 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34433 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34434 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34435 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34436 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34437 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34438 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34439 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34440 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_34441 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_34442 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_34443 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_34444 = add(_T_34413, _T_34414) @[exu_mul_ctl.scala 137:112] + node _T_34445 = add(_T_34444, _T_34415) @[exu_mul_ctl.scala 137:112] + node _T_34446 = add(_T_34445, _T_34416) @[exu_mul_ctl.scala 137:112] + node _T_34447 = add(_T_34446, _T_34417) @[exu_mul_ctl.scala 137:112] + node _T_34448 = add(_T_34447, _T_34418) @[exu_mul_ctl.scala 137:112] + node _T_34449 = add(_T_34448, _T_34419) @[exu_mul_ctl.scala 137:112] + node _T_34450 = add(_T_34449, _T_34420) @[exu_mul_ctl.scala 137:112] + node _T_34451 = add(_T_34450, _T_34421) @[exu_mul_ctl.scala 137:112] + node _T_34452 = add(_T_34451, _T_34422) @[exu_mul_ctl.scala 137:112] + node _T_34453 = add(_T_34452, _T_34423) @[exu_mul_ctl.scala 137:112] + node _T_34454 = add(_T_34453, _T_34424) @[exu_mul_ctl.scala 137:112] + node _T_34455 = add(_T_34454, _T_34425) @[exu_mul_ctl.scala 137:112] + node _T_34456 = add(_T_34455, _T_34426) @[exu_mul_ctl.scala 137:112] + node _T_34457 = add(_T_34456, _T_34427) @[exu_mul_ctl.scala 137:112] + node _T_34458 = add(_T_34457, _T_34428) @[exu_mul_ctl.scala 137:112] + node _T_34459 = add(_T_34458, _T_34429) @[exu_mul_ctl.scala 137:112] + node _T_34460 = add(_T_34459, _T_34430) @[exu_mul_ctl.scala 137:112] + node _T_34461 = add(_T_34460, _T_34431) @[exu_mul_ctl.scala 137:112] + node _T_34462 = add(_T_34461, _T_34432) @[exu_mul_ctl.scala 137:112] + node _T_34463 = add(_T_34462, _T_34433) @[exu_mul_ctl.scala 137:112] + node _T_34464 = add(_T_34463, _T_34434) @[exu_mul_ctl.scala 137:112] + node _T_34465 = add(_T_34464, _T_34435) @[exu_mul_ctl.scala 137:112] + node _T_34466 = add(_T_34465, _T_34436) @[exu_mul_ctl.scala 137:112] + node _T_34467 = add(_T_34466, _T_34437) @[exu_mul_ctl.scala 137:112] + node _T_34468 = add(_T_34467, _T_34438) @[exu_mul_ctl.scala 137:112] + node _T_34469 = add(_T_34468, _T_34439) @[exu_mul_ctl.scala 137:112] + node _T_34470 = add(_T_34469, _T_34440) @[exu_mul_ctl.scala 137:112] + node _T_34471 = add(_T_34470, _T_34441) @[exu_mul_ctl.scala 137:112] + node _T_34472 = add(_T_34471, _T_34442) @[exu_mul_ctl.scala 137:112] + node _T_34473 = add(_T_34472, _T_34443) @[exu_mul_ctl.scala 137:112] + node _T_34474 = eq(_T_34473, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34475 = bits(_T_34474, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34476 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_34477 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34478 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34479 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34480 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34481 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34482 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34483 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34484 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34485 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34486 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34487 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34488 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34489 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34490 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34491 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34492 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34493 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34494 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34495 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34496 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34497 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34498 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34499 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34500 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34501 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34502 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34503 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34504 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_34505 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_34506 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_34507 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_34508 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_34509 = add(_T_34477, _T_34478) @[exu_mul_ctl.scala 137:112] + node _T_34510 = add(_T_34509, _T_34479) @[exu_mul_ctl.scala 137:112] + node _T_34511 = add(_T_34510, _T_34480) @[exu_mul_ctl.scala 137:112] + node _T_34512 = add(_T_34511, _T_34481) @[exu_mul_ctl.scala 137:112] + node _T_34513 = add(_T_34512, _T_34482) @[exu_mul_ctl.scala 137:112] + node _T_34514 = add(_T_34513, _T_34483) @[exu_mul_ctl.scala 137:112] + node _T_34515 = add(_T_34514, _T_34484) @[exu_mul_ctl.scala 137:112] + node _T_34516 = add(_T_34515, _T_34485) @[exu_mul_ctl.scala 137:112] + node _T_34517 = add(_T_34516, _T_34486) @[exu_mul_ctl.scala 137:112] + node _T_34518 = add(_T_34517, _T_34487) @[exu_mul_ctl.scala 137:112] + node _T_34519 = add(_T_34518, _T_34488) @[exu_mul_ctl.scala 137:112] + node _T_34520 = add(_T_34519, _T_34489) @[exu_mul_ctl.scala 137:112] + node _T_34521 = add(_T_34520, _T_34490) @[exu_mul_ctl.scala 137:112] + node _T_34522 = add(_T_34521, _T_34491) @[exu_mul_ctl.scala 137:112] + node _T_34523 = add(_T_34522, _T_34492) @[exu_mul_ctl.scala 137:112] + node _T_34524 = add(_T_34523, _T_34493) @[exu_mul_ctl.scala 137:112] + node _T_34525 = add(_T_34524, _T_34494) @[exu_mul_ctl.scala 137:112] + node _T_34526 = add(_T_34525, _T_34495) @[exu_mul_ctl.scala 137:112] + node _T_34527 = add(_T_34526, _T_34496) @[exu_mul_ctl.scala 137:112] + node _T_34528 = add(_T_34527, _T_34497) @[exu_mul_ctl.scala 137:112] + node _T_34529 = add(_T_34528, _T_34498) @[exu_mul_ctl.scala 137:112] + node _T_34530 = add(_T_34529, _T_34499) @[exu_mul_ctl.scala 137:112] + node _T_34531 = add(_T_34530, _T_34500) @[exu_mul_ctl.scala 137:112] + node _T_34532 = add(_T_34531, _T_34501) @[exu_mul_ctl.scala 137:112] + node _T_34533 = add(_T_34532, _T_34502) @[exu_mul_ctl.scala 137:112] + node _T_34534 = add(_T_34533, _T_34503) @[exu_mul_ctl.scala 137:112] + node _T_34535 = add(_T_34534, _T_34504) @[exu_mul_ctl.scala 137:112] + node _T_34536 = add(_T_34535, _T_34505) @[exu_mul_ctl.scala 137:112] + node _T_34537 = add(_T_34536, _T_34506) @[exu_mul_ctl.scala 137:112] + node _T_34538 = add(_T_34537, _T_34507) @[exu_mul_ctl.scala 137:112] + node _T_34539 = add(_T_34538, _T_34508) @[exu_mul_ctl.scala 137:112] + node _T_34540 = eq(_T_34539, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34541 = bits(_T_34540, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34542 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_34543 = mux(_T_34541, _T_34542, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_34544 = mux(_T_34475, _T_34476, _T_34543) @[Mux.scala 98:16] + node _T_34545 = mux(_T_34411, _T_34412, _T_34544) @[Mux.scala 98:16] + node _T_34546 = mux(_T_34349, _T_34350, _T_34545) @[Mux.scala 98:16] + node _T_34547 = mux(_T_34289, _T_34290, _T_34546) @[Mux.scala 98:16] + node _T_34548 = mux(_T_34231, _T_34232, _T_34547) @[Mux.scala 98:16] + node _T_34549 = mux(_T_34175, _T_34176, _T_34548) @[Mux.scala 98:16] + node _T_34550 = mux(_T_34121, _T_34122, _T_34549) @[Mux.scala 98:16] + node _T_34551 = mux(_T_34069, _T_34070, _T_34550) @[Mux.scala 98:16] + node _T_34552 = mux(_T_34019, _T_34020, _T_34551) @[Mux.scala 98:16] + node _T_34553 = mux(_T_33971, _T_33972, _T_34552) @[Mux.scala 98:16] + node _T_34554 = mux(_T_33925, _T_33926, _T_34553) @[Mux.scala 98:16] + node _T_34555 = mux(_T_33881, _T_33882, _T_34554) @[Mux.scala 98:16] + node _T_34556 = mux(_T_33839, _T_33840, _T_34555) @[Mux.scala 98:16] + node _T_34557 = mux(_T_33799, _T_33800, _T_34556) @[Mux.scala 98:16] + node _T_34558 = mux(_T_33761, _T_33762, _T_34557) @[Mux.scala 98:16] + node _T_34559 = mux(_T_33725, _T_33726, _T_34558) @[Mux.scala 98:16] + node _T_34560 = mux(_T_33691, _T_33692, _T_34559) @[Mux.scala 98:16] + node _T_34561 = mux(_T_33659, _T_33660, _T_34560) @[Mux.scala 98:16] + node _T_34562 = mux(_T_33629, _T_33630, _T_34561) @[Mux.scala 98:16] + node _T_34563 = mux(_T_33601, _T_33602, _T_34562) @[Mux.scala 98:16] + node _T_34564 = mux(_T_33575, _T_33576, _T_34563) @[Mux.scala 98:16] + node _T_34565 = mux(_T_33551, _T_33552, _T_34564) @[Mux.scala 98:16] + node _T_34566 = mux(_T_33529, _T_33530, _T_34565) @[Mux.scala 98:16] + node _T_34567 = mux(_T_33509, _T_33510, _T_34566) @[Mux.scala 98:16] + node _T_34568 = mux(_T_33491, _T_33492, _T_34567) @[Mux.scala 98:16] + node _T_34569 = mux(_T_33475, _T_33476, _T_34568) @[Mux.scala 98:16] + node _T_34570 = mux(_T_33461, _T_33462, _T_34569) @[Mux.scala 98:16] + node _T_34571 = mux(_T_33449, _T_33450, _T_34570) @[Mux.scala 98:16] + node _T_34572 = mux(_T_33439, _T_33440, _T_34571) @[Mux.scala 98:16] + node _T_34573 = mux(_T_33431, _T_33432, _T_34572) @[Mux.scala 98:16] + node _T_34574 = mux(_T_33425, _T_33426, _T_34573) @[Mux.scala 98:16] + node _T_34575 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_34576 = eq(_T_34575, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34577 = bits(_T_34576, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34578 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_34579 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34580 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34581 = add(_T_34579, _T_34580) @[exu_mul_ctl.scala 137:112] + node _T_34582 = eq(_T_34581, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34583 = bits(_T_34582, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34584 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_34585 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34586 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34587 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34588 = add(_T_34585, _T_34586) @[exu_mul_ctl.scala 137:112] + node _T_34589 = add(_T_34588, _T_34587) @[exu_mul_ctl.scala 137:112] + node _T_34590 = eq(_T_34589, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34591 = bits(_T_34590, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34592 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_34593 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34594 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34595 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34596 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34597 = add(_T_34593, _T_34594) @[exu_mul_ctl.scala 137:112] + node _T_34598 = add(_T_34597, _T_34595) @[exu_mul_ctl.scala 137:112] + node _T_34599 = add(_T_34598, _T_34596) @[exu_mul_ctl.scala 137:112] + node _T_34600 = eq(_T_34599, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34601 = bits(_T_34600, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34602 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_34603 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34604 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34605 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34606 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34607 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34608 = add(_T_34603, _T_34604) @[exu_mul_ctl.scala 137:112] + node _T_34609 = add(_T_34608, _T_34605) @[exu_mul_ctl.scala 137:112] + node _T_34610 = add(_T_34609, _T_34606) @[exu_mul_ctl.scala 137:112] + node _T_34611 = add(_T_34610, _T_34607) @[exu_mul_ctl.scala 137:112] + node _T_34612 = eq(_T_34611, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34613 = bits(_T_34612, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34614 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_34615 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34616 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34617 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34618 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34619 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34620 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34621 = add(_T_34615, _T_34616) @[exu_mul_ctl.scala 137:112] + node _T_34622 = add(_T_34621, _T_34617) @[exu_mul_ctl.scala 137:112] + node _T_34623 = add(_T_34622, _T_34618) @[exu_mul_ctl.scala 137:112] + node _T_34624 = add(_T_34623, _T_34619) @[exu_mul_ctl.scala 137:112] + node _T_34625 = add(_T_34624, _T_34620) @[exu_mul_ctl.scala 137:112] + node _T_34626 = eq(_T_34625, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34627 = bits(_T_34626, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34628 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_34629 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34630 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34631 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34632 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34633 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34634 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34635 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34636 = add(_T_34629, _T_34630) @[exu_mul_ctl.scala 137:112] + node _T_34637 = add(_T_34636, _T_34631) @[exu_mul_ctl.scala 137:112] + node _T_34638 = add(_T_34637, _T_34632) @[exu_mul_ctl.scala 137:112] + node _T_34639 = add(_T_34638, _T_34633) @[exu_mul_ctl.scala 137:112] + node _T_34640 = add(_T_34639, _T_34634) @[exu_mul_ctl.scala 137:112] + node _T_34641 = add(_T_34640, _T_34635) @[exu_mul_ctl.scala 137:112] + node _T_34642 = eq(_T_34641, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34643 = bits(_T_34642, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34644 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_34645 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34646 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34647 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34648 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34649 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34650 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34651 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34652 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34653 = add(_T_34645, _T_34646) @[exu_mul_ctl.scala 137:112] + node _T_34654 = add(_T_34653, _T_34647) @[exu_mul_ctl.scala 137:112] + node _T_34655 = add(_T_34654, _T_34648) @[exu_mul_ctl.scala 137:112] + node _T_34656 = add(_T_34655, _T_34649) @[exu_mul_ctl.scala 137:112] + node _T_34657 = add(_T_34656, _T_34650) @[exu_mul_ctl.scala 137:112] + node _T_34658 = add(_T_34657, _T_34651) @[exu_mul_ctl.scala 137:112] + node _T_34659 = add(_T_34658, _T_34652) @[exu_mul_ctl.scala 137:112] + node _T_34660 = eq(_T_34659, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34661 = bits(_T_34660, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34662 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_34663 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34664 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34665 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34666 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34667 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34668 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34669 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34670 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34671 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34672 = add(_T_34663, _T_34664) @[exu_mul_ctl.scala 137:112] + node _T_34673 = add(_T_34672, _T_34665) @[exu_mul_ctl.scala 137:112] + node _T_34674 = add(_T_34673, _T_34666) @[exu_mul_ctl.scala 137:112] + node _T_34675 = add(_T_34674, _T_34667) @[exu_mul_ctl.scala 137:112] + node _T_34676 = add(_T_34675, _T_34668) @[exu_mul_ctl.scala 137:112] + node _T_34677 = add(_T_34676, _T_34669) @[exu_mul_ctl.scala 137:112] + node _T_34678 = add(_T_34677, _T_34670) @[exu_mul_ctl.scala 137:112] + node _T_34679 = add(_T_34678, _T_34671) @[exu_mul_ctl.scala 137:112] + node _T_34680 = eq(_T_34679, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34681 = bits(_T_34680, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34682 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_34683 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34684 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34685 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34686 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34687 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34688 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34689 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34690 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34691 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34692 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34693 = add(_T_34683, _T_34684) @[exu_mul_ctl.scala 137:112] + node _T_34694 = add(_T_34693, _T_34685) @[exu_mul_ctl.scala 137:112] + node _T_34695 = add(_T_34694, _T_34686) @[exu_mul_ctl.scala 137:112] + node _T_34696 = add(_T_34695, _T_34687) @[exu_mul_ctl.scala 137:112] + node _T_34697 = add(_T_34696, _T_34688) @[exu_mul_ctl.scala 137:112] + node _T_34698 = add(_T_34697, _T_34689) @[exu_mul_ctl.scala 137:112] + node _T_34699 = add(_T_34698, _T_34690) @[exu_mul_ctl.scala 137:112] + node _T_34700 = add(_T_34699, _T_34691) @[exu_mul_ctl.scala 137:112] + node _T_34701 = add(_T_34700, _T_34692) @[exu_mul_ctl.scala 137:112] + node _T_34702 = eq(_T_34701, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34703 = bits(_T_34702, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34704 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_34705 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34706 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34707 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34708 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34709 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34710 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34711 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34712 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34713 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34714 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34715 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34716 = add(_T_34705, _T_34706) @[exu_mul_ctl.scala 137:112] + node _T_34717 = add(_T_34716, _T_34707) @[exu_mul_ctl.scala 137:112] + node _T_34718 = add(_T_34717, _T_34708) @[exu_mul_ctl.scala 137:112] + node _T_34719 = add(_T_34718, _T_34709) @[exu_mul_ctl.scala 137:112] + node _T_34720 = add(_T_34719, _T_34710) @[exu_mul_ctl.scala 137:112] + node _T_34721 = add(_T_34720, _T_34711) @[exu_mul_ctl.scala 137:112] + node _T_34722 = add(_T_34721, _T_34712) @[exu_mul_ctl.scala 137:112] + node _T_34723 = add(_T_34722, _T_34713) @[exu_mul_ctl.scala 137:112] + node _T_34724 = add(_T_34723, _T_34714) @[exu_mul_ctl.scala 137:112] + node _T_34725 = add(_T_34724, _T_34715) @[exu_mul_ctl.scala 137:112] + node _T_34726 = eq(_T_34725, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34727 = bits(_T_34726, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34728 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_34729 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34730 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34731 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34732 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34733 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34734 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34735 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34736 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34737 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34738 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34739 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34740 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34741 = add(_T_34729, _T_34730) @[exu_mul_ctl.scala 137:112] + node _T_34742 = add(_T_34741, _T_34731) @[exu_mul_ctl.scala 137:112] + node _T_34743 = add(_T_34742, _T_34732) @[exu_mul_ctl.scala 137:112] + node _T_34744 = add(_T_34743, _T_34733) @[exu_mul_ctl.scala 137:112] + node _T_34745 = add(_T_34744, _T_34734) @[exu_mul_ctl.scala 137:112] + node _T_34746 = add(_T_34745, _T_34735) @[exu_mul_ctl.scala 137:112] + node _T_34747 = add(_T_34746, _T_34736) @[exu_mul_ctl.scala 137:112] + node _T_34748 = add(_T_34747, _T_34737) @[exu_mul_ctl.scala 137:112] + node _T_34749 = add(_T_34748, _T_34738) @[exu_mul_ctl.scala 137:112] + node _T_34750 = add(_T_34749, _T_34739) @[exu_mul_ctl.scala 137:112] + node _T_34751 = add(_T_34750, _T_34740) @[exu_mul_ctl.scala 137:112] + node _T_34752 = eq(_T_34751, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34753 = bits(_T_34752, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34754 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_34755 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34756 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34757 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34758 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34759 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34760 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34761 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34762 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34763 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34764 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34765 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34766 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34767 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34768 = add(_T_34755, _T_34756) @[exu_mul_ctl.scala 137:112] + node _T_34769 = add(_T_34768, _T_34757) @[exu_mul_ctl.scala 137:112] + node _T_34770 = add(_T_34769, _T_34758) @[exu_mul_ctl.scala 137:112] + node _T_34771 = add(_T_34770, _T_34759) @[exu_mul_ctl.scala 137:112] + node _T_34772 = add(_T_34771, _T_34760) @[exu_mul_ctl.scala 137:112] + node _T_34773 = add(_T_34772, _T_34761) @[exu_mul_ctl.scala 137:112] + node _T_34774 = add(_T_34773, _T_34762) @[exu_mul_ctl.scala 137:112] + node _T_34775 = add(_T_34774, _T_34763) @[exu_mul_ctl.scala 137:112] + node _T_34776 = add(_T_34775, _T_34764) @[exu_mul_ctl.scala 137:112] + node _T_34777 = add(_T_34776, _T_34765) @[exu_mul_ctl.scala 137:112] + node _T_34778 = add(_T_34777, _T_34766) @[exu_mul_ctl.scala 137:112] + node _T_34779 = add(_T_34778, _T_34767) @[exu_mul_ctl.scala 137:112] + node _T_34780 = eq(_T_34779, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34781 = bits(_T_34780, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34782 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_34783 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34784 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34785 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34786 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34787 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34788 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34789 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34790 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34791 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34792 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34793 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34794 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34795 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34796 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34797 = add(_T_34783, _T_34784) @[exu_mul_ctl.scala 137:112] + node _T_34798 = add(_T_34797, _T_34785) @[exu_mul_ctl.scala 137:112] + node _T_34799 = add(_T_34798, _T_34786) @[exu_mul_ctl.scala 137:112] + node _T_34800 = add(_T_34799, _T_34787) @[exu_mul_ctl.scala 137:112] + node _T_34801 = add(_T_34800, _T_34788) @[exu_mul_ctl.scala 137:112] + node _T_34802 = add(_T_34801, _T_34789) @[exu_mul_ctl.scala 137:112] + node _T_34803 = add(_T_34802, _T_34790) @[exu_mul_ctl.scala 137:112] + node _T_34804 = add(_T_34803, _T_34791) @[exu_mul_ctl.scala 137:112] + node _T_34805 = add(_T_34804, _T_34792) @[exu_mul_ctl.scala 137:112] + node _T_34806 = add(_T_34805, _T_34793) @[exu_mul_ctl.scala 137:112] + node _T_34807 = add(_T_34806, _T_34794) @[exu_mul_ctl.scala 137:112] + node _T_34808 = add(_T_34807, _T_34795) @[exu_mul_ctl.scala 137:112] + node _T_34809 = add(_T_34808, _T_34796) @[exu_mul_ctl.scala 137:112] + node _T_34810 = eq(_T_34809, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34811 = bits(_T_34810, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34812 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_34813 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34814 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34815 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34816 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34817 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34818 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34819 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34820 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34821 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34822 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34823 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34824 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34825 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34826 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34827 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34828 = add(_T_34813, _T_34814) @[exu_mul_ctl.scala 137:112] + node _T_34829 = add(_T_34828, _T_34815) @[exu_mul_ctl.scala 137:112] + node _T_34830 = add(_T_34829, _T_34816) @[exu_mul_ctl.scala 137:112] + node _T_34831 = add(_T_34830, _T_34817) @[exu_mul_ctl.scala 137:112] + node _T_34832 = add(_T_34831, _T_34818) @[exu_mul_ctl.scala 137:112] + node _T_34833 = add(_T_34832, _T_34819) @[exu_mul_ctl.scala 137:112] + node _T_34834 = add(_T_34833, _T_34820) @[exu_mul_ctl.scala 137:112] + node _T_34835 = add(_T_34834, _T_34821) @[exu_mul_ctl.scala 137:112] + node _T_34836 = add(_T_34835, _T_34822) @[exu_mul_ctl.scala 137:112] + node _T_34837 = add(_T_34836, _T_34823) @[exu_mul_ctl.scala 137:112] + node _T_34838 = add(_T_34837, _T_34824) @[exu_mul_ctl.scala 137:112] + node _T_34839 = add(_T_34838, _T_34825) @[exu_mul_ctl.scala 137:112] + node _T_34840 = add(_T_34839, _T_34826) @[exu_mul_ctl.scala 137:112] + node _T_34841 = add(_T_34840, _T_34827) @[exu_mul_ctl.scala 137:112] + node _T_34842 = eq(_T_34841, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34843 = bits(_T_34842, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34844 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_34845 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34846 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34847 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34848 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34849 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34850 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34851 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34852 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34853 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34854 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34855 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34856 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34857 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34858 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34859 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34860 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34861 = add(_T_34845, _T_34846) @[exu_mul_ctl.scala 137:112] + node _T_34862 = add(_T_34861, _T_34847) @[exu_mul_ctl.scala 137:112] + node _T_34863 = add(_T_34862, _T_34848) @[exu_mul_ctl.scala 137:112] + node _T_34864 = add(_T_34863, _T_34849) @[exu_mul_ctl.scala 137:112] + node _T_34865 = add(_T_34864, _T_34850) @[exu_mul_ctl.scala 137:112] + node _T_34866 = add(_T_34865, _T_34851) @[exu_mul_ctl.scala 137:112] + node _T_34867 = add(_T_34866, _T_34852) @[exu_mul_ctl.scala 137:112] + node _T_34868 = add(_T_34867, _T_34853) @[exu_mul_ctl.scala 137:112] + node _T_34869 = add(_T_34868, _T_34854) @[exu_mul_ctl.scala 137:112] + node _T_34870 = add(_T_34869, _T_34855) @[exu_mul_ctl.scala 137:112] + node _T_34871 = add(_T_34870, _T_34856) @[exu_mul_ctl.scala 137:112] + node _T_34872 = add(_T_34871, _T_34857) @[exu_mul_ctl.scala 137:112] + node _T_34873 = add(_T_34872, _T_34858) @[exu_mul_ctl.scala 137:112] + node _T_34874 = add(_T_34873, _T_34859) @[exu_mul_ctl.scala 137:112] + node _T_34875 = add(_T_34874, _T_34860) @[exu_mul_ctl.scala 137:112] + node _T_34876 = eq(_T_34875, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34877 = bits(_T_34876, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34878 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_34879 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34880 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34881 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34882 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34883 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34884 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34885 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34886 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34887 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34888 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34889 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34890 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34891 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34892 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34893 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34894 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34895 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34896 = add(_T_34879, _T_34880) @[exu_mul_ctl.scala 137:112] + node _T_34897 = add(_T_34896, _T_34881) @[exu_mul_ctl.scala 137:112] + node _T_34898 = add(_T_34897, _T_34882) @[exu_mul_ctl.scala 137:112] + node _T_34899 = add(_T_34898, _T_34883) @[exu_mul_ctl.scala 137:112] + node _T_34900 = add(_T_34899, _T_34884) @[exu_mul_ctl.scala 137:112] + node _T_34901 = add(_T_34900, _T_34885) @[exu_mul_ctl.scala 137:112] + node _T_34902 = add(_T_34901, _T_34886) @[exu_mul_ctl.scala 137:112] + node _T_34903 = add(_T_34902, _T_34887) @[exu_mul_ctl.scala 137:112] + node _T_34904 = add(_T_34903, _T_34888) @[exu_mul_ctl.scala 137:112] + node _T_34905 = add(_T_34904, _T_34889) @[exu_mul_ctl.scala 137:112] + node _T_34906 = add(_T_34905, _T_34890) @[exu_mul_ctl.scala 137:112] + node _T_34907 = add(_T_34906, _T_34891) @[exu_mul_ctl.scala 137:112] + node _T_34908 = add(_T_34907, _T_34892) @[exu_mul_ctl.scala 137:112] + node _T_34909 = add(_T_34908, _T_34893) @[exu_mul_ctl.scala 137:112] + node _T_34910 = add(_T_34909, _T_34894) @[exu_mul_ctl.scala 137:112] + node _T_34911 = add(_T_34910, _T_34895) @[exu_mul_ctl.scala 137:112] + node _T_34912 = eq(_T_34911, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34913 = bits(_T_34912, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34914 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_34915 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34916 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34917 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34918 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34919 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34920 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34921 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34922 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34923 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34924 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34925 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34926 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34927 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34928 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34929 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34930 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34931 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34932 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34933 = add(_T_34915, _T_34916) @[exu_mul_ctl.scala 137:112] + node _T_34934 = add(_T_34933, _T_34917) @[exu_mul_ctl.scala 137:112] + node _T_34935 = add(_T_34934, _T_34918) @[exu_mul_ctl.scala 137:112] + node _T_34936 = add(_T_34935, _T_34919) @[exu_mul_ctl.scala 137:112] + node _T_34937 = add(_T_34936, _T_34920) @[exu_mul_ctl.scala 137:112] + node _T_34938 = add(_T_34937, _T_34921) @[exu_mul_ctl.scala 137:112] + node _T_34939 = add(_T_34938, _T_34922) @[exu_mul_ctl.scala 137:112] + node _T_34940 = add(_T_34939, _T_34923) @[exu_mul_ctl.scala 137:112] + node _T_34941 = add(_T_34940, _T_34924) @[exu_mul_ctl.scala 137:112] + node _T_34942 = add(_T_34941, _T_34925) @[exu_mul_ctl.scala 137:112] + node _T_34943 = add(_T_34942, _T_34926) @[exu_mul_ctl.scala 137:112] + node _T_34944 = add(_T_34943, _T_34927) @[exu_mul_ctl.scala 137:112] + node _T_34945 = add(_T_34944, _T_34928) @[exu_mul_ctl.scala 137:112] + node _T_34946 = add(_T_34945, _T_34929) @[exu_mul_ctl.scala 137:112] + node _T_34947 = add(_T_34946, _T_34930) @[exu_mul_ctl.scala 137:112] + node _T_34948 = add(_T_34947, _T_34931) @[exu_mul_ctl.scala 137:112] + node _T_34949 = add(_T_34948, _T_34932) @[exu_mul_ctl.scala 137:112] + node _T_34950 = eq(_T_34949, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34951 = bits(_T_34950, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34952 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_34953 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34954 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34955 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34956 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34957 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34958 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34959 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34960 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34961 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34962 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34963 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34964 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34965 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34966 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34967 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34968 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34969 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34970 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34971 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34972 = add(_T_34953, _T_34954) @[exu_mul_ctl.scala 137:112] + node _T_34973 = add(_T_34972, _T_34955) @[exu_mul_ctl.scala 137:112] + node _T_34974 = add(_T_34973, _T_34956) @[exu_mul_ctl.scala 137:112] + node _T_34975 = add(_T_34974, _T_34957) @[exu_mul_ctl.scala 137:112] + node _T_34976 = add(_T_34975, _T_34958) @[exu_mul_ctl.scala 137:112] + node _T_34977 = add(_T_34976, _T_34959) @[exu_mul_ctl.scala 137:112] + node _T_34978 = add(_T_34977, _T_34960) @[exu_mul_ctl.scala 137:112] + node _T_34979 = add(_T_34978, _T_34961) @[exu_mul_ctl.scala 137:112] + node _T_34980 = add(_T_34979, _T_34962) @[exu_mul_ctl.scala 137:112] + node _T_34981 = add(_T_34980, _T_34963) @[exu_mul_ctl.scala 137:112] + node _T_34982 = add(_T_34981, _T_34964) @[exu_mul_ctl.scala 137:112] + node _T_34983 = add(_T_34982, _T_34965) @[exu_mul_ctl.scala 137:112] + node _T_34984 = add(_T_34983, _T_34966) @[exu_mul_ctl.scala 137:112] + node _T_34985 = add(_T_34984, _T_34967) @[exu_mul_ctl.scala 137:112] + node _T_34986 = add(_T_34985, _T_34968) @[exu_mul_ctl.scala 137:112] + node _T_34987 = add(_T_34986, _T_34969) @[exu_mul_ctl.scala 137:112] + node _T_34988 = add(_T_34987, _T_34970) @[exu_mul_ctl.scala 137:112] + node _T_34989 = add(_T_34988, _T_34971) @[exu_mul_ctl.scala 137:112] + node _T_34990 = eq(_T_34989, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34991 = bits(_T_34990, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34992 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_34993 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34994 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34995 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34996 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34997 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34998 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34999 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35000 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35001 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35002 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35003 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35004 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35005 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35006 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35007 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35008 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35009 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35010 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35011 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35012 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35013 = add(_T_34993, _T_34994) @[exu_mul_ctl.scala 137:112] + node _T_35014 = add(_T_35013, _T_34995) @[exu_mul_ctl.scala 137:112] + node _T_35015 = add(_T_35014, _T_34996) @[exu_mul_ctl.scala 137:112] + node _T_35016 = add(_T_35015, _T_34997) @[exu_mul_ctl.scala 137:112] + node _T_35017 = add(_T_35016, _T_34998) @[exu_mul_ctl.scala 137:112] + node _T_35018 = add(_T_35017, _T_34999) @[exu_mul_ctl.scala 137:112] + node _T_35019 = add(_T_35018, _T_35000) @[exu_mul_ctl.scala 137:112] + node _T_35020 = add(_T_35019, _T_35001) @[exu_mul_ctl.scala 137:112] + node _T_35021 = add(_T_35020, _T_35002) @[exu_mul_ctl.scala 137:112] + node _T_35022 = add(_T_35021, _T_35003) @[exu_mul_ctl.scala 137:112] + node _T_35023 = add(_T_35022, _T_35004) @[exu_mul_ctl.scala 137:112] + node _T_35024 = add(_T_35023, _T_35005) @[exu_mul_ctl.scala 137:112] + node _T_35025 = add(_T_35024, _T_35006) @[exu_mul_ctl.scala 137:112] + node _T_35026 = add(_T_35025, _T_35007) @[exu_mul_ctl.scala 137:112] + node _T_35027 = add(_T_35026, _T_35008) @[exu_mul_ctl.scala 137:112] + node _T_35028 = add(_T_35027, _T_35009) @[exu_mul_ctl.scala 137:112] + node _T_35029 = add(_T_35028, _T_35010) @[exu_mul_ctl.scala 137:112] + node _T_35030 = add(_T_35029, _T_35011) @[exu_mul_ctl.scala 137:112] + node _T_35031 = add(_T_35030, _T_35012) @[exu_mul_ctl.scala 137:112] + node _T_35032 = eq(_T_35031, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35033 = bits(_T_35032, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35034 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_35035 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35036 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35037 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35038 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35039 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35040 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35041 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35042 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35043 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35044 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35045 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35046 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35047 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35048 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35049 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35050 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35051 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35052 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35053 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35054 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35055 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35056 = add(_T_35035, _T_35036) @[exu_mul_ctl.scala 137:112] + node _T_35057 = add(_T_35056, _T_35037) @[exu_mul_ctl.scala 137:112] + node _T_35058 = add(_T_35057, _T_35038) @[exu_mul_ctl.scala 137:112] + node _T_35059 = add(_T_35058, _T_35039) @[exu_mul_ctl.scala 137:112] + node _T_35060 = add(_T_35059, _T_35040) @[exu_mul_ctl.scala 137:112] + node _T_35061 = add(_T_35060, _T_35041) @[exu_mul_ctl.scala 137:112] + node _T_35062 = add(_T_35061, _T_35042) @[exu_mul_ctl.scala 137:112] + node _T_35063 = add(_T_35062, _T_35043) @[exu_mul_ctl.scala 137:112] + node _T_35064 = add(_T_35063, _T_35044) @[exu_mul_ctl.scala 137:112] + node _T_35065 = add(_T_35064, _T_35045) @[exu_mul_ctl.scala 137:112] + node _T_35066 = add(_T_35065, _T_35046) @[exu_mul_ctl.scala 137:112] + node _T_35067 = add(_T_35066, _T_35047) @[exu_mul_ctl.scala 137:112] + node _T_35068 = add(_T_35067, _T_35048) @[exu_mul_ctl.scala 137:112] + node _T_35069 = add(_T_35068, _T_35049) @[exu_mul_ctl.scala 137:112] + node _T_35070 = add(_T_35069, _T_35050) @[exu_mul_ctl.scala 137:112] + node _T_35071 = add(_T_35070, _T_35051) @[exu_mul_ctl.scala 137:112] + node _T_35072 = add(_T_35071, _T_35052) @[exu_mul_ctl.scala 137:112] + node _T_35073 = add(_T_35072, _T_35053) @[exu_mul_ctl.scala 137:112] + node _T_35074 = add(_T_35073, _T_35054) @[exu_mul_ctl.scala 137:112] + node _T_35075 = add(_T_35074, _T_35055) @[exu_mul_ctl.scala 137:112] + node _T_35076 = eq(_T_35075, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35077 = bits(_T_35076, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35078 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_35079 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35080 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35081 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35082 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35083 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35084 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35085 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35086 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35087 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35088 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35089 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35090 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35091 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35092 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35093 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35094 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35095 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35096 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35097 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35098 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35099 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35100 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35101 = add(_T_35079, _T_35080) @[exu_mul_ctl.scala 137:112] + node _T_35102 = add(_T_35101, _T_35081) @[exu_mul_ctl.scala 137:112] + node _T_35103 = add(_T_35102, _T_35082) @[exu_mul_ctl.scala 137:112] + node _T_35104 = add(_T_35103, _T_35083) @[exu_mul_ctl.scala 137:112] + node _T_35105 = add(_T_35104, _T_35084) @[exu_mul_ctl.scala 137:112] + node _T_35106 = add(_T_35105, _T_35085) @[exu_mul_ctl.scala 137:112] + node _T_35107 = add(_T_35106, _T_35086) @[exu_mul_ctl.scala 137:112] + node _T_35108 = add(_T_35107, _T_35087) @[exu_mul_ctl.scala 137:112] + node _T_35109 = add(_T_35108, _T_35088) @[exu_mul_ctl.scala 137:112] + node _T_35110 = add(_T_35109, _T_35089) @[exu_mul_ctl.scala 137:112] + node _T_35111 = add(_T_35110, _T_35090) @[exu_mul_ctl.scala 137:112] + node _T_35112 = add(_T_35111, _T_35091) @[exu_mul_ctl.scala 137:112] + node _T_35113 = add(_T_35112, _T_35092) @[exu_mul_ctl.scala 137:112] + node _T_35114 = add(_T_35113, _T_35093) @[exu_mul_ctl.scala 137:112] + node _T_35115 = add(_T_35114, _T_35094) @[exu_mul_ctl.scala 137:112] + node _T_35116 = add(_T_35115, _T_35095) @[exu_mul_ctl.scala 137:112] + node _T_35117 = add(_T_35116, _T_35096) @[exu_mul_ctl.scala 137:112] + node _T_35118 = add(_T_35117, _T_35097) @[exu_mul_ctl.scala 137:112] + node _T_35119 = add(_T_35118, _T_35098) @[exu_mul_ctl.scala 137:112] + node _T_35120 = add(_T_35119, _T_35099) @[exu_mul_ctl.scala 137:112] + node _T_35121 = add(_T_35120, _T_35100) @[exu_mul_ctl.scala 137:112] + node _T_35122 = eq(_T_35121, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35123 = bits(_T_35122, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35124 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_35125 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35126 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35127 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35128 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35129 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35130 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35131 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35132 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35133 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35134 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35135 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35136 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35137 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35138 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35139 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35140 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35141 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35142 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35143 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35144 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35145 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35146 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35147 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35148 = add(_T_35125, _T_35126) @[exu_mul_ctl.scala 137:112] + node _T_35149 = add(_T_35148, _T_35127) @[exu_mul_ctl.scala 137:112] + node _T_35150 = add(_T_35149, _T_35128) @[exu_mul_ctl.scala 137:112] + node _T_35151 = add(_T_35150, _T_35129) @[exu_mul_ctl.scala 137:112] + node _T_35152 = add(_T_35151, _T_35130) @[exu_mul_ctl.scala 137:112] + node _T_35153 = add(_T_35152, _T_35131) @[exu_mul_ctl.scala 137:112] + node _T_35154 = add(_T_35153, _T_35132) @[exu_mul_ctl.scala 137:112] + node _T_35155 = add(_T_35154, _T_35133) @[exu_mul_ctl.scala 137:112] + node _T_35156 = add(_T_35155, _T_35134) @[exu_mul_ctl.scala 137:112] + node _T_35157 = add(_T_35156, _T_35135) @[exu_mul_ctl.scala 137:112] + node _T_35158 = add(_T_35157, _T_35136) @[exu_mul_ctl.scala 137:112] + node _T_35159 = add(_T_35158, _T_35137) @[exu_mul_ctl.scala 137:112] + node _T_35160 = add(_T_35159, _T_35138) @[exu_mul_ctl.scala 137:112] + node _T_35161 = add(_T_35160, _T_35139) @[exu_mul_ctl.scala 137:112] + node _T_35162 = add(_T_35161, _T_35140) @[exu_mul_ctl.scala 137:112] + node _T_35163 = add(_T_35162, _T_35141) @[exu_mul_ctl.scala 137:112] + node _T_35164 = add(_T_35163, _T_35142) @[exu_mul_ctl.scala 137:112] + node _T_35165 = add(_T_35164, _T_35143) @[exu_mul_ctl.scala 137:112] + node _T_35166 = add(_T_35165, _T_35144) @[exu_mul_ctl.scala 137:112] + node _T_35167 = add(_T_35166, _T_35145) @[exu_mul_ctl.scala 137:112] + node _T_35168 = add(_T_35167, _T_35146) @[exu_mul_ctl.scala 137:112] + node _T_35169 = add(_T_35168, _T_35147) @[exu_mul_ctl.scala 137:112] + node _T_35170 = eq(_T_35169, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35171 = bits(_T_35170, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35172 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_35173 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35174 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35175 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35176 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35177 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35178 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35179 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35180 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35181 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35182 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35183 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35184 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35185 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35186 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35187 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35188 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35189 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35190 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35191 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35192 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35193 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35194 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35195 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35196 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35197 = add(_T_35173, _T_35174) @[exu_mul_ctl.scala 137:112] + node _T_35198 = add(_T_35197, _T_35175) @[exu_mul_ctl.scala 137:112] + node _T_35199 = add(_T_35198, _T_35176) @[exu_mul_ctl.scala 137:112] + node _T_35200 = add(_T_35199, _T_35177) @[exu_mul_ctl.scala 137:112] + node _T_35201 = add(_T_35200, _T_35178) @[exu_mul_ctl.scala 137:112] + node _T_35202 = add(_T_35201, _T_35179) @[exu_mul_ctl.scala 137:112] + node _T_35203 = add(_T_35202, _T_35180) @[exu_mul_ctl.scala 137:112] + node _T_35204 = add(_T_35203, _T_35181) @[exu_mul_ctl.scala 137:112] + node _T_35205 = add(_T_35204, _T_35182) @[exu_mul_ctl.scala 137:112] + node _T_35206 = add(_T_35205, _T_35183) @[exu_mul_ctl.scala 137:112] + node _T_35207 = add(_T_35206, _T_35184) @[exu_mul_ctl.scala 137:112] + node _T_35208 = add(_T_35207, _T_35185) @[exu_mul_ctl.scala 137:112] + node _T_35209 = add(_T_35208, _T_35186) @[exu_mul_ctl.scala 137:112] + node _T_35210 = add(_T_35209, _T_35187) @[exu_mul_ctl.scala 137:112] + node _T_35211 = add(_T_35210, _T_35188) @[exu_mul_ctl.scala 137:112] + node _T_35212 = add(_T_35211, _T_35189) @[exu_mul_ctl.scala 137:112] + node _T_35213 = add(_T_35212, _T_35190) @[exu_mul_ctl.scala 137:112] + node _T_35214 = add(_T_35213, _T_35191) @[exu_mul_ctl.scala 137:112] + node _T_35215 = add(_T_35214, _T_35192) @[exu_mul_ctl.scala 137:112] + node _T_35216 = add(_T_35215, _T_35193) @[exu_mul_ctl.scala 137:112] + node _T_35217 = add(_T_35216, _T_35194) @[exu_mul_ctl.scala 137:112] + node _T_35218 = add(_T_35217, _T_35195) @[exu_mul_ctl.scala 137:112] + node _T_35219 = add(_T_35218, _T_35196) @[exu_mul_ctl.scala 137:112] + node _T_35220 = eq(_T_35219, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35221 = bits(_T_35220, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35222 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_35223 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35224 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35225 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35226 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35227 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35228 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35229 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35230 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35231 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35232 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35233 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35234 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35235 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35236 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35237 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35238 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35239 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35240 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35241 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35242 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35243 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35244 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35245 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35246 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35247 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35248 = add(_T_35223, _T_35224) @[exu_mul_ctl.scala 137:112] + node _T_35249 = add(_T_35248, _T_35225) @[exu_mul_ctl.scala 137:112] + node _T_35250 = add(_T_35249, _T_35226) @[exu_mul_ctl.scala 137:112] + node _T_35251 = add(_T_35250, _T_35227) @[exu_mul_ctl.scala 137:112] + node _T_35252 = add(_T_35251, _T_35228) @[exu_mul_ctl.scala 137:112] + node _T_35253 = add(_T_35252, _T_35229) @[exu_mul_ctl.scala 137:112] + node _T_35254 = add(_T_35253, _T_35230) @[exu_mul_ctl.scala 137:112] + node _T_35255 = add(_T_35254, _T_35231) @[exu_mul_ctl.scala 137:112] + node _T_35256 = add(_T_35255, _T_35232) @[exu_mul_ctl.scala 137:112] + node _T_35257 = add(_T_35256, _T_35233) @[exu_mul_ctl.scala 137:112] + node _T_35258 = add(_T_35257, _T_35234) @[exu_mul_ctl.scala 137:112] + node _T_35259 = add(_T_35258, _T_35235) @[exu_mul_ctl.scala 137:112] + node _T_35260 = add(_T_35259, _T_35236) @[exu_mul_ctl.scala 137:112] + node _T_35261 = add(_T_35260, _T_35237) @[exu_mul_ctl.scala 137:112] + node _T_35262 = add(_T_35261, _T_35238) @[exu_mul_ctl.scala 137:112] + node _T_35263 = add(_T_35262, _T_35239) @[exu_mul_ctl.scala 137:112] + node _T_35264 = add(_T_35263, _T_35240) @[exu_mul_ctl.scala 137:112] + node _T_35265 = add(_T_35264, _T_35241) @[exu_mul_ctl.scala 137:112] + node _T_35266 = add(_T_35265, _T_35242) @[exu_mul_ctl.scala 137:112] + node _T_35267 = add(_T_35266, _T_35243) @[exu_mul_ctl.scala 137:112] + node _T_35268 = add(_T_35267, _T_35244) @[exu_mul_ctl.scala 137:112] + node _T_35269 = add(_T_35268, _T_35245) @[exu_mul_ctl.scala 137:112] + node _T_35270 = add(_T_35269, _T_35246) @[exu_mul_ctl.scala 137:112] + node _T_35271 = add(_T_35270, _T_35247) @[exu_mul_ctl.scala 137:112] + node _T_35272 = eq(_T_35271, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35273 = bits(_T_35272, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35274 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_35275 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35276 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35277 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35278 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35279 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35280 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35281 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35282 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35283 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35284 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35285 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35286 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35287 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35288 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35289 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35290 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35291 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35292 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35293 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35294 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35295 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35296 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35297 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35298 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35299 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35300 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35301 = add(_T_35275, _T_35276) @[exu_mul_ctl.scala 137:112] + node _T_35302 = add(_T_35301, _T_35277) @[exu_mul_ctl.scala 137:112] + node _T_35303 = add(_T_35302, _T_35278) @[exu_mul_ctl.scala 137:112] + node _T_35304 = add(_T_35303, _T_35279) @[exu_mul_ctl.scala 137:112] + node _T_35305 = add(_T_35304, _T_35280) @[exu_mul_ctl.scala 137:112] + node _T_35306 = add(_T_35305, _T_35281) @[exu_mul_ctl.scala 137:112] + node _T_35307 = add(_T_35306, _T_35282) @[exu_mul_ctl.scala 137:112] + node _T_35308 = add(_T_35307, _T_35283) @[exu_mul_ctl.scala 137:112] + node _T_35309 = add(_T_35308, _T_35284) @[exu_mul_ctl.scala 137:112] + node _T_35310 = add(_T_35309, _T_35285) @[exu_mul_ctl.scala 137:112] + node _T_35311 = add(_T_35310, _T_35286) @[exu_mul_ctl.scala 137:112] + node _T_35312 = add(_T_35311, _T_35287) @[exu_mul_ctl.scala 137:112] + node _T_35313 = add(_T_35312, _T_35288) @[exu_mul_ctl.scala 137:112] + node _T_35314 = add(_T_35313, _T_35289) @[exu_mul_ctl.scala 137:112] + node _T_35315 = add(_T_35314, _T_35290) @[exu_mul_ctl.scala 137:112] + node _T_35316 = add(_T_35315, _T_35291) @[exu_mul_ctl.scala 137:112] + node _T_35317 = add(_T_35316, _T_35292) @[exu_mul_ctl.scala 137:112] + node _T_35318 = add(_T_35317, _T_35293) @[exu_mul_ctl.scala 137:112] + node _T_35319 = add(_T_35318, _T_35294) @[exu_mul_ctl.scala 137:112] + node _T_35320 = add(_T_35319, _T_35295) @[exu_mul_ctl.scala 137:112] + node _T_35321 = add(_T_35320, _T_35296) @[exu_mul_ctl.scala 137:112] + node _T_35322 = add(_T_35321, _T_35297) @[exu_mul_ctl.scala 137:112] + node _T_35323 = add(_T_35322, _T_35298) @[exu_mul_ctl.scala 137:112] + node _T_35324 = add(_T_35323, _T_35299) @[exu_mul_ctl.scala 137:112] + node _T_35325 = add(_T_35324, _T_35300) @[exu_mul_ctl.scala 137:112] + node _T_35326 = eq(_T_35325, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35327 = bits(_T_35326, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35328 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_35329 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35330 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35331 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35332 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35333 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35334 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35335 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35336 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35337 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35338 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35339 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35340 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35341 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35342 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35343 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35344 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35345 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35346 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35347 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35348 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35349 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35350 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35351 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35352 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35353 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35354 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35355 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35356 = add(_T_35329, _T_35330) @[exu_mul_ctl.scala 137:112] + node _T_35357 = add(_T_35356, _T_35331) @[exu_mul_ctl.scala 137:112] + node _T_35358 = add(_T_35357, _T_35332) @[exu_mul_ctl.scala 137:112] + node _T_35359 = add(_T_35358, _T_35333) @[exu_mul_ctl.scala 137:112] + node _T_35360 = add(_T_35359, _T_35334) @[exu_mul_ctl.scala 137:112] + node _T_35361 = add(_T_35360, _T_35335) @[exu_mul_ctl.scala 137:112] + node _T_35362 = add(_T_35361, _T_35336) @[exu_mul_ctl.scala 137:112] + node _T_35363 = add(_T_35362, _T_35337) @[exu_mul_ctl.scala 137:112] + node _T_35364 = add(_T_35363, _T_35338) @[exu_mul_ctl.scala 137:112] + node _T_35365 = add(_T_35364, _T_35339) @[exu_mul_ctl.scala 137:112] + node _T_35366 = add(_T_35365, _T_35340) @[exu_mul_ctl.scala 137:112] + node _T_35367 = add(_T_35366, _T_35341) @[exu_mul_ctl.scala 137:112] + node _T_35368 = add(_T_35367, _T_35342) @[exu_mul_ctl.scala 137:112] + node _T_35369 = add(_T_35368, _T_35343) @[exu_mul_ctl.scala 137:112] + node _T_35370 = add(_T_35369, _T_35344) @[exu_mul_ctl.scala 137:112] + node _T_35371 = add(_T_35370, _T_35345) @[exu_mul_ctl.scala 137:112] + node _T_35372 = add(_T_35371, _T_35346) @[exu_mul_ctl.scala 137:112] + node _T_35373 = add(_T_35372, _T_35347) @[exu_mul_ctl.scala 137:112] + node _T_35374 = add(_T_35373, _T_35348) @[exu_mul_ctl.scala 137:112] + node _T_35375 = add(_T_35374, _T_35349) @[exu_mul_ctl.scala 137:112] + node _T_35376 = add(_T_35375, _T_35350) @[exu_mul_ctl.scala 137:112] + node _T_35377 = add(_T_35376, _T_35351) @[exu_mul_ctl.scala 137:112] + node _T_35378 = add(_T_35377, _T_35352) @[exu_mul_ctl.scala 137:112] + node _T_35379 = add(_T_35378, _T_35353) @[exu_mul_ctl.scala 137:112] + node _T_35380 = add(_T_35379, _T_35354) @[exu_mul_ctl.scala 137:112] + node _T_35381 = add(_T_35380, _T_35355) @[exu_mul_ctl.scala 137:112] + node _T_35382 = eq(_T_35381, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35383 = bits(_T_35382, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35384 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_35385 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35386 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35387 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35388 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35389 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35390 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35391 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35392 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35393 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35394 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35395 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35396 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35397 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35398 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35399 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35400 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35401 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35402 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35403 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35404 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35405 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35406 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35407 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35408 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35409 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35410 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35411 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35412 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_35413 = add(_T_35385, _T_35386) @[exu_mul_ctl.scala 137:112] + node _T_35414 = add(_T_35413, _T_35387) @[exu_mul_ctl.scala 137:112] + node _T_35415 = add(_T_35414, _T_35388) @[exu_mul_ctl.scala 137:112] + node _T_35416 = add(_T_35415, _T_35389) @[exu_mul_ctl.scala 137:112] + node _T_35417 = add(_T_35416, _T_35390) @[exu_mul_ctl.scala 137:112] + node _T_35418 = add(_T_35417, _T_35391) @[exu_mul_ctl.scala 137:112] + node _T_35419 = add(_T_35418, _T_35392) @[exu_mul_ctl.scala 137:112] + node _T_35420 = add(_T_35419, _T_35393) @[exu_mul_ctl.scala 137:112] + node _T_35421 = add(_T_35420, _T_35394) @[exu_mul_ctl.scala 137:112] + node _T_35422 = add(_T_35421, _T_35395) @[exu_mul_ctl.scala 137:112] + node _T_35423 = add(_T_35422, _T_35396) @[exu_mul_ctl.scala 137:112] + node _T_35424 = add(_T_35423, _T_35397) @[exu_mul_ctl.scala 137:112] + node _T_35425 = add(_T_35424, _T_35398) @[exu_mul_ctl.scala 137:112] + node _T_35426 = add(_T_35425, _T_35399) @[exu_mul_ctl.scala 137:112] + node _T_35427 = add(_T_35426, _T_35400) @[exu_mul_ctl.scala 137:112] + node _T_35428 = add(_T_35427, _T_35401) @[exu_mul_ctl.scala 137:112] + node _T_35429 = add(_T_35428, _T_35402) @[exu_mul_ctl.scala 137:112] + node _T_35430 = add(_T_35429, _T_35403) @[exu_mul_ctl.scala 137:112] + node _T_35431 = add(_T_35430, _T_35404) @[exu_mul_ctl.scala 137:112] + node _T_35432 = add(_T_35431, _T_35405) @[exu_mul_ctl.scala 137:112] + node _T_35433 = add(_T_35432, _T_35406) @[exu_mul_ctl.scala 137:112] + node _T_35434 = add(_T_35433, _T_35407) @[exu_mul_ctl.scala 137:112] + node _T_35435 = add(_T_35434, _T_35408) @[exu_mul_ctl.scala 137:112] + node _T_35436 = add(_T_35435, _T_35409) @[exu_mul_ctl.scala 137:112] + node _T_35437 = add(_T_35436, _T_35410) @[exu_mul_ctl.scala 137:112] + node _T_35438 = add(_T_35437, _T_35411) @[exu_mul_ctl.scala 137:112] + node _T_35439 = add(_T_35438, _T_35412) @[exu_mul_ctl.scala 137:112] + node _T_35440 = eq(_T_35439, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35441 = bits(_T_35440, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35442 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_35443 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35444 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35445 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35446 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35447 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35448 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35449 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35450 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35451 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35452 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35453 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35454 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35455 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35456 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35457 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35458 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35459 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35460 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35461 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35462 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35463 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35464 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35465 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35466 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35467 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35468 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35469 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35470 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_35471 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_35472 = add(_T_35443, _T_35444) @[exu_mul_ctl.scala 137:112] + node _T_35473 = add(_T_35472, _T_35445) @[exu_mul_ctl.scala 137:112] + node _T_35474 = add(_T_35473, _T_35446) @[exu_mul_ctl.scala 137:112] + node _T_35475 = add(_T_35474, _T_35447) @[exu_mul_ctl.scala 137:112] + node _T_35476 = add(_T_35475, _T_35448) @[exu_mul_ctl.scala 137:112] + node _T_35477 = add(_T_35476, _T_35449) @[exu_mul_ctl.scala 137:112] + node _T_35478 = add(_T_35477, _T_35450) @[exu_mul_ctl.scala 137:112] + node _T_35479 = add(_T_35478, _T_35451) @[exu_mul_ctl.scala 137:112] + node _T_35480 = add(_T_35479, _T_35452) @[exu_mul_ctl.scala 137:112] + node _T_35481 = add(_T_35480, _T_35453) @[exu_mul_ctl.scala 137:112] + node _T_35482 = add(_T_35481, _T_35454) @[exu_mul_ctl.scala 137:112] + node _T_35483 = add(_T_35482, _T_35455) @[exu_mul_ctl.scala 137:112] + node _T_35484 = add(_T_35483, _T_35456) @[exu_mul_ctl.scala 137:112] + node _T_35485 = add(_T_35484, _T_35457) @[exu_mul_ctl.scala 137:112] + node _T_35486 = add(_T_35485, _T_35458) @[exu_mul_ctl.scala 137:112] + node _T_35487 = add(_T_35486, _T_35459) @[exu_mul_ctl.scala 137:112] + node _T_35488 = add(_T_35487, _T_35460) @[exu_mul_ctl.scala 137:112] + node _T_35489 = add(_T_35488, _T_35461) @[exu_mul_ctl.scala 137:112] + node _T_35490 = add(_T_35489, _T_35462) @[exu_mul_ctl.scala 137:112] + node _T_35491 = add(_T_35490, _T_35463) @[exu_mul_ctl.scala 137:112] + node _T_35492 = add(_T_35491, _T_35464) @[exu_mul_ctl.scala 137:112] + node _T_35493 = add(_T_35492, _T_35465) @[exu_mul_ctl.scala 137:112] + node _T_35494 = add(_T_35493, _T_35466) @[exu_mul_ctl.scala 137:112] + node _T_35495 = add(_T_35494, _T_35467) @[exu_mul_ctl.scala 137:112] + node _T_35496 = add(_T_35495, _T_35468) @[exu_mul_ctl.scala 137:112] + node _T_35497 = add(_T_35496, _T_35469) @[exu_mul_ctl.scala 137:112] + node _T_35498 = add(_T_35497, _T_35470) @[exu_mul_ctl.scala 137:112] + node _T_35499 = add(_T_35498, _T_35471) @[exu_mul_ctl.scala 137:112] + node _T_35500 = eq(_T_35499, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35501 = bits(_T_35500, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35502 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_35503 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35504 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35505 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35506 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35507 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35508 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35509 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35510 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35511 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35512 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35513 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35514 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35515 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35516 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35517 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35518 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35519 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35520 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35521 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35522 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35523 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35524 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35525 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35526 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35527 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35528 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35529 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35530 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_35531 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_35532 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_35533 = add(_T_35503, _T_35504) @[exu_mul_ctl.scala 137:112] + node _T_35534 = add(_T_35533, _T_35505) @[exu_mul_ctl.scala 137:112] + node _T_35535 = add(_T_35534, _T_35506) @[exu_mul_ctl.scala 137:112] + node _T_35536 = add(_T_35535, _T_35507) @[exu_mul_ctl.scala 137:112] + node _T_35537 = add(_T_35536, _T_35508) @[exu_mul_ctl.scala 137:112] + node _T_35538 = add(_T_35537, _T_35509) @[exu_mul_ctl.scala 137:112] + node _T_35539 = add(_T_35538, _T_35510) @[exu_mul_ctl.scala 137:112] + node _T_35540 = add(_T_35539, _T_35511) @[exu_mul_ctl.scala 137:112] + node _T_35541 = add(_T_35540, _T_35512) @[exu_mul_ctl.scala 137:112] + node _T_35542 = add(_T_35541, _T_35513) @[exu_mul_ctl.scala 137:112] + node _T_35543 = add(_T_35542, _T_35514) @[exu_mul_ctl.scala 137:112] + node _T_35544 = add(_T_35543, _T_35515) @[exu_mul_ctl.scala 137:112] + node _T_35545 = add(_T_35544, _T_35516) @[exu_mul_ctl.scala 137:112] + node _T_35546 = add(_T_35545, _T_35517) @[exu_mul_ctl.scala 137:112] + node _T_35547 = add(_T_35546, _T_35518) @[exu_mul_ctl.scala 137:112] + node _T_35548 = add(_T_35547, _T_35519) @[exu_mul_ctl.scala 137:112] + node _T_35549 = add(_T_35548, _T_35520) @[exu_mul_ctl.scala 137:112] + node _T_35550 = add(_T_35549, _T_35521) @[exu_mul_ctl.scala 137:112] + node _T_35551 = add(_T_35550, _T_35522) @[exu_mul_ctl.scala 137:112] + node _T_35552 = add(_T_35551, _T_35523) @[exu_mul_ctl.scala 137:112] + node _T_35553 = add(_T_35552, _T_35524) @[exu_mul_ctl.scala 137:112] + node _T_35554 = add(_T_35553, _T_35525) @[exu_mul_ctl.scala 137:112] + node _T_35555 = add(_T_35554, _T_35526) @[exu_mul_ctl.scala 137:112] + node _T_35556 = add(_T_35555, _T_35527) @[exu_mul_ctl.scala 137:112] + node _T_35557 = add(_T_35556, _T_35528) @[exu_mul_ctl.scala 137:112] + node _T_35558 = add(_T_35557, _T_35529) @[exu_mul_ctl.scala 137:112] + node _T_35559 = add(_T_35558, _T_35530) @[exu_mul_ctl.scala 137:112] + node _T_35560 = add(_T_35559, _T_35531) @[exu_mul_ctl.scala 137:112] + node _T_35561 = add(_T_35560, _T_35532) @[exu_mul_ctl.scala 137:112] + node _T_35562 = eq(_T_35561, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35563 = bits(_T_35562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35564 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_35565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35572 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35573 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35574 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35575 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35576 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35577 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35578 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35579 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35580 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35581 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35582 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35583 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35584 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35585 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35586 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35587 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35588 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35589 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35590 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35591 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35592 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_35593 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_35594 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_35595 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_35596 = add(_T_35565, _T_35566) @[exu_mul_ctl.scala 137:112] + node _T_35597 = add(_T_35596, _T_35567) @[exu_mul_ctl.scala 137:112] + node _T_35598 = add(_T_35597, _T_35568) @[exu_mul_ctl.scala 137:112] + node _T_35599 = add(_T_35598, _T_35569) @[exu_mul_ctl.scala 137:112] + node _T_35600 = add(_T_35599, _T_35570) @[exu_mul_ctl.scala 137:112] + node _T_35601 = add(_T_35600, _T_35571) @[exu_mul_ctl.scala 137:112] + node _T_35602 = add(_T_35601, _T_35572) @[exu_mul_ctl.scala 137:112] + node _T_35603 = add(_T_35602, _T_35573) @[exu_mul_ctl.scala 137:112] + node _T_35604 = add(_T_35603, _T_35574) @[exu_mul_ctl.scala 137:112] + node _T_35605 = add(_T_35604, _T_35575) @[exu_mul_ctl.scala 137:112] + node _T_35606 = add(_T_35605, _T_35576) @[exu_mul_ctl.scala 137:112] + node _T_35607 = add(_T_35606, _T_35577) @[exu_mul_ctl.scala 137:112] + node _T_35608 = add(_T_35607, _T_35578) @[exu_mul_ctl.scala 137:112] + node _T_35609 = add(_T_35608, _T_35579) @[exu_mul_ctl.scala 137:112] + node _T_35610 = add(_T_35609, _T_35580) @[exu_mul_ctl.scala 137:112] + node _T_35611 = add(_T_35610, _T_35581) @[exu_mul_ctl.scala 137:112] + node _T_35612 = add(_T_35611, _T_35582) @[exu_mul_ctl.scala 137:112] + node _T_35613 = add(_T_35612, _T_35583) @[exu_mul_ctl.scala 137:112] + node _T_35614 = add(_T_35613, _T_35584) @[exu_mul_ctl.scala 137:112] + node _T_35615 = add(_T_35614, _T_35585) @[exu_mul_ctl.scala 137:112] + node _T_35616 = add(_T_35615, _T_35586) @[exu_mul_ctl.scala 137:112] + node _T_35617 = add(_T_35616, _T_35587) @[exu_mul_ctl.scala 137:112] + node _T_35618 = add(_T_35617, _T_35588) @[exu_mul_ctl.scala 137:112] + node _T_35619 = add(_T_35618, _T_35589) @[exu_mul_ctl.scala 137:112] + node _T_35620 = add(_T_35619, _T_35590) @[exu_mul_ctl.scala 137:112] + node _T_35621 = add(_T_35620, _T_35591) @[exu_mul_ctl.scala 137:112] + node _T_35622 = add(_T_35621, _T_35592) @[exu_mul_ctl.scala 137:112] + node _T_35623 = add(_T_35622, _T_35593) @[exu_mul_ctl.scala 137:112] + node _T_35624 = add(_T_35623, _T_35594) @[exu_mul_ctl.scala 137:112] + node _T_35625 = add(_T_35624, _T_35595) @[exu_mul_ctl.scala 137:112] + node _T_35626 = eq(_T_35625, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35627 = bits(_T_35626, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35628 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_35629 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35630 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35631 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35632 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35633 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35634 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35635 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35636 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35637 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35638 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35639 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35640 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35641 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35642 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35643 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35644 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35645 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35646 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35647 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35648 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35649 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35650 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35651 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35652 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35653 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35654 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35655 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35656 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_35657 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_35658 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_35659 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_35660 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_35661 = add(_T_35629, _T_35630) @[exu_mul_ctl.scala 137:112] + node _T_35662 = add(_T_35661, _T_35631) @[exu_mul_ctl.scala 137:112] + node _T_35663 = add(_T_35662, _T_35632) @[exu_mul_ctl.scala 137:112] + node _T_35664 = add(_T_35663, _T_35633) @[exu_mul_ctl.scala 137:112] + node _T_35665 = add(_T_35664, _T_35634) @[exu_mul_ctl.scala 137:112] + node _T_35666 = add(_T_35665, _T_35635) @[exu_mul_ctl.scala 137:112] + node _T_35667 = add(_T_35666, _T_35636) @[exu_mul_ctl.scala 137:112] + node _T_35668 = add(_T_35667, _T_35637) @[exu_mul_ctl.scala 137:112] + node _T_35669 = add(_T_35668, _T_35638) @[exu_mul_ctl.scala 137:112] + node _T_35670 = add(_T_35669, _T_35639) @[exu_mul_ctl.scala 137:112] + node _T_35671 = add(_T_35670, _T_35640) @[exu_mul_ctl.scala 137:112] + node _T_35672 = add(_T_35671, _T_35641) @[exu_mul_ctl.scala 137:112] + node _T_35673 = add(_T_35672, _T_35642) @[exu_mul_ctl.scala 137:112] + node _T_35674 = add(_T_35673, _T_35643) @[exu_mul_ctl.scala 137:112] + node _T_35675 = add(_T_35674, _T_35644) @[exu_mul_ctl.scala 137:112] + node _T_35676 = add(_T_35675, _T_35645) @[exu_mul_ctl.scala 137:112] + node _T_35677 = add(_T_35676, _T_35646) @[exu_mul_ctl.scala 137:112] + node _T_35678 = add(_T_35677, _T_35647) @[exu_mul_ctl.scala 137:112] + node _T_35679 = add(_T_35678, _T_35648) @[exu_mul_ctl.scala 137:112] + node _T_35680 = add(_T_35679, _T_35649) @[exu_mul_ctl.scala 137:112] + node _T_35681 = add(_T_35680, _T_35650) @[exu_mul_ctl.scala 137:112] + node _T_35682 = add(_T_35681, _T_35651) @[exu_mul_ctl.scala 137:112] + node _T_35683 = add(_T_35682, _T_35652) @[exu_mul_ctl.scala 137:112] + node _T_35684 = add(_T_35683, _T_35653) @[exu_mul_ctl.scala 137:112] + node _T_35685 = add(_T_35684, _T_35654) @[exu_mul_ctl.scala 137:112] + node _T_35686 = add(_T_35685, _T_35655) @[exu_mul_ctl.scala 137:112] + node _T_35687 = add(_T_35686, _T_35656) @[exu_mul_ctl.scala 137:112] + node _T_35688 = add(_T_35687, _T_35657) @[exu_mul_ctl.scala 137:112] + node _T_35689 = add(_T_35688, _T_35658) @[exu_mul_ctl.scala 137:112] + node _T_35690 = add(_T_35689, _T_35659) @[exu_mul_ctl.scala 137:112] + node _T_35691 = add(_T_35690, _T_35660) @[exu_mul_ctl.scala 137:112] + node _T_35692 = eq(_T_35691, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35693 = bits(_T_35692, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35694 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_35695 = mux(_T_35693, _T_35694, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_35696 = mux(_T_35627, _T_35628, _T_35695) @[Mux.scala 98:16] + node _T_35697 = mux(_T_35563, _T_35564, _T_35696) @[Mux.scala 98:16] + node _T_35698 = mux(_T_35501, _T_35502, _T_35697) @[Mux.scala 98:16] + node _T_35699 = mux(_T_35441, _T_35442, _T_35698) @[Mux.scala 98:16] + node _T_35700 = mux(_T_35383, _T_35384, _T_35699) @[Mux.scala 98:16] + node _T_35701 = mux(_T_35327, _T_35328, _T_35700) @[Mux.scala 98:16] + node _T_35702 = mux(_T_35273, _T_35274, _T_35701) @[Mux.scala 98:16] + node _T_35703 = mux(_T_35221, _T_35222, _T_35702) @[Mux.scala 98:16] + node _T_35704 = mux(_T_35171, _T_35172, _T_35703) @[Mux.scala 98:16] + node _T_35705 = mux(_T_35123, _T_35124, _T_35704) @[Mux.scala 98:16] + node _T_35706 = mux(_T_35077, _T_35078, _T_35705) @[Mux.scala 98:16] + node _T_35707 = mux(_T_35033, _T_35034, _T_35706) @[Mux.scala 98:16] + node _T_35708 = mux(_T_34991, _T_34992, _T_35707) @[Mux.scala 98:16] + node _T_35709 = mux(_T_34951, _T_34952, _T_35708) @[Mux.scala 98:16] + node _T_35710 = mux(_T_34913, _T_34914, _T_35709) @[Mux.scala 98:16] + node _T_35711 = mux(_T_34877, _T_34878, _T_35710) @[Mux.scala 98:16] + node _T_35712 = mux(_T_34843, _T_34844, _T_35711) @[Mux.scala 98:16] + node _T_35713 = mux(_T_34811, _T_34812, _T_35712) @[Mux.scala 98:16] + node _T_35714 = mux(_T_34781, _T_34782, _T_35713) @[Mux.scala 98:16] + node _T_35715 = mux(_T_34753, _T_34754, _T_35714) @[Mux.scala 98:16] + node _T_35716 = mux(_T_34727, _T_34728, _T_35715) @[Mux.scala 98:16] + node _T_35717 = mux(_T_34703, _T_34704, _T_35716) @[Mux.scala 98:16] + node _T_35718 = mux(_T_34681, _T_34682, _T_35717) @[Mux.scala 98:16] + node _T_35719 = mux(_T_34661, _T_34662, _T_35718) @[Mux.scala 98:16] + node _T_35720 = mux(_T_34643, _T_34644, _T_35719) @[Mux.scala 98:16] + node _T_35721 = mux(_T_34627, _T_34628, _T_35720) @[Mux.scala 98:16] + node _T_35722 = mux(_T_34613, _T_34614, _T_35721) @[Mux.scala 98:16] + node _T_35723 = mux(_T_34601, _T_34602, _T_35722) @[Mux.scala 98:16] + node _T_35724 = mux(_T_34591, _T_34592, _T_35723) @[Mux.scala 98:16] + node _T_35725 = mux(_T_34583, _T_34584, _T_35724) @[Mux.scala 98:16] + node _T_35726 = mux(_T_34577, _T_34578, _T_35725) @[Mux.scala 98:16] + node _T_35727 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_35728 = eq(_T_35727, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35729 = bits(_T_35728, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35730 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_35731 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35732 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35733 = add(_T_35731, _T_35732) @[exu_mul_ctl.scala 137:112] + node _T_35734 = eq(_T_35733, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35735 = bits(_T_35734, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35736 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_35737 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35738 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35739 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35740 = add(_T_35737, _T_35738) @[exu_mul_ctl.scala 137:112] + node _T_35741 = add(_T_35740, _T_35739) @[exu_mul_ctl.scala 137:112] + node _T_35742 = eq(_T_35741, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35743 = bits(_T_35742, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35744 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_35745 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35746 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35747 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35748 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35749 = add(_T_35745, _T_35746) @[exu_mul_ctl.scala 137:112] + node _T_35750 = add(_T_35749, _T_35747) @[exu_mul_ctl.scala 137:112] + node _T_35751 = add(_T_35750, _T_35748) @[exu_mul_ctl.scala 137:112] + node _T_35752 = eq(_T_35751, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35753 = bits(_T_35752, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35754 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_35755 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35756 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35757 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35758 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35759 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35760 = add(_T_35755, _T_35756) @[exu_mul_ctl.scala 137:112] + node _T_35761 = add(_T_35760, _T_35757) @[exu_mul_ctl.scala 137:112] + node _T_35762 = add(_T_35761, _T_35758) @[exu_mul_ctl.scala 137:112] + node _T_35763 = add(_T_35762, _T_35759) @[exu_mul_ctl.scala 137:112] + node _T_35764 = eq(_T_35763, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35765 = bits(_T_35764, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35766 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_35767 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35768 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35769 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35770 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35771 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35772 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35773 = add(_T_35767, _T_35768) @[exu_mul_ctl.scala 137:112] + node _T_35774 = add(_T_35773, _T_35769) @[exu_mul_ctl.scala 137:112] + node _T_35775 = add(_T_35774, _T_35770) @[exu_mul_ctl.scala 137:112] + node _T_35776 = add(_T_35775, _T_35771) @[exu_mul_ctl.scala 137:112] + node _T_35777 = add(_T_35776, _T_35772) @[exu_mul_ctl.scala 137:112] + node _T_35778 = eq(_T_35777, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35779 = bits(_T_35778, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35780 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_35781 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35782 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35783 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35784 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35785 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35786 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35787 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35788 = add(_T_35781, _T_35782) @[exu_mul_ctl.scala 137:112] + node _T_35789 = add(_T_35788, _T_35783) @[exu_mul_ctl.scala 137:112] + node _T_35790 = add(_T_35789, _T_35784) @[exu_mul_ctl.scala 137:112] + node _T_35791 = add(_T_35790, _T_35785) @[exu_mul_ctl.scala 137:112] + node _T_35792 = add(_T_35791, _T_35786) @[exu_mul_ctl.scala 137:112] + node _T_35793 = add(_T_35792, _T_35787) @[exu_mul_ctl.scala 137:112] + node _T_35794 = eq(_T_35793, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35795 = bits(_T_35794, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35796 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_35797 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35798 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35799 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35800 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35801 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35802 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35803 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35804 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35805 = add(_T_35797, _T_35798) @[exu_mul_ctl.scala 137:112] + node _T_35806 = add(_T_35805, _T_35799) @[exu_mul_ctl.scala 137:112] + node _T_35807 = add(_T_35806, _T_35800) @[exu_mul_ctl.scala 137:112] + node _T_35808 = add(_T_35807, _T_35801) @[exu_mul_ctl.scala 137:112] + node _T_35809 = add(_T_35808, _T_35802) @[exu_mul_ctl.scala 137:112] + node _T_35810 = add(_T_35809, _T_35803) @[exu_mul_ctl.scala 137:112] + node _T_35811 = add(_T_35810, _T_35804) @[exu_mul_ctl.scala 137:112] + node _T_35812 = eq(_T_35811, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35813 = bits(_T_35812, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35814 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_35815 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35816 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35817 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35818 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35819 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35820 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35821 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35822 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35823 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35824 = add(_T_35815, _T_35816) @[exu_mul_ctl.scala 137:112] + node _T_35825 = add(_T_35824, _T_35817) @[exu_mul_ctl.scala 137:112] + node _T_35826 = add(_T_35825, _T_35818) @[exu_mul_ctl.scala 137:112] + node _T_35827 = add(_T_35826, _T_35819) @[exu_mul_ctl.scala 137:112] + node _T_35828 = add(_T_35827, _T_35820) @[exu_mul_ctl.scala 137:112] + node _T_35829 = add(_T_35828, _T_35821) @[exu_mul_ctl.scala 137:112] + node _T_35830 = add(_T_35829, _T_35822) @[exu_mul_ctl.scala 137:112] + node _T_35831 = add(_T_35830, _T_35823) @[exu_mul_ctl.scala 137:112] + node _T_35832 = eq(_T_35831, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35833 = bits(_T_35832, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35834 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_35835 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35836 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35837 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35838 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35839 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35840 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35841 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35842 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35843 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35844 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35845 = add(_T_35835, _T_35836) @[exu_mul_ctl.scala 137:112] + node _T_35846 = add(_T_35845, _T_35837) @[exu_mul_ctl.scala 137:112] + node _T_35847 = add(_T_35846, _T_35838) @[exu_mul_ctl.scala 137:112] + node _T_35848 = add(_T_35847, _T_35839) @[exu_mul_ctl.scala 137:112] + node _T_35849 = add(_T_35848, _T_35840) @[exu_mul_ctl.scala 137:112] + node _T_35850 = add(_T_35849, _T_35841) @[exu_mul_ctl.scala 137:112] + node _T_35851 = add(_T_35850, _T_35842) @[exu_mul_ctl.scala 137:112] + node _T_35852 = add(_T_35851, _T_35843) @[exu_mul_ctl.scala 137:112] + node _T_35853 = add(_T_35852, _T_35844) @[exu_mul_ctl.scala 137:112] + node _T_35854 = eq(_T_35853, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35855 = bits(_T_35854, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35856 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_35857 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35858 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35859 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35860 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35861 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35862 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35863 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35864 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35865 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35866 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35867 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35868 = add(_T_35857, _T_35858) @[exu_mul_ctl.scala 137:112] + node _T_35869 = add(_T_35868, _T_35859) @[exu_mul_ctl.scala 137:112] + node _T_35870 = add(_T_35869, _T_35860) @[exu_mul_ctl.scala 137:112] + node _T_35871 = add(_T_35870, _T_35861) @[exu_mul_ctl.scala 137:112] + node _T_35872 = add(_T_35871, _T_35862) @[exu_mul_ctl.scala 137:112] + node _T_35873 = add(_T_35872, _T_35863) @[exu_mul_ctl.scala 137:112] + node _T_35874 = add(_T_35873, _T_35864) @[exu_mul_ctl.scala 137:112] + node _T_35875 = add(_T_35874, _T_35865) @[exu_mul_ctl.scala 137:112] + node _T_35876 = add(_T_35875, _T_35866) @[exu_mul_ctl.scala 137:112] + node _T_35877 = add(_T_35876, _T_35867) @[exu_mul_ctl.scala 137:112] + node _T_35878 = eq(_T_35877, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35879 = bits(_T_35878, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35880 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_35881 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35882 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35883 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35884 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35885 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35886 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35887 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35888 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35889 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35890 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35891 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35892 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35893 = add(_T_35881, _T_35882) @[exu_mul_ctl.scala 137:112] + node _T_35894 = add(_T_35893, _T_35883) @[exu_mul_ctl.scala 137:112] + node _T_35895 = add(_T_35894, _T_35884) @[exu_mul_ctl.scala 137:112] + node _T_35896 = add(_T_35895, _T_35885) @[exu_mul_ctl.scala 137:112] + node _T_35897 = add(_T_35896, _T_35886) @[exu_mul_ctl.scala 137:112] + node _T_35898 = add(_T_35897, _T_35887) @[exu_mul_ctl.scala 137:112] + node _T_35899 = add(_T_35898, _T_35888) @[exu_mul_ctl.scala 137:112] + node _T_35900 = add(_T_35899, _T_35889) @[exu_mul_ctl.scala 137:112] + node _T_35901 = add(_T_35900, _T_35890) @[exu_mul_ctl.scala 137:112] + node _T_35902 = add(_T_35901, _T_35891) @[exu_mul_ctl.scala 137:112] + node _T_35903 = add(_T_35902, _T_35892) @[exu_mul_ctl.scala 137:112] + node _T_35904 = eq(_T_35903, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35905 = bits(_T_35904, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35906 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_35907 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35908 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35909 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35910 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35911 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35912 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35913 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35914 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35915 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35916 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35917 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35918 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35919 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35920 = add(_T_35907, _T_35908) @[exu_mul_ctl.scala 137:112] + node _T_35921 = add(_T_35920, _T_35909) @[exu_mul_ctl.scala 137:112] + node _T_35922 = add(_T_35921, _T_35910) @[exu_mul_ctl.scala 137:112] + node _T_35923 = add(_T_35922, _T_35911) @[exu_mul_ctl.scala 137:112] + node _T_35924 = add(_T_35923, _T_35912) @[exu_mul_ctl.scala 137:112] + node _T_35925 = add(_T_35924, _T_35913) @[exu_mul_ctl.scala 137:112] + node _T_35926 = add(_T_35925, _T_35914) @[exu_mul_ctl.scala 137:112] + node _T_35927 = add(_T_35926, _T_35915) @[exu_mul_ctl.scala 137:112] + node _T_35928 = add(_T_35927, _T_35916) @[exu_mul_ctl.scala 137:112] + node _T_35929 = add(_T_35928, _T_35917) @[exu_mul_ctl.scala 137:112] + node _T_35930 = add(_T_35929, _T_35918) @[exu_mul_ctl.scala 137:112] + node _T_35931 = add(_T_35930, _T_35919) @[exu_mul_ctl.scala 137:112] + node _T_35932 = eq(_T_35931, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35933 = bits(_T_35932, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35934 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_35935 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35936 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35937 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35938 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35939 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35940 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35941 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35942 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35943 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35944 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35945 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35946 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35947 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35948 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35949 = add(_T_35935, _T_35936) @[exu_mul_ctl.scala 137:112] + node _T_35950 = add(_T_35949, _T_35937) @[exu_mul_ctl.scala 137:112] + node _T_35951 = add(_T_35950, _T_35938) @[exu_mul_ctl.scala 137:112] + node _T_35952 = add(_T_35951, _T_35939) @[exu_mul_ctl.scala 137:112] + node _T_35953 = add(_T_35952, _T_35940) @[exu_mul_ctl.scala 137:112] + node _T_35954 = add(_T_35953, _T_35941) @[exu_mul_ctl.scala 137:112] + node _T_35955 = add(_T_35954, _T_35942) @[exu_mul_ctl.scala 137:112] + node _T_35956 = add(_T_35955, _T_35943) @[exu_mul_ctl.scala 137:112] + node _T_35957 = add(_T_35956, _T_35944) @[exu_mul_ctl.scala 137:112] + node _T_35958 = add(_T_35957, _T_35945) @[exu_mul_ctl.scala 137:112] + node _T_35959 = add(_T_35958, _T_35946) @[exu_mul_ctl.scala 137:112] + node _T_35960 = add(_T_35959, _T_35947) @[exu_mul_ctl.scala 137:112] + node _T_35961 = add(_T_35960, _T_35948) @[exu_mul_ctl.scala 137:112] + node _T_35962 = eq(_T_35961, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35963 = bits(_T_35962, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35964 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_35965 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35966 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35967 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35968 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35969 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35970 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35971 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35972 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35973 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35974 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35975 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35976 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35977 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35978 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35979 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35980 = add(_T_35965, _T_35966) @[exu_mul_ctl.scala 137:112] + node _T_35981 = add(_T_35980, _T_35967) @[exu_mul_ctl.scala 137:112] + node _T_35982 = add(_T_35981, _T_35968) @[exu_mul_ctl.scala 137:112] + node _T_35983 = add(_T_35982, _T_35969) @[exu_mul_ctl.scala 137:112] + node _T_35984 = add(_T_35983, _T_35970) @[exu_mul_ctl.scala 137:112] + node _T_35985 = add(_T_35984, _T_35971) @[exu_mul_ctl.scala 137:112] + node _T_35986 = add(_T_35985, _T_35972) @[exu_mul_ctl.scala 137:112] + node _T_35987 = add(_T_35986, _T_35973) @[exu_mul_ctl.scala 137:112] + node _T_35988 = add(_T_35987, _T_35974) @[exu_mul_ctl.scala 137:112] + node _T_35989 = add(_T_35988, _T_35975) @[exu_mul_ctl.scala 137:112] + node _T_35990 = add(_T_35989, _T_35976) @[exu_mul_ctl.scala 137:112] + node _T_35991 = add(_T_35990, _T_35977) @[exu_mul_ctl.scala 137:112] + node _T_35992 = add(_T_35991, _T_35978) @[exu_mul_ctl.scala 137:112] + node _T_35993 = add(_T_35992, _T_35979) @[exu_mul_ctl.scala 137:112] + node _T_35994 = eq(_T_35993, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35995 = bits(_T_35994, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35996 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_35997 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35998 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35999 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36000 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36001 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36002 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36003 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36004 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36005 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36006 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36007 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36008 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36009 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36010 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36011 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36012 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36013 = add(_T_35997, _T_35998) @[exu_mul_ctl.scala 137:112] + node _T_36014 = add(_T_36013, _T_35999) @[exu_mul_ctl.scala 137:112] + node _T_36015 = add(_T_36014, _T_36000) @[exu_mul_ctl.scala 137:112] + node _T_36016 = add(_T_36015, _T_36001) @[exu_mul_ctl.scala 137:112] + node _T_36017 = add(_T_36016, _T_36002) @[exu_mul_ctl.scala 137:112] + node _T_36018 = add(_T_36017, _T_36003) @[exu_mul_ctl.scala 137:112] + node _T_36019 = add(_T_36018, _T_36004) @[exu_mul_ctl.scala 137:112] + node _T_36020 = add(_T_36019, _T_36005) @[exu_mul_ctl.scala 137:112] + node _T_36021 = add(_T_36020, _T_36006) @[exu_mul_ctl.scala 137:112] + node _T_36022 = add(_T_36021, _T_36007) @[exu_mul_ctl.scala 137:112] + node _T_36023 = add(_T_36022, _T_36008) @[exu_mul_ctl.scala 137:112] + node _T_36024 = add(_T_36023, _T_36009) @[exu_mul_ctl.scala 137:112] + node _T_36025 = add(_T_36024, _T_36010) @[exu_mul_ctl.scala 137:112] + node _T_36026 = add(_T_36025, _T_36011) @[exu_mul_ctl.scala 137:112] + node _T_36027 = add(_T_36026, _T_36012) @[exu_mul_ctl.scala 137:112] + node _T_36028 = eq(_T_36027, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36029 = bits(_T_36028, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36030 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_36031 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36032 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36033 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36034 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36035 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36036 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36037 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36038 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36039 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36040 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36041 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36042 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36043 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36044 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36045 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36046 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36047 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36048 = add(_T_36031, _T_36032) @[exu_mul_ctl.scala 137:112] + node _T_36049 = add(_T_36048, _T_36033) @[exu_mul_ctl.scala 137:112] + node _T_36050 = add(_T_36049, _T_36034) @[exu_mul_ctl.scala 137:112] + node _T_36051 = add(_T_36050, _T_36035) @[exu_mul_ctl.scala 137:112] + node _T_36052 = add(_T_36051, _T_36036) @[exu_mul_ctl.scala 137:112] + node _T_36053 = add(_T_36052, _T_36037) @[exu_mul_ctl.scala 137:112] + node _T_36054 = add(_T_36053, _T_36038) @[exu_mul_ctl.scala 137:112] + node _T_36055 = add(_T_36054, _T_36039) @[exu_mul_ctl.scala 137:112] + node _T_36056 = add(_T_36055, _T_36040) @[exu_mul_ctl.scala 137:112] + node _T_36057 = add(_T_36056, _T_36041) @[exu_mul_ctl.scala 137:112] + node _T_36058 = add(_T_36057, _T_36042) @[exu_mul_ctl.scala 137:112] + node _T_36059 = add(_T_36058, _T_36043) @[exu_mul_ctl.scala 137:112] + node _T_36060 = add(_T_36059, _T_36044) @[exu_mul_ctl.scala 137:112] + node _T_36061 = add(_T_36060, _T_36045) @[exu_mul_ctl.scala 137:112] + node _T_36062 = add(_T_36061, _T_36046) @[exu_mul_ctl.scala 137:112] + node _T_36063 = add(_T_36062, _T_36047) @[exu_mul_ctl.scala 137:112] + node _T_36064 = eq(_T_36063, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36065 = bits(_T_36064, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36066 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_36067 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36068 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36069 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36070 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36071 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36072 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36073 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36074 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36075 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36076 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36077 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36078 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36079 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36080 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36081 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36082 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36083 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36084 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36085 = add(_T_36067, _T_36068) @[exu_mul_ctl.scala 137:112] + node _T_36086 = add(_T_36085, _T_36069) @[exu_mul_ctl.scala 137:112] + node _T_36087 = add(_T_36086, _T_36070) @[exu_mul_ctl.scala 137:112] + node _T_36088 = add(_T_36087, _T_36071) @[exu_mul_ctl.scala 137:112] + node _T_36089 = add(_T_36088, _T_36072) @[exu_mul_ctl.scala 137:112] + node _T_36090 = add(_T_36089, _T_36073) @[exu_mul_ctl.scala 137:112] + node _T_36091 = add(_T_36090, _T_36074) @[exu_mul_ctl.scala 137:112] + node _T_36092 = add(_T_36091, _T_36075) @[exu_mul_ctl.scala 137:112] + node _T_36093 = add(_T_36092, _T_36076) @[exu_mul_ctl.scala 137:112] + node _T_36094 = add(_T_36093, _T_36077) @[exu_mul_ctl.scala 137:112] + node _T_36095 = add(_T_36094, _T_36078) @[exu_mul_ctl.scala 137:112] + node _T_36096 = add(_T_36095, _T_36079) @[exu_mul_ctl.scala 137:112] + node _T_36097 = add(_T_36096, _T_36080) @[exu_mul_ctl.scala 137:112] + node _T_36098 = add(_T_36097, _T_36081) @[exu_mul_ctl.scala 137:112] + node _T_36099 = add(_T_36098, _T_36082) @[exu_mul_ctl.scala 137:112] + node _T_36100 = add(_T_36099, _T_36083) @[exu_mul_ctl.scala 137:112] + node _T_36101 = add(_T_36100, _T_36084) @[exu_mul_ctl.scala 137:112] + node _T_36102 = eq(_T_36101, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36103 = bits(_T_36102, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36104 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_36105 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36106 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36107 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36108 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36109 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36110 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36111 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36112 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36113 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36114 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36115 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36116 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36117 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36118 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36119 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36120 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36121 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36122 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36123 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36124 = add(_T_36105, _T_36106) @[exu_mul_ctl.scala 137:112] + node _T_36125 = add(_T_36124, _T_36107) @[exu_mul_ctl.scala 137:112] + node _T_36126 = add(_T_36125, _T_36108) @[exu_mul_ctl.scala 137:112] + node _T_36127 = add(_T_36126, _T_36109) @[exu_mul_ctl.scala 137:112] + node _T_36128 = add(_T_36127, _T_36110) @[exu_mul_ctl.scala 137:112] + node _T_36129 = add(_T_36128, _T_36111) @[exu_mul_ctl.scala 137:112] + node _T_36130 = add(_T_36129, _T_36112) @[exu_mul_ctl.scala 137:112] + node _T_36131 = add(_T_36130, _T_36113) @[exu_mul_ctl.scala 137:112] + node _T_36132 = add(_T_36131, _T_36114) @[exu_mul_ctl.scala 137:112] + node _T_36133 = add(_T_36132, _T_36115) @[exu_mul_ctl.scala 137:112] + node _T_36134 = add(_T_36133, _T_36116) @[exu_mul_ctl.scala 137:112] + node _T_36135 = add(_T_36134, _T_36117) @[exu_mul_ctl.scala 137:112] + node _T_36136 = add(_T_36135, _T_36118) @[exu_mul_ctl.scala 137:112] + node _T_36137 = add(_T_36136, _T_36119) @[exu_mul_ctl.scala 137:112] + node _T_36138 = add(_T_36137, _T_36120) @[exu_mul_ctl.scala 137:112] + node _T_36139 = add(_T_36138, _T_36121) @[exu_mul_ctl.scala 137:112] + node _T_36140 = add(_T_36139, _T_36122) @[exu_mul_ctl.scala 137:112] + node _T_36141 = add(_T_36140, _T_36123) @[exu_mul_ctl.scala 137:112] + node _T_36142 = eq(_T_36141, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36143 = bits(_T_36142, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36144 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_36145 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36146 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36147 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36148 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36149 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36150 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36151 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36152 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36153 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36154 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36155 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36156 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36157 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36158 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36159 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36160 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36161 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36162 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36163 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36164 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36165 = add(_T_36145, _T_36146) @[exu_mul_ctl.scala 137:112] + node _T_36166 = add(_T_36165, _T_36147) @[exu_mul_ctl.scala 137:112] + node _T_36167 = add(_T_36166, _T_36148) @[exu_mul_ctl.scala 137:112] + node _T_36168 = add(_T_36167, _T_36149) @[exu_mul_ctl.scala 137:112] + node _T_36169 = add(_T_36168, _T_36150) @[exu_mul_ctl.scala 137:112] + node _T_36170 = add(_T_36169, _T_36151) @[exu_mul_ctl.scala 137:112] + node _T_36171 = add(_T_36170, _T_36152) @[exu_mul_ctl.scala 137:112] + node _T_36172 = add(_T_36171, _T_36153) @[exu_mul_ctl.scala 137:112] + node _T_36173 = add(_T_36172, _T_36154) @[exu_mul_ctl.scala 137:112] + node _T_36174 = add(_T_36173, _T_36155) @[exu_mul_ctl.scala 137:112] + node _T_36175 = add(_T_36174, _T_36156) @[exu_mul_ctl.scala 137:112] + node _T_36176 = add(_T_36175, _T_36157) @[exu_mul_ctl.scala 137:112] + node _T_36177 = add(_T_36176, _T_36158) @[exu_mul_ctl.scala 137:112] + node _T_36178 = add(_T_36177, _T_36159) @[exu_mul_ctl.scala 137:112] + node _T_36179 = add(_T_36178, _T_36160) @[exu_mul_ctl.scala 137:112] + node _T_36180 = add(_T_36179, _T_36161) @[exu_mul_ctl.scala 137:112] + node _T_36181 = add(_T_36180, _T_36162) @[exu_mul_ctl.scala 137:112] + node _T_36182 = add(_T_36181, _T_36163) @[exu_mul_ctl.scala 137:112] + node _T_36183 = add(_T_36182, _T_36164) @[exu_mul_ctl.scala 137:112] + node _T_36184 = eq(_T_36183, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36185 = bits(_T_36184, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36186 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_36187 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36188 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36189 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36190 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36191 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36192 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36193 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36194 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36195 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36196 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36197 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36198 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36199 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36200 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36201 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36202 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36203 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36204 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36205 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36206 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36207 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36208 = add(_T_36187, _T_36188) @[exu_mul_ctl.scala 137:112] + node _T_36209 = add(_T_36208, _T_36189) @[exu_mul_ctl.scala 137:112] + node _T_36210 = add(_T_36209, _T_36190) @[exu_mul_ctl.scala 137:112] + node _T_36211 = add(_T_36210, _T_36191) @[exu_mul_ctl.scala 137:112] + node _T_36212 = add(_T_36211, _T_36192) @[exu_mul_ctl.scala 137:112] + node _T_36213 = add(_T_36212, _T_36193) @[exu_mul_ctl.scala 137:112] + node _T_36214 = add(_T_36213, _T_36194) @[exu_mul_ctl.scala 137:112] + node _T_36215 = add(_T_36214, _T_36195) @[exu_mul_ctl.scala 137:112] + node _T_36216 = add(_T_36215, _T_36196) @[exu_mul_ctl.scala 137:112] + node _T_36217 = add(_T_36216, _T_36197) @[exu_mul_ctl.scala 137:112] + node _T_36218 = add(_T_36217, _T_36198) @[exu_mul_ctl.scala 137:112] + node _T_36219 = add(_T_36218, _T_36199) @[exu_mul_ctl.scala 137:112] + node _T_36220 = add(_T_36219, _T_36200) @[exu_mul_ctl.scala 137:112] + node _T_36221 = add(_T_36220, _T_36201) @[exu_mul_ctl.scala 137:112] + node _T_36222 = add(_T_36221, _T_36202) @[exu_mul_ctl.scala 137:112] + node _T_36223 = add(_T_36222, _T_36203) @[exu_mul_ctl.scala 137:112] + node _T_36224 = add(_T_36223, _T_36204) @[exu_mul_ctl.scala 137:112] + node _T_36225 = add(_T_36224, _T_36205) @[exu_mul_ctl.scala 137:112] + node _T_36226 = add(_T_36225, _T_36206) @[exu_mul_ctl.scala 137:112] + node _T_36227 = add(_T_36226, _T_36207) @[exu_mul_ctl.scala 137:112] + node _T_36228 = eq(_T_36227, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36229 = bits(_T_36228, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36230 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_36231 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36232 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36233 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36234 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36235 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36236 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36237 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36238 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36239 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36240 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36241 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36242 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36243 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36244 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36245 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36246 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36247 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36248 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36249 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36250 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36251 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36252 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36253 = add(_T_36231, _T_36232) @[exu_mul_ctl.scala 137:112] + node _T_36254 = add(_T_36253, _T_36233) @[exu_mul_ctl.scala 137:112] + node _T_36255 = add(_T_36254, _T_36234) @[exu_mul_ctl.scala 137:112] + node _T_36256 = add(_T_36255, _T_36235) @[exu_mul_ctl.scala 137:112] + node _T_36257 = add(_T_36256, _T_36236) @[exu_mul_ctl.scala 137:112] + node _T_36258 = add(_T_36257, _T_36237) @[exu_mul_ctl.scala 137:112] + node _T_36259 = add(_T_36258, _T_36238) @[exu_mul_ctl.scala 137:112] + node _T_36260 = add(_T_36259, _T_36239) @[exu_mul_ctl.scala 137:112] + node _T_36261 = add(_T_36260, _T_36240) @[exu_mul_ctl.scala 137:112] + node _T_36262 = add(_T_36261, _T_36241) @[exu_mul_ctl.scala 137:112] + node _T_36263 = add(_T_36262, _T_36242) @[exu_mul_ctl.scala 137:112] + node _T_36264 = add(_T_36263, _T_36243) @[exu_mul_ctl.scala 137:112] + node _T_36265 = add(_T_36264, _T_36244) @[exu_mul_ctl.scala 137:112] + node _T_36266 = add(_T_36265, _T_36245) @[exu_mul_ctl.scala 137:112] + node _T_36267 = add(_T_36266, _T_36246) @[exu_mul_ctl.scala 137:112] + node _T_36268 = add(_T_36267, _T_36247) @[exu_mul_ctl.scala 137:112] + node _T_36269 = add(_T_36268, _T_36248) @[exu_mul_ctl.scala 137:112] + node _T_36270 = add(_T_36269, _T_36249) @[exu_mul_ctl.scala 137:112] + node _T_36271 = add(_T_36270, _T_36250) @[exu_mul_ctl.scala 137:112] + node _T_36272 = add(_T_36271, _T_36251) @[exu_mul_ctl.scala 137:112] + node _T_36273 = add(_T_36272, _T_36252) @[exu_mul_ctl.scala 137:112] + node _T_36274 = eq(_T_36273, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36275 = bits(_T_36274, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36276 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_36277 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36278 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36279 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36280 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36281 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36282 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36283 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36284 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36285 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36286 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36287 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36288 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36289 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36290 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36291 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36292 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36293 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36294 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36295 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36296 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36297 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36298 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36299 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36300 = add(_T_36277, _T_36278) @[exu_mul_ctl.scala 137:112] + node _T_36301 = add(_T_36300, _T_36279) @[exu_mul_ctl.scala 137:112] + node _T_36302 = add(_T_36301, _T_36280) @[exu_mul_ctl.scala 137:112] + node _T_36303 = add(_T_36302, _T_36281) @[exu_mul_ctl.scala 137:112] + node _T_36304 = add(_T_36303, _T_36282) @[exu_mul_ctl.scala 137:112] + node _T_36305 = add(_T_36304, _T_36283) @[exu_mul_ctl.scala 137:112] + node _T_36306 = add(_T_36305, _T_36284) @[exu_mul_ctl.scala 137:112] + node _T_36307 = add(_T_36306, _T_36285) @[exu_mul_ctl.scala 137:112] + node _T_36308 = add(_T_36307, _T_36286) @[exu_mul_ctl.scala 137:112] + node _T_36309 = add(_T_36308, _T_36287) @[exu_mul_ctl.scala 137:112] + node _T_36310 = add(_T_36309, _T_36288) @[exu_mul_ctl.scala 137:112] + node _T_36311 = add(_T_36310, _T_36289) @[exu_mul_ctl.scala 137:112] + node _T_36312 = add(_T_36311, _T_36290) @[exu_mul_ctl.scala 137:112] + node _T_36313 = add(_T_36312, _T_36291) @[exu_mul_ctl.scala 137:112] + node _T_36314 = add(_T_36313, _T_36292) @[exu_mul_ctl.scala 137:112] + node _T_36315 = add(_T_36314, _T_36293) @[exu_mul_ctl.scala 137:112] + node _T_36316 = add(_T_36315, _T_36294) @[exu_mul_ctl.scala 137:112] + node _T_36317 = add(_T_36316, _T_36295) @[exu_mul_ctl.scala 137:112] + node _T_36318 = add(_T_36317, _T_36296) @[exu_mul_ctl.scala 137:112] + node _T_36319 = add(_T_36318, _T_36297) @[exu_mul_ctl.scala 137:112] + node _T_36320 = add(_T_36319, _T_36298) @[exu_mul_ctl.scala 137:112] + node _T_36321 = add(_T_36320, _T_36299) @[exu_mul_ctl.scala 137:112] + node _T_36322 = eq(_T_36321, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36323 = bits(_T_36322, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36324 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_36325 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36326 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36327 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36328 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36329 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36330 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36331 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36332 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36333 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36334 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36335 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36336 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36337 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36338 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36339 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36340 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36341 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36342 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36343 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36344 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36345 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36346 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36347 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36348 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36349 = add(_T_36325, _T_36326) @[exu_mul_ctl.scala 137:112] + node _T_36350 = add(_T_36349, _T_36327) @[exu_mul_ctl.scala 137:112] + node _T_36351 = add(_T_36350, _T_36328) @[exu_mul_ctl.scala 137:112] + node _T_36352 = add(_T_36351, _T_36329) @[exu_mul_ctl.scala 137:112] + node _T_36353 = add(_T_36352, _T_36330) @[exu_mul_ctl.scala 137:112] + node _T_36354 = add(_T_36353, _T_36331) @[exu_mul_ctl.scala 137:112] + node _T_36355 = add(_T_36354, _T_36332) @[exu_mul_ctl.scala 137:112] + node _T_36356 = add(_T_36355, _T_36333) @[exu_mul_ctl.scala 137:112] + node _T_36357 = add(_T_36356, _T_36334) @[exu_mul_ctl.scala 137:112] + node _T_36358 = add(_T_36357, _T_36335) @[exu_mul_ctl.scala 137:112] + node _T_36359 = add(_T_36358, _T_36336) @[exu_mul_ctl.scala 137:112] + node _T_36360 = add(_T_36359, _T_36337) @[exu_mul_ctl.scala 137:112] + node _T_36361 = add(_T_36360, _T_36338) @[exu_mul_ctl.scala 137:112] + node _T_36362 = add(_T_36361, _T_36339) @[exu_mul_ctl.scala 137:112] + node _T_36363 = add(_T_36362, _T_36340) @[exu_mul_ctl.scala 137:112] + node _T_36364 = add(_T_36363, _T_36341) @[exu_mul_ctl.scala 137:112] + node _T_36365 = add(_T_36364, _T_36342) @[exu_mul_ctl.scala 137:112] + node _T_36366 = add(_T_36365, _T_36343) @[exu_mul_ctl.scala 137:112] + node _T_36367 = add(_T_36366, _T_36344) @[exu_mul_ctl.scala 137:112] + node _T_36368 = add(_T_36367, _T_36345) @[exu_mul_ctl.scala 137:112] + node _T_36369 = add(_T_36368, _T_36346) @[exu_mul_ctl.scala 137:112] + node _T_36370 = add(_T_36369, _T_36347) @[exu_mul_ctl.scala 137:112] + node _T_36371 = add(_T_36370, _T_36348) @[exu_mul_ctl.scala 137:112] + node _T_36372 = eq(_T_36371, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36373 = bits(_T_36372, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36374 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_36375 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36376 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36377 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36378 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36379 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36380 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36381 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36382 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36383 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36384 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36385 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36386 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36387 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36388 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36389 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36390 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36391 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36392 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36393 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36394 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36395 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36396 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36397 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36398 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36399 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36400 = add(_T_36375, _T_36376) @[exu_mul_ctl.scala 137:112] + node _T_36401 = add(_T_36400, _T_36377) @[exu_mul_ctl.scala 137:112] + node _T_36402 = add(_T_36401, _T_36378) @[exu_mul_ctl.scala 137:112] + node _T_36403 = add(_T_36402, _T_36379) @[exu_mul_ctl.scala 137:112] + node _T_36404 = add(_T_36403, _T_36380) @[exu_mul_ctl.scala 137:112] + node _T_36405 = add(_T_36404, _T_36381) @[exu_mul_ctl.scala 137:112] + node _T_36406 = add(_T_36405, _T_36382) @[exu_mul_ctl.scala 137:112] + node _T_36407 = add(_T_36406, _T_36383) @[exu_mul_ctl.scala 137:112] + node _T_36408 = add(_T_36407, _T_36384) @[exu_mul_ctl.scala 137:112] + node _T_36409 = add(_T_36408, _T_36385) @[exu_mul_ctl.scala 137:112] + node _T_36410 = add(_T_36409, _T_36386) @[exu_mul_ctl.scala 137:112] + node _T_36411 = add(_T_36410, _T_36387) @[exu_mul_ctl.scala 137:112] + node _T_36412 = add(_T_36411, _T_36388) @[exu_mul_ctl.scala 137:112] + node _T_36413 = add(_T_36412, _T_36389) @[exu_mul_ctl.scala 137:112] + node _T_36414 = add(_T_36413, _T_36390) @[exu_mul_ctl.scala 137:112] + node _T_36415 = add(_T_36414, _T_36391) @[exu_mul_ctl.scala 137:112] + node _T_36416 = add(_T_36415, _T_36392) @[exu_mul_ctl.scala 137:112] + node _T_36417 = add(_T_36416, _T_36393) @[exu_mul_ctl.scala 137:112] + node _T_36418 = add(_T_36417, _T_36394) @[exu_mul_ctl.scala 137:112] + node _T_36419 = add(_T_36418, _T_36395) @[exu_mul_ctl.scala 137:112] + node _T_36420 = add(_T_36419, _T_36396) @[exu_mul_ctl.scala 137:112] + node _T_36421 = add(_T_36420, _T_36397) @[exu_mul_ctl.scala 137:112] + node _T_36422 = add(_T_36421, _T_36398) @[exu_mul_ctl.scala 137:112] + node _T_36423 = add(_T_36422, _T_36399) @[exu_mul_ctl.scala 137:112] + node _T_36424 = eq(_T_36423, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36425 = bits(_T_36424, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36426 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_36427 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36428 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36429 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36430 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36431 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36432 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36433 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36434 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36435 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36436 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36437 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36438 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36439 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36440 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36441 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36442 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36443 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36444 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36445 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36446 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36447 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36448 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36449 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36450 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36451 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36452 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36453 = add(_T_36427, _T_36428) @[exu_mul_ctl.scala 137:112] + node _T_36454 = add(_T_36453, _T_36429) @[exu_mul_ctl.scala 137:112] + node _T_36455 = add(_T_36454, _T_36430) @[exu_mul_ctl.scala 137:112] + node _T_36456 = add(_T_36455, _T_36431) @[exu_mul_ctl.scala 137:112] + node _T_36457 = add(_T_36456, _T_36432) @[exu_mul_ctl.scala 137:112] + node _T_36458 = add(_T_36457, _T_36433) @[exu_mul_ctl.scala 137:112] + node _T_36459 = add(_T_36458, _T_36434) @[exu_mul_ctl.scala 137:112] + node _T_36460 = add(_T_36459, _T_36435) @[exu_mul_ctl.scala 137:112] + node _T_36461 = add(_T_36460, _T_36436) @[exu_mul_ctl.scala 137:112] + node _T_36462 = add(_T_36461, _T_36437) @[exu_mul_ctl.scala 137:112] + node _T_36463 = add(_T_36462, _T_36438) @[exu_mul_ctl.scala 137:112] + node _T_36464 = add(_T_36463, _T_36439) @[exu_mul_ctl.scala 137:112] + node _T_36465 = add(_T_36464, _T_36440) @[exu_mul_ctl.scala 137:112] + node _T_36466 = add(_T_36465, _T_36441) @[exu_mul_ctl.scala 137:112] + node _T_36467 = add(_T_36466, _T_36442) @[exu_mul_ctl.scala 137:112] + node _T_36468 = add(_T_36467, _T_36443) @[exu_mul_ctl.scala 137:112] + node _T_36469 = add(_T_36468, _T_36444) @[exu_mul_ctl.scala 137:112] + node _T_36470 = add(_T_36469, _T_36445) @[exu_mul_ctl.scala 137:112] + node _T_36471 = add(_T_36470, _T_36446) @[exu_mul_ctl.scala 137:112] + node _T_36472 = add(_T_36471, _T_36447) @[exu_mul_ctl.scala 137:112] + node _T_36473 = add(_T_36472, _T_36448) @[exu_mul_ctl.scala 137:112] + node _T_36474 = add(_T_36473, _T_36449) @[exu_mul_ctl.scala 137:112] + node _T_36475 = add(_T_36474, _T_36450) @[exu_mul_ctl.scala 137:112] + node _T_36476 = add(_T_36475, _T_36451) @[exu_mul_ctl.scala 137:112] + node _T_36477 = add(_T_36476, _T_36452) @[exu_mul_ctl.scala 137:112] + node _T_36478 = eq(_T_36477, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36479 = bits(_T_36478, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36480 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_36481 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36482 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36483 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36484 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36485 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36486 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36487 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36488 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36489 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36490 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36491 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36492 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36493 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36494 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36495 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36496 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36497 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36498 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36499 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36500 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36501 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36502 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36503 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36504 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36505 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36506 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36507 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36508 = add(_T_36481, _T_36482) @[exu_mul_ctl.scala 137:112] + node _T_36509 = add(_T_36508, _T_36483) @[exu_mul_ctl.scala 137:112] + node _T_36510 = add(_T_36509, _T_36484) @[exu_mul_ctl.scala 137:112] + node _T_36511 = add(_T_36510, _T_36485) @[exu_mul_ctl.scala 137:112] + node _T_36512 = add(_T_36511, _T_36486) @[exu_mul_ctl.scala 137:112] + node _T_36513 = add(_T_36512, _T_36487) @[exu_mul_ctl.scala 137:112] + node _T_36514 = add(_T_36513, _T_36488) @[exu_mul_ctl.scala 137:112] + node _T_36515 = add(_T_36514, _T_36489) @[exu_mul_ctl.scala 137:112] + node _T_36516 = add(_T_36515, _T_36490) @[exu_mul_ctl.scala 137:112] + node _T_36517 = add(_T_36516, _T_36491) @[exu_mul_ctl.scala 137:112] + node _T_36518 = add(_T_36517, _T_36492) @[exu_mul_ctl.scala 137:112] + node _T_36519 = add(_T_36518, _T_36493) @[exu_mul_ctl.scala 137:112] + node _T_36520 = add(_T_36519, _T_36494) @[exu_mul_ctl.scala 137:112] + node _T_36521 = add(_T_36520, _T_36495) @[exu_mul_ctl.scala 137:112] + node _T_36522 = add(_T_36521, _T_36496) @[exu_mul_ctl.scala 137:112] + node _T_36523 = add(_T_36522, _T_36497) @[exu_mul_ctl.scala 137:112] + node _T_36524 = add(_T_36523, _T_36498) @[exu_mul_ctl.scala 137:112] + node _T_36525 = add(_T_36524, _T_36499) @[exu_mul_ctl.scala 137:112] + node _T_36526 = add(_T_36525, _T_36500) @[exu_mul_ctl.scala 137:112] + node _T_36527 = add(_T_36526, _T_36501) @[exu_mul_ctl.scala 137:112] + node _T_36528 = add(_T_36527, _T_36502) @[exu_mul_ctl.scala 137:112] + node _T_36529 = add(_T_36528, _T_36503) @[exu_mul_ctl.scala 137:112] + node _T_36530 = add(_T_36529, _T_36504) @[exu_mul_ctl.scala 137:112] + node _T_36531 = add(_T_36530, _T_36505) @[exu_mul_ctl.scala 137:112] + node _T_36532 = add(_T_36531, _T_36506) @[exu_mul_ctl.scala 137:112] + node _T_36533 = add(_T_36532, _T_36507) @[exu_mul_ctl.scala 137:112] + node _T_36534 = eq(_T_36533, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36535 = bits(_T_36534, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36536 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_36537 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36538 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36539 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36540 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36541 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36542 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36543 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36544 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36545 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36546 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36547 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36548 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36549 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36550 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36551 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36552 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36553 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36554 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36555 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36556 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36557 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36558 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36559 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36560 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36561 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36562 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36563 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36564 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_36565 = add(_T_36537, _T_36538) @[exu_mul_ctl.scala 137:112] + node _T_36566 = add(_T_36565, _T_36539) @[exu_mul_ctl.scala 137:112] + node _T_36567 = add(_T_36566, _T_36540) @[exu_mul_ctl.scala 137:112] + node _T_36568 = add(_T_36567, _T_36541) @[exu_mul_ctl.scala 137:112] + node _T_36569 = add(_T_36568, _T_36542) @[exu_mul_ctl.scala 137:112] + node _T_36570 = add(_T_36569, _T_36543) @[exu_mul_ctl.scala 137:112] + node _T_36571 = add(_T_36570, _T_36544) @[exu_mul_ctl.scala 137:112] + node _T_36572 = add(_T_36571, _T_36545) @[exu_mul_ctl.scala 137:112] + node _T_36573 = add(_T_36572, _T_36546) @[exu_mul_ctl.scala 137:112] + node _T_36574 = add(_T_36573, _T_36547) @[exu_mul_ctl.scala 137:112] + node _T_36575 = add(_T_36574, _T_36548) @[exu_mul_ctl.scala 137:112] + node _T_36576 = add(_T_36575, _T_36549) @[exu_mul_ctl.scala 137:112] + node _T_36577 = add(_T_36576, _T_36550) @[exu_mul_ctl.scala 137:112] + node _T_36578 = add(_T_36577, _T_36551) @[exu_mul_ctl.scala 137:112] + node _T_36579 = add(_T_36578, _T_36552) @[exu_mul_ctl.scala 137:112] + node _T_36580 = add(_T_36579, _T_36553) @[exu_mul_ctl.scala 137:112] + node _T_36581 = add(_T_36580, _T_36554) @[exu_mul_ctl.scala 137:112] + node _T_36582 = add(_T_36581, _T_36555) @[exu_mul_ctl.scala 137:112] + node _T_36583 = add(_T_36582, _T_36556) @[exu_mul_ctl.scala 137:112] + node _T_36584 = add(_T_36583, _T_36557) @[exu_mul_ctl.scala 137:112] + node _T_36585 = add(_T_36584, _T_36558) @[exu_mul_ctl.scala 137:112] + node _T_36586 = add(_T_36585, _T_36559) @[exu_mul_ctl.scala 137:112] + node _T_36587 = add(_T_36586, _T_36560) @[exu_mul_ctl.scala 137:112] + node _T_36588 = add(_T_36587, _T_36561) @[exu_mul_ctl.scala 137:112] + node _T_36589 = add(_T_36588, _T_36562) @[exu_mul_ctl.scala 137:112] + node _T_36590 = add(_T_36589, _T_36563) @[exu_mul_ctl.scala 137:112] + node _T_36591 = add(_T_36590, _T_36564) @[exu_mul_ctl.scala 137:112] + node _T_36592 = eq(_T_36591, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36593 = bits(_T_36592, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36594 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_36595 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36596 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36597 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36598 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36599 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36600 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36601 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36602 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36603 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36604 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36605 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36606 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36607 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36608 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36609 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36610 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36611 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36612 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36613 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36614 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36615 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36616 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36617 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36618 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36619 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36620 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36621 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36622 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_36623 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_36624 = add(_T_36595, _T_36596) @[exu_mul_ctl.scala 137:112] + node _T_36625 = add(_T_36624, _T_36597) @[exu_mul_ctl.scala 137:112] + node _T_36626 = add(_T_36625, _T_36598) @[exu_mul_ctl.scala 137:112] + node _T_36627 = add(_T_36626, _T_36599) @[exu_mul_ctl.scala 137:112] + node _T_36628 = add(_T_36627, _T_36600) @[exu_mul_ctl.scala 137:112] + node _T_36629 = add(_T_36628, _T_36601) @[exu_mul_ctl.scala 137:112] + node _T_36630 = add(_T_36629, _T_36602) @[exu_mul_ctl.scala 137:112] + node _T_36631 = add(_T_36630, _T_36603) @[exu_mul_ctl.scala 137:112] + node _T_36632 = add(_T_36631, _T_36604) @[exu_mul_ctl.scala 137:112] + node _T_36633 = add(_T_36632, _T_36605) @[exu_mul_ctl.scala 137:112] + node _T_36634 = add(_T_36633, _T_36606) @[exu_mul_ctl.scala 137:112] + node _T_36635 = add(_T_36634, _T_36607) @[exu_mul_ctl.scala 137:112] + node _T_36636 = add(_T_36635, _T_36608) @[exu_mul_ctl.scala 137:112] + node _T_36637 = add(_T_36636, _T_36609) @[exu_mul_ctl.scala 137:112] + node _T_36638 = add(_T_36637, _T_36610) @[exu_mul_ctl.scala 137:112] + node _T_36639 = add(_T_36638, _T_36611) @[exu_mul_ctl.scala 137:112] + node _T_36640 = add(_T_36639, _T_36612) @[exu_mul_ctl.scala 137:112] + node _T_36641 = add(_T_36640, _T_36613) @[exu_mul_ctl.scala 137:112] + node _T_36642 = add(_T_36641, _T_36614) @[exu_mul_ctl.scala 137:112] + node _T_36643 = add(_T_36642, _T_36615) @[exu_mul_ctl.scala 137:112] + node _T_36644 = add(_T_36643, _T_36616) @[exu_mul_ctl.scala 137:112] + node _T_36645 = add(_T_36644, _T_36617) @[exu_mul_ctl.scala 137:112] + node _T_36646 = add(_T_36645, _T_36618) @[exu_mul_ctl.scala 137:112] + node _T_36647 = add(_T_36646, _T_36619) @[exu_mul_ctl.scala 137:112] + node _T_36648 = add(_T_36647, _T_36620) @[exu_mul_ctl.scala 137:112] + node _T_36649 = add(_T_36648, _T_36621) @[exu_mul_ctl.scala 137:112] + node _T_36650 = add(_T_36649, _T_36622) @[exu_mul_ctl.scala 137:112] + node _T_36651 = add(_T_36650, _T_36623) @[exu_mul_ctl.scala 137:112] + node _T_36652 = eq(_T_36651, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36653 = bits(_T_36652, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36654 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_36655 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36656 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36657 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36658 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36659 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36660 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36661 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36662 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36663 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36664 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36665 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36666 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36667 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36668 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36669 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36670 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36671 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36672 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36673 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36674 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36675 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36676 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36677 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36678 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36679 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36680 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36681 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36682 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_36683 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_36684 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_36685 = add(_T_36655, _T_36656) @[exu_mul_ctl.scala 137:112] + node _T_36686 = add(_T_36685, _T_36657) @[exu_mul_ctl.scala 137:112] + node _T_36687 = add(_T_36686, _T_36658) @[exu_mul_ctl.scala 137:112] + node _T_36688 = add(_T_36687, _T_36659) @[exu_mul_ctl.scala 137:112] + node _T_36689 = add(_T_36688, _T_36660) @[exu_mul_ctl.scala 137:112] + node _T_36690 = add(_T_36689, _T_36661) @[exu_mul_ctl.scala 137:112] + node _T_36691 = add(_T_36690, _T_36662) @[exu_mul_ctl.scala 137:112] + node _T_36692 = add(_T_36691, _T_36663) @[exu_mul_ctl.scala 137:112] + node _T_36693 = add(_T_36692, _T_36664) @[exu_mul_ctl.scala 137:112] + node _T_36694 = add(_T_36693, _T_36665) @[exu_mul_ctl.scala 137:112] + node _T_36695 = add(_T_36694, _T_36666) @[exu_mul_ctl.scala 137:112] + node _T_36696 = add(_T_36695, _T_36667) @[exu_mul_ctl.scala 137:112] + node _T_36697 = add(_T_36696, _T_36668) @[exu_mul_ctl.scala 137:112] + node _T_36698 = add(_T_36697, _T_36669) @[exu_mul_ctl.scala 137:112] + node _T_36699 = add(_T_36698, _T_36670) @[exu_mul_ctl.scala 137:112] + node _T_36700 = add(_T_36699, _T_36671) @[exu_mul_ctl.scala 137:112] + node _T_36701 = add(_T_36700, _T_36672) @[exu_mul_ctl.scala 137:112] + node _T_36702 = add(_T_36701, _T_36673) @[exu_mul_ctl.scala 137:112] + node _T_36703 = add(_T_36702, _T_36674) @[exu_mul_ctl.scala 137:112] + node _T_36704 = add(_T_36703, _T_36675) @[exu_mul_ctl.scala 137:112] + node _T_36705 = add(_T_36704, _T_36676) @[exu_mul_ctl.scala 137:112] + node _T_36706 = add(_T_36705, _T_36677) @[exu_mul_ctl.scala 137:112] + node _T_36707 = add(_T_36706, _T_36678) @[exu_mul_ctl.scala 137:112] + node _T_36708 = add(_T_36707, _T_36679) @[exu_mul_ctl.scala 137:112] + node _T_36709 = add(_T_36708, _T_36680) @[exu_mul_ctl.scala 137:112] + node _T_36710 = add(_T_36709, _T_36681) @[exu_mul_ctl.scala 137:112] + node _T_36711 = add(_T_36710, _T_36682) @[exu_mul_ctl.scala 137:112] + node _T_36712 = add(_T_36711, _T_36683) @[exu_mul_ctl.scala 137:112] + node _T_36713 = add(_T_36712, _T_36684) @[exu_mul_ctl.scala 137:112] + node _T_36714 = eq(_T_36713, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36715 = bits(_T_36714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36716 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_36717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36724 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36725 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36726 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36727 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36728 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36729 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36730 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36731 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36732 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36733 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36734 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36735 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36736 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36737 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36738 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36739 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36740 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36741 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36742 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36743 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36744 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_36745 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_36746 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_36747 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_36748 = add(_T_36717, _T_36718) @[exu_mul_ctl.scala 137:112] + node _T_36749 = add(_T_36748, _T_36719) @[exu_mul_ctl.scala 137:112] + node _T_36750 = add(_T_36749, _T_36720) @[exu_mul_ctl.scala 137:112] + node _T_36751 = add(_T_36750, _T_36721) @[exu_mul_ctl.scala 137:112] + node _T_36752 = add(_T_36751, _T_36722) @[exu_mul_ctl.scala 137:112] + node _T_36753 = add(_T_36752, _T_36723) @[exu_mul_ctl.scala 137:112] + node _T_36754 = add(_T_36753, _T_36724) @[exu_mul_ctl.scala 137:112] + node _T_36755 = add(_T_36754, _T_36725) @[exu_mul_ctl.scala 137:112] + node _T_36756 = add(_T_36755, _T_36726) @[exu_mul_ctl.scala 137:112] + node _T_36757 = add(_T_36756, _T_36727) @[exu_mul_ctl.scala 137:112] + node _T_36758 = add(_T_36757, _T_36728) @[exu_mul_ctl.scala 137:112] + node _T_36759 = add(_T_36758, _T_36729) @[exu_mul_ctl.scala 137:112] + node _T_36760 = add(_T_36759, _T_36730) @[exu_mul_ctl.scala 137:112] + node _T_36761 = add(_T_36760, _T_36731) @[exu_mul_ctl.scala 137:112] + node _T_36762 = add(_T_36761, _T_36732) @[exu_mul_ctl.scala 137:112] + node _T_36763 = add(_T_36762, _T_36733) @[exu_mul_ctl.scala 137:112] + node _T_36764 = add(_T_36763, _T_36734) @[exu_mul_ctl.scala 137:112] + node _T_36765 = add(_T_36764, _T_36735) @[exu_mul_ctl.scala 137:112] + node _T_36766 = add(_T_36765, _T_36736) @[exu_mul_ctl.scala 137:112] + node _T_36767 = add(_T_36766, _T_36737) @[exu_mul_ctl.scala 137:112] + node _T_36768 = add(_T_36767, _T_36738) @[exu_mul_ctl.scala 137:112] + node _T_36769 = add(_T_36768, _T_36739) @[exu_mul_ctl.scala 137:112] + node _T_36770 = add(_T_36769, _T_36740) @[exu_mul_ctl.scala 137:112] + node _T_36771 = add(_T_36770, _T_36741) @[exu_mul_ctl.scala 137:112] + node _T_36772 = add(_T_36771, _T_36742) @[exu_mul_ctl.scala 137:112] + node _T_36773 = add(_T_36772, _T_36743) @[exu_mul_ctl.scala 137:112] + node _T_36774 = add(_T_36773, _T_36744) @[exu_mul_ctl.scala 137:112] + node _T_36775 = add(_T_36774, _T_36745) @[exu_mul_ctl.scala 137:112] + node _T_36776 = add(_T_36775, _T_36746) @[exu_mul_ctl.scala 137:112] + node _T_36777 = add(_T_36776, _T_36747) @[exu_mul_ctl.scala 137:112] + node _T_36778 = eq(_T_36777, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36779 = bits(_T_36778, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36780 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_36781 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36782 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36783 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36784 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36785 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36786 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36787 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36788 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36789 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36790 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36791 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36792 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36793 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36794 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36795 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36796 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36797 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36798 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36799 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36800 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36801 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36802 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36803 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36804 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36805 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36806 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36807 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36808 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_36809 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_36810 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_36811 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_36812 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_36813 = add(_T_36781, _T_36782) @[exu_mul_ctl.scala 137:112] + node _T_36814 = add(_T_36813, _T_36783) @[exu_mul_ctl.scala 137:112] + node _T_36815 = add(_T_36814, _T_36784) @[exu_mul_ctl.scala 137:112] + node _T_36816 = add(_T_36815, _T_36785) @[exu_mul_ctl.scala 137:112] + node _T_36817 = add(_T_36816, _T_36786) @[exu_mul_ctl.scala 137:112] + node _T_36818 = add(_T_36817, _T_36787) @[exu_mul_ctl.scala 137:112] + node _T_36819 = add(_T_36818, _T_36788) @[exu_mul_ctl.scala 137:112] + node _T_36820 = add(_T_36819, _T_36789) @[exu_mul_ctl.scala 137:112] + node _T_36821 = add(_T_36820, _T_36790) @[exu_mul_ctl.scala 137:112] + node _T_36822 = add(_T_36821, _T_36791) @[exu_mul_ctl.scala 137:112] + node _T_36823 = add(_T_36822, _T_36792) @[exu_mul_ctl.scala 137:112] + node _T_36824 = add(_T_36823, _T_36793) @[exu_mul_ctl.scala 137:112] + node _T_36825 = add(_T_36824, _T_36794) @[exu_mul_ctl.scala 137:112] + node _T_36826 = add(_T_36825, _T_36795) @[exu_mul_ctl.scala 137:112] + node _T_36827 = add(_T_36826, _T_36796) @[exu_mul_ctl.scala 137:112] + node _T_36828 = add(_T_36827, _T_36797) @[exu_mul_ctl.scala 137:112] + node _T_36829 = add(_T_36828, _T_36798) @[exu_mul_ctl.scala 137:112] + node _T_36830 = add(_T_36829, _T_36799) @[exu_mul_ctl.scala 137:112] + node _T_36831 = add(_T_36830, _T_36800) @[exu_mul_ctl.scala 137:112] + node _T_36832 = add(_T_36831, _T_36801) @[exu_mul_ctl.scala 137:112] + node _T_36833 = add(_T_36832, _T_36802) @[exu_mul_ctl.scala 137:112] + node _T_36834 = add(_T_36833, _T_36803) @[exu_mul_ctl.scala 137:112] + node _T_36835 = add(_T_36834, _T_36804) @[exu_mul_ctl.scala 137:112] + node _T_36836 = add(_T_36835, _T_36805) @[exu_mul_ctl.scala 137:112] + node _T_36837 = add(_T_36836, _T_36806) @[exu_mul_ctl.scala 137:112] + node _T_36838 = add(_T_36837, _T_36807) @[exu_mul_ctl.scala 137:112] + node _T_36839 = add(_T_36838, _T_36808) @[exu_mul_ctl.scala 137:112] + node _T_36840 = add(_T_36839, _T_36809) @[exu_mul_ctl.scala 137:112] + node _T_36841 = add(_T_36840, _T_36810) @[exu_mul_ctl.scala 137:112] + node _T_36842 = add(_T_36841, _T_36811) @[exu_mul_ctl.scala 137:112] + node _T_36843 = add(_T_36842, _T_36812) @[exu_mul_ctl.scala 137:112] + node _T_36844 = eq(_T_36843, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36845 = bits(_T_36844, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36846 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_36847 = mux(_T_36845, _T_36846, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_36848 = mux(_T_36779, _T_36780, _T_36847) @[Mux.scala 98:16] + node _T_36849 = mux(_T_36715, _T_36716, _T_36848) @[Mux.scala 98:16] + node _T_36850 = mux(_T_36653, _T_36654, _T_36849) @[Mux.scala 98:16] + node _T_36851 = mux(_T_36593, _T_36594, _T_36850) @[Mux.scala 98:16] + node _T_36852 = mux(_T_36535, _T_36536, _T_36851) @[Mux.scala 98:16] + node _T_36853 = mux(_T_36479, _T_36480, _T_36852) @[Mux.scala 98:16] + node _T_36854 = mux(_T_36425, _T_36426, _T_36853) @[Mux.scala 98:16] + node _T_36855 = mux(_T_36373, _T_36374, _T_36854) @[Mux.scala 98:16] + node _T_36856 = mux(_T_36323, _T_36324, _T_36855) @[Mux.scala 98:16] + node _T_36857 = mux(_T_36275, _T_36276, _T_36856) @[Mux.scala 98:16] + node _T_36858 = mux(_T_36229, _T_36230, _T_36857) @[Mux.scala 98:16] + node _T_36859 = mux(_T_36185, _T_36186, _T_36858) @[Mux.scala 98:16] + node _T_36860 = mux(_T_36143, _T_36144, _T_36859) @[Mux.scala 98:16] + node _T_36861 = mux(_T_36103, _T_36104, _T_36860) @[Mux.scala 98:16] + node _T_36862 = mux(_T_36065, _T_36066, _T_36861) @[Mux.scala 98:16] + node _T_36863 = mux(_T_36029, _T_36030, _T_36862) @[Mux.scala 98:16] + node _T_36864 = mux(_T_35995, _T_35996, _T_36863) @[Mux.scala 98:16] + node _T_36865 = mux(_T_35963, _T_35964, _T_36864) @[Mux.scala 98:16] + node _T_36866 = mux(_T_35933, _T_35934, _T_36865) @[Mux.scala 98:16] + node _T_36867 = mux(_T_35905, _T_35906, _T_36866) @[Mux.scala 98:16] + node _T_36868 = mux(_T_35879, _T_35880, _T_36867) @[Mux.scala 98:16] + node _T_36869 = mux(_T_35855, _T_35856, _T_36868) @[Mux.scala 98:16] + node _T_36870 = mux(_T_35833, _T_35834, _T_36869) @[Mux.scala 98:16] + node _T_36871 = mux(_T_35813, _T_35814, _T_36870) @[Mux.scala 98:16] + node _T_36872 = mux(_T_35795, _T_35796, _T_36871) @[Mux.scala 98:16] + node _T_36873 = mux(_T_35779, _T_35780, _T_36872) @[Mux.scala 98:16] + node _T_36874 = mux(_T_35765, _T_35766, _T_36873) @[Mux.scala 98:16] + node _T_36875 = mux(_T_35753, _T_35754, _T_36874) @[Mux.scala 98:16] + node _T_36876 = mux(_T_35743, _T_35744, _T_36875) @[Mux.scala 98:16] + node _T_36877 = mux(_T_35735, _T_35736, _T_36876) @[Mux.scala 98:16] + node _T_36878 = mux(_T_35729, _T_35730, _T_36877) @[Mux.scala 98:16] + node _T_36879 = cat(_T_36878, _T_35726) @[Cat.scala 29:58] + node _T_36880 = cat(_T_36879, _T_34574) @[Cat.scala 29:58] + node _T_36881 = cat(_T_36880, _T_33422) @[Cat.scala 29:58] + node _T_36882 = cat(_T_36881, _T_32270) @[Cat.scala 29:58] + node _T_36883 = cat(_T_36882, _T_31118) @[Cat.scala 29:58] + node _T_36884 = cat(_T_36883, _T_29966) @[Cat.scala 29:58] + node _T_36885 = cat(_T_36884, _T_28814) @[Cat.scala 29:58] + node _T_36886 = cat(_T_36885, _T_27662) @[Cat.scala 29:58] + node _T_36887 = cat(_T_36886, _T_26510) @[Cat.scala 29:58] + node _T_36888 = cat(_T_36887, _T_25358) @[Cat.scala 29:58] + node _T_36889 = cat(_T_36888, _T_24206) @[Cat.scala 29:58] + node _T_36890 = cat(_T_36889, _T_23054) @[Cat.scala 29:58] + node _T_36891 = cat(_T_36890, _T_21902) @[Cat.scala 29:58] + node _T_36892 = cat(_T_36891, _T_20750) @[Cat.scala 29:58] + node _T_36893 = cat(_T_36892, _T_19598) @[Cat.scala 29:58] + node _T_36894 = cat(_T_36893, _T_18446) @[Cat.scala 29:58] + node _T_36895 = cat(_T_36894, _T_17294) @[Cat.scala 29:58] + node _T_36896 = cat(_T_36895, _T_16142) @[Cat.scala 29:58] + node _T_36897 = cat(_T_36896, _T_14990) @[Cat.scala 29:58] + node _T_36898 = cat(_T_36897, _T_13838) @[Cat.scala 29:58] + node _T_36899 = cat(_T_36898, _T_12686) @[Cat.scala 29:58] + node _T_36900 = cat(_T_36899, _T_11534) @[Cat.scala 29:58] + node _T_36901 = cat(_T_36900, _T_10382) @[Cat.scala 29:58] + node _T_36902 = cat(_T_36901, _T_9230) @[Cat.scala 29:58] + node _T_36903 = cat(_T_36902, _T_8078) @[Cat.scala 29:58] + node _T_36904 = cat(_T_36903, _T_6926) @[Cat.scala 29:58] + node _T_36905 = cat(_T_36904, _T_5774) @[Cat.scala 29:58] + node _T_36906 = cat(_T_36905, _T_4622) @[Cat.scala 29:58] + node _T_36907 = cat(_T_36906, _T_3470) @[Cat.scala 29:58] + node _T_36908 = cat(_T_36907, _T_2318) @[Cat.scala 29:58] + node bext_d = cat(_T_36908, _T_1166) @[Cat.scala 29:58] + node _T_36909 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 141:51] + node _T_36910 = eq(_T_36909, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36911 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_36912 = sub(_T_36911, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36913 = tail(_T_36912, 1) @[exu_mul_ctl.scala 141:85] + node _T_36914 = dshr(io.rs1_in, _T_36913) @[exu_mul_ctl.scala 141:74] + node _T_36915 = bits(_T_36914, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36916 = mux(_T_36910, _T_36915, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36917 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 141:51] + node _T_36918 = eq(_T_36917, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36919 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36920 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36921 = add(_T_36919, _T_36920) @[exu_mul_ctl.scala 137:112] + node _T_36922 = sub(_T_36921, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36923 = tail(_T_36922, 1) @[exu_mul_ctl.scala 141:85] + node _T_36924 = dshr(io.rs1_in, _T_36923) @[exu_mul_ctl.scala 141:74] + node _T_36925 = bits(_T_36924, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36926 = mux(_T_36918, _T_36925, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36927 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 141:51] + node _T_36928 = eq(_T_36927, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36929 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36930 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36931 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36932 = add(_T_36929, _T_36930) @[exu_mul_ctl.scala 137:112] + node _T_36933 = add(_T_36932, _T_36931) @[exu_mul_ctl.scala 137:112] + node _T_36934 = sub(_T_36933, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36935 = tail(_T_36934, 1) @[exu_mul_ctl.scala 141:85] + node _T_36936 = dshr(io.rs1_in, _T_36935) @[exu_mul_ctl.scala 141:74] + node _T_36937 = bits(_T_36936, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36938 = mux(_T_36928, _T_36937, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36939 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 141:51] + node _T_36940 = eq(_T_36939, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36941 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36942 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36943 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36944 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36945 = add(_T_36941, _T_36942) @[exu_mul_ctl.scala 137:112] + node _T_36946 = add(_T_36945, _T_36943) @[exu_mul_ctl.scala 137:112] + node _T_36947 = add(_T_36946, _T_36944) @[exu_mul_ctl.scala 137:112] + node _T_36948 = sub(_T_36947, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36949 = tail(_T_36948, 1) @[exu_mul_ctl.scala 141:85] + node _T_36950 = dshr(io.rs1_in, _T_36949) @[exu_mul_ctl.scala 141:74] + node _T_36951 = bits(_T_36950, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36952 = mux(_T_36940, _T_36951, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36953 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 141:51] + node _T_36954 = eq(_T_36953, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36955 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36956 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36957 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36958 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36959 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36960 = add(_T_36955, _T_36956) @[exu_mul_ctl.scala 137:112] + node _T_36961 = add(_T_36960, _T_36957) @[exu_mul_ctl.scala 137:112] + node _T_36962 = add(_T_36961, _T_36958) @[exu_mul_ctl.scala 137:112] + node _T_36963 = add(_T_36962, _T_36959) @[exu_mul_ctl.scala 137:112] + node _T_36964 = sub(_T_36963, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36965 = tail(_T_36964, 1) @[exu_mul_ctl.scala 141:85] + node _T_36966 = dshr(io.rs1_in, _T_36965) @[exu_mul_ctl.scala 141:74] + node _T_36967 = bits(_T_36966, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36968 = mux(_T_36954, _T_36967, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36969 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 141:51] + node _T_36970 = eq(_T_36969, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36971 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36972 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36973 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36974 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36975 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36976 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36977 = add(_T_36971, _T_36972) @[exu_mul_ctl.scala 137:112] + node _T_36978 = add(_T_36977, _T_36973) @[exu_mul_ctl.scala 137:112] + node _T_36979 = add(_T_36978, _T_36974) @[exu_mul_ctl.scala 137:112] + node _T_36980 = add(_T_36979, _T_36975) @[exu_mul_ctl.scala 137:112] + node _T_36981 = add(_T_36980, _T_36976) @[exu_mul_ctl.scala 137:112] + node _T_36982 = sub(_T_36981, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36983 = tail(_T_36982, 1) @[exu_mul_ctl.scala 141:85] + node _T_36984 = dshr(io.rs1_in, _T_36983) @[exu_mul_ctl.scala 141:74] + node _T_36985 = bits(_T_36984, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36986 = mux(_T_36970, _T_36985, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36987 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 141:51] + node _T_36988 = eq(_T_36987, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36989 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36990 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36991 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36992 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36993 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36994 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36995 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36996 = add(_T_36989, _T_36990) @[exu_mul_ctl.scala 137:112] + node _T_36997 = add(_T_36996, _T_36991) @[exu_mul_ctl.scala 137:112] + node _T_36998 = add(_T_36997, _T_36992) @[exu_mul_ctl.scala 137:112] + node _T_36999 = add(_T_36998, _T_36993) @[exu_mul_ctl.scala 137:112] + node _T_37000 = add(_T_36999, _T_36994) @[exu_mul_ctl.scala 137:112] + node _T_37001 = add(_T_37000, _T_36995) @[exu_mul_ctl.scala 137:112] + node _T_37002 = sub(_T_37001, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37003 = tail(_T_37002, 1) @[exu_mul_ctl.scala 141:85] + node _T_37004 = dshr(io.rs1_in, _T_37003) @[exu_mul_ctl.scala 141:74] + node _T_37005 = bits(_T_37004, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37006 = mux(_T_36988, _T_37005, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37007 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 141:51] + node _T_37008 = eq(_T_37007, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37009 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37010 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37011 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37012 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37013 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37014 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37015 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37016 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37017 = add(_T_37009, _T_37010) @[exu_mul_ctl.scala 137:112] + node _T_37018 = add(_T_37017, _T_37011) @[exu_mul_ctl.scala 137:112] + node _T_37019 = add(_T_37018, _T_37012) @[exu_mul_ctl.scala 137:112] + node _T_37020 = add(_T_37019, _T_37013) @[exu_mul_ctl.scala 137:112] + node _T_37021 = add(_T_37020, _T_37014) @[exu_mul_ctl.scala 137:112] + node _T_37022 = add(_T_37021, _T_37015) @[exu_mul_ctl.scala 137:112] + node _T_37023 = add(_T_37022, _T_37016) @[exu_mul_ctl.scala 137:112] + node _T_37024 = sub(_T_37023, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37025 = tail(_T_37024, 1) @[exu_mul_ctl.scala 141:85] + node _T_37026 = dshr(io.rs1_in, _T_37025) @[exu_mul_ctl.scala 141:74] + node _T_37027 = bits(_T_37026, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37028 = mux(_T_37008, _T_37027, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 141:51] + node _T_37030 = eq(_T_37029, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37031 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37032 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37033 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37034 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37035 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37036 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37037 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37038 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37039 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37040 = add(_T_37031, _T_37032) @[exu_mul_ctl.scala 137:112] + node _T_37041 = add(_T_37040, _T_37033) @[exu_mul_ctl.scala 137:112] + node _T_37042 = add(_T_37041, _T_37034) @[exu_mul_ctl.scala 137:112] + node _T_37043 = add(_T_37042, _T_37035) @[exu_mul_ctl.scala 137:112] + node _T_37044 = add(_T_37043, _T_37036) @[exu_mul_ctl.scala 137:112] + node _T_37045 = add(_T_37044, _T_37037) @[exu_mul_ctl.scala 137:112] + node _T_37046 = add(_T_37045, _T_37038) @[exu_mul_ctl.scala 137:112] + node _T_37047 = add(_T_37046, _T_37039) @[exu_mul_ctl.scala 137:112] + node _T_37048 = sub(_T_37047, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37049 = tail(_T_37048, 1) @[exu_mul_ctl.scala 141:85] + node _T_37050 = dshr(io.rs1_in, _T_37049) @[exu_mul_ctl.scala 141:74] + node _T_37051 = bits(_T_37050, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37052 = mux(_T_37030, _T_37051, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37053 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 141:51] + node _T_37054 = eq(_T_37053, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37055 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37056 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37057 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37058 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37059 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37060 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37061 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37062 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37063 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37064 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37065 = add(_T_37055, _T_37056) @[exu_mul_ctl.scala 137:112] + node _T_37066 = add(_T_37065, _T_37057) @[exu_mul_ctl.scala 137:112] + node _T_37067 = add(_T_37066, _T_37058) @[exu_mul_ctl.scala 137:112] + node _T_37068 = add(_T_37067, _T_37059) @[exu_mul_ctl.scala 137:112] + node _T_37069 = add(_T_37068, _T_37060) @[exu_mul_ctl.scala 137:112] + node _T_37070 = add(_T_37069, _T_37061) @[exu_mul_ctl.scala 137:112] + node _T_37071 = add(_T_37070, _T_37062) @[exu_mul_ctl.scala 137:112] + node _T_37072 = add(_T_37071, _T_37063) @[exu_mul_ctl.scala 137:112] + node _T_37073 = add(_T_37072, _T_37064) @[exu_mul_ctl.scala 137:112] + node _T_37074 = sub(_T_37073, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37075 = tail(_T_37074, 1) @[exu_mul_ctl.scala 141:85] + node _T_37076 = dshr(io.rs1_in, _T_37075) @[exu_mul_ctl.scala 141:74] + node _T_37077 = bits(_T_37076, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37078 = mux(_T_37054, _T_37077, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37079 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 141:51] + node _T_37080 = eq(_T_37079, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37081 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37082 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37083 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37084 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37085 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37086 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37087 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37088 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37089 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37090 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37091 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37092 = add(_T_37081, _T_37082) @[exu_mul_ctl.scala 137:112] + node _T_37093 = add(_T_37092, _T_37083) @[exu_mul_ctl.scala 137:112] + node _T_37094 = add(_T_37093, _T_37084) @[exu_mul_ctl.scala 137:112] + node _T_37095 = add(_T_37094, _T_37085) @[exu_mul_ctl.scala 137:112] + node _T_37096 = add(_T_37095, _T_37086) @[exu_mul_ctl.scala 137:112] + node _T_37097 = add(_T_37096, _T_37087) @[exu_mul_ctl.scala 137:112] + node _T_37098 = add(_T_37097, _T_37088) @[exu_mul_ctl.scala 137:112] + node _T_37099 = add(_T_37098, _T_37089) @[exu_mul_ctl.scala 137:112] + node _T_37100 = add(_T_37099, _T_37090) @[exu_mul_ctl.scala 137:112] + node _T_37101 = add(_T_37100, _T_37091) @[exu_mul_ctl.scala 137:112] + node _T_37102 = sub(_T_37101, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37103 = tail(_T_37102, 1) @[exu_mul_ctl.scala 141:85] + node _T_37104 = dshr(io.rs1_in, _T_37103) @[exu_mul_ctl.scala 141:74] + node _T_37105 = bits(_T_37104, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37106 = mux(_T_37080, _T_37105, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37107 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 141:51] + node _T_37108 = eq(_T_37107, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37116 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37117 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37118 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37119 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37120 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37121 = add(_T_37109, _T_37110) @[exu_mul_ctl.scala 137:112] + node _T_37122 = add(_T_37121, _T_37111) @[exu_mul_ctl.scala 137:112] + node _T_37123 = add(_T_37122, _T_37112) @[exu_mul_ctl.scala 137:112] + node _T_37124 = add(_T_37123, _T_37113) @[exu_mul_ctl.scala 137:112] + node _T_37125 = add(_T_37124, _T_37114) @[exu_mul_ctl.scala 137:112] + node _T_37126 = add(_T_37125, _T_37115) @[exu_mul_ctl.scala 137:112] + node _T_37127 = add(_T_37126, _T_37116) @[exu_mul_ctl.scala 137:112] + node _T_37128 = add(_T_37127, _T_37117) @[exu_mul_ctl.scala 137:112] + node _T_37129 = add(_T_37128, _T_37118) @[exu_mul_ctl.scala 137:112] + node _T_37130 = add(_T_37129, _T_37119) @[exu_mul_ctl.scala 137:112] + node _T_37131 = add(_T_37130, _T_37120) @[exu_mul_ctl.scala 137:112] + node _T_37132 = sub(_T_37131, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37133 = tail(_T_37132, 1) @[exu_mul_ctl.scala 141:85] + node _T_37134 = dshr(io.rs1_in, _T_37133) @[exu_mul_ctl.scala 141:74] + node _T_37135 = bits(_T_37134, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37136 = mux(_T_37108, _T_37135, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37137 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 141:51] + node _T_37138 = eq(_T_37137, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37139 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37140 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37141 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37142 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37143 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37144 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37145 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37146 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37147 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37148 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37149 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37150 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37151 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37152 = add(_T_37139, _T_37140) @[exu_mul_ctl.scala 137:112] + node _T_37153 = add(_T_37152, _T_37141) @[exu_mul_ctl.scala 137:112] + node _T_37154 = add(_T_37153, _T_37142) @[exu_mul_ctl.scala 137:112] + node _T_37155 = add(_T_37154, _T_37143) @[exu_mul_ctl.scala 137:112] + node _T_37156 = add(_T_37155, _T_37144) @[exu_mul_ctl.scala 137:112] + node _T_37157 = add(_T_37156, _T_37145) @[exu_mul_ctl.scala 137:112] + node _T_37158 = add(_T_37157, _T_37146) @[exu_mul_ctl.scala 137:112] + node _T_37159 = add(_T_37158, _T_37147) @[exu_mul_ctl.scala 137:112] + node _T_37160 = add(_T_37159, _T_37148) @[exu_mul_ctl.scala 137:112] + node _T_37161 = add(_T_37160, _T_37149) @[exu_mul_ctl.scala 137:112] + node _T_37162 = add(_T_37161, _T_37150) @[exu_mul_ctl.scala 137:112] + node _T_37163 = add(_T_37162, _T_37151) @[exu_mul_ctl.scala 137:112] + node _T_37164 = sub(_T_37163, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37165 = tail(_T_37164, 1) @[exu_mul_ctl.scala 141:85] + node _T_37166 = dshr(io.rs1_in, _T_37165) @[exu_mul_ctl.scala 141:74] + node _T_37167 = bits(_T_37166, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37168 = mux(_T_37138, _T_37167, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37169 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 141:51] + node _T_37170 = eq(_T_37169, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37171 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37172 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37173 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37174 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37175 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37176 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37177 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37178 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37179 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37180 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37181 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37182 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37183 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37184 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37185 = add(_T_37171, _T_37172) @[exu_mul_ctl.scala 137:112] + node _T_37186 = add(_T_37185, _T_37173) @[exu_mul_ctl.scala 137:112] + node _T_37187 = add(_T_37186, _T_37174) @[exu_mul_ctl.scala 137:112] + node _T_37188 = add(_T_37187, _T_37175) @[exu_mul_ctl.scala 137:112] + node _T_37189 = add(_T_37188, _T_37176) @[exu_mul_ctl.scala 137:112] + node _T_37190 = add(_T_37189, _T_37177) @[exu_mul_ctl.scala 137:112] + node _T_37191 = add(_T_37190, _T_37178) @[exu_mul_ctl.scala 137:112] + node _T_37192 = add(_T_37191, _T_37179) @[exu_mul_ctl.scala 137:112] + node _T_37193 = add(_T_37192, _T_37180) @[exu_mul_ctl.scala 137:112] + node _T_37194 = add(_T_37193, _T_37181) @[exu_mul_ctl.scala 137:112] + node _T_37195 = add(_T_37194, _T_37182) @[exu_mul_ctl.scala 137:112] + node _T_37196 = add(_T_37195, _T_37183) @[exu_mul_ctl.scala 137:112] + node _T_37197 = add(_T_37196, _T_37184) @[exu_mul_ctl.scala 137:112] + node _T_37198 = sub(_T_37197, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37199 = tail(_T_37198, 1) @[exu_mul_ctl.scala 141:85] + node _T_37200 = dshr(io.rs1_in, _T_37199) @[exu_mul_ctl.scala 141:74] + node _T_37201 = bits(_T_37200, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37202 = mux(_T_37170, _T_37201, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37203 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 141:51] + node _T_37204 = eq(_T_37203, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37205 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37206 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37207 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37208 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37209 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37210 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37211 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37212 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37213 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37214 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37215 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37216 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37217 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37218 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37219 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37220 = add(_T_37205, _T_37206) @[exu_mul_ctl.scala 137:112] + node _T_37221 = add(_T_37220, _T_37207) @[exu_mul_ctl.scala 137:112] + node _T_37222 = add(_T_37221, _T_37208) @[exu_mul_ctl.scala 137:112] + node _T_37223 = add(_T_37222, _T_37209) @[exu_mul_ctl.scala 137:112] + node _T_37224 = add(_T_37223, _T_37210) @[exu_mul_ctl.scala 137:112] + node _T_37225 = add(_T_37224, _T_37211) @[exu_mul_ctl.scala 137:112] + node _T_37226 = add(_T_37225, _T_37212) @[exu_mul_ctl.scala 137:112] + node _T_37227 = add(_T_37226, _T_37213) @[exu_mul_ctl.scala 137:112] + node _T_37228 = add(_T_37227, _T_37214) @[exu_mul_ctl.scala 137:112] + node _T_37229 = add(_T_37228, _T_37215) @[exu_mul_ctl.scala 137:112] + node _T_37230 = add(_T_37229, _T_37216) @[exu_mul_ctl.scala 137:112] + node _T_37231 = add(_T_37230, _T_37217) @[exu_mul_ctl.scala 137:112] + node _T_37232 = add(_T_37231, _T_37218) @[exu_mul_ctl.scala 137:112] + node _T_37233 = add(_T_37232, _T_37219) @[exu_mul_ctl.scala 137:112] + node _T_37234 = sub(_T_37233, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37235 = tail(_T_37234, 1) @[exu_mul_ctl.scala 141:85] + node _T_37236 = dshr(io.rs1_in, _T_37235) @[exu_mul_ctl.scala 141:74] + node _T_37237 = bits(_T_37236, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37238 = mux(_T_37204, _T_37237, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37239 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 141:51] + node _T_37240 = eq(_T_37239, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37241 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37242 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37243 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37244 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37245 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37246 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37247 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37248 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37249 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37250 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37251 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37252 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37253 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37254 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37255 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37256 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37257 = add(_T_37241, _T_37242) @[exu_mul_ctl.scala 137:112] + node _T_37258 = add(_T_37257, _T_37243) @[exu_mul_ctl.scala 137:112] + node _T_37259 = add(_T_37258, _T_37244) @[exu_mul_ctl.scala 137:112] + node _T_37260 = add(_T_37259, _T_37245) @[exu_mul_ctl.scala 137:112] + node _T_37261 = add(_T_37260, _T_37246) @[exu_mul_ctl.scala 137:112] + node _T_37262 = add(_T_37261, _T_37247) @[exu_mul_ctl.scala 137:112] + node _T_37263 = add(_T_37262, _T_37248) @[exu_mul_ctl.scala 137:112] + node _T_37264 = add(_T_37263, _T_37249) @[exu_mul_ctl.scala 137:112] + node _T_37265 = add(_T_37264, _T_37250) @[exu_mul_ctl.scala 137:112] + node _T_37266 = add(_T_37265, _T_37251) @[exu_mul_ctl.scala 137:112] + node _T_37267 = add(_T_37266, _T_37252) @[exu_mul_ctl.scala 137:112] + node _T_37268 = add(_T_37267, _T_37253) @[exu_mul_ctl.scala 137:112] + node _T_37269 = add(_T_37268, _T_37254) @[exu_mul_ctl.scala 137:112] + node _T_37270 = add(_T_37269, _T_37255) @[exu_mul_ctl.scala 137:112] + node _T_37271 = add(_T_37270, _T_37256) @[exu_mul_ctl.scala 137:112] + node _T_37272 = sub(_T_37271, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37273 = tail(_T_37272, 1) @[exu_mul_ctl.scala 141:85] + node _T_37274 = dshr(io.rs1_in, _T_37273) @[exu_mul_ctl.scala 141:74] + node _T_37275 = bits(_T_37274, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37276 = mux(_T_37240, _T_37275, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37277 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 141:51] + node _T_37278 = eq(_T_37277, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37279 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37280 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37281 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37282 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37283 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37284 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37285 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37286 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37287 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37288 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37289 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37290 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37291 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37292 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37293 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37294 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37295 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37296 = add(_T_37279, _T_37280) @[exu_mul_ctl.scala 137:112] + node _T_37297 = add(_T_37296, _T_37281) @[exu_mul_ctl.scala 137:112] + node _T_37298 = add(_T_37297, _T_37282) @[exu_mul_ctl.scala 137:112] + node _T_37299 = add(_T_37298, _T_37283) @[exu_mul_ctl.scala 137:112] + node _T_37300 = add(_T_37299, _T_37284) @[exu_mul_ctl.scala 137:112] + node _T_37301 = add(_T_37300, _T_37285) @[exu_mul_ctl.scala 137:112] + node _T_37302 = add(_T_37301, _T_37286) @[exu_mul_ctl.scala 137:112] + node _T_37303 = add(_T_37302, _T_37287) @[exu_mul_ctl.scala 137:112] + node _T_37304 = add(_T_37303, _T_37288) @[exu_mul_ctl.scala 137:112] + node _T_37305 = add(_T_37304, _T_37289) @[exu_mul_ctl.scala 137:112] + node _T_37306 = add(_T_37305, _T_37290) @[exu_mul_ctl.scala 137:112] + node _T_37307 = add(_T_37306, _T_37291) @[exu_mul_ctl.scala 137:112] + node _T_37308 = add(_T_37307, _T_37292) @[exu_mul_ctl.scala 137:112] + node _T_37309 = add(_T_37308, _T_37293) @[exu_mul_ctl.scala 137:112] + node _T_37310 = add(_T_37309, _T_37294) @[exu_mul_ctl.scala 137:112] + node _T_37311 = add(_T_37310, _T_37295) @[exu_mul_ctl.scala 137:112] + node _T_37312 = sub(_T_37311, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37313 = tail(_T_37312, 1) @[exu_mul_ctl.scala 141:85] + node _T_37314 = dshr(io.rs1_in, _T_37313) @[exu_mul_ctl.scala 141:74] + node _T_37315 = bits(_T_37314, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37316 = mux(_T_37278, _T_37315, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37317 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 141:51] + node _T_37318 = eq(_T_37317, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37319 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37320 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37321 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37322 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37323 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37324 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37325 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37326 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37327 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37328 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37329 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37330 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37331 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37332 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37333 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37334 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37335 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37336 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37337 = add(_T_37319, _T_37320) @[exu_mul_ctl.scala 137:112] + node _T_37338 = add(_T_37337, _T_37321) @[exu_mul_ctl.scala 137:112] + node _T_37339 = add(_T_37338, _T_37322) @[exu_mul_ctl.scala 137:112] + node _T_37340 = add(_T_37339, _T_37323) @[exu_mul_ctl.scala 137:112] + node _T_37341 = add(_T_37340, _T_37324) @[exu_mul_ctl.scala 137:112] + node _T_37342 = add(_T_37341, _T_37325) @[exu_mul_ctl.scala 137:112] + node _T_37343 = add(_T_37342, _T_37326) @[exu_mul_ctl.scala 137:112] + node _T_37344 = add(_T_37343, _T_37327) @[exu_mul_ctl.scala 137:112] + node _T_37345 = add(_T_37344, _T_37328) @[exu_mul_ctl.scala 137:112] + node _T_37346 = add(_T_37345, _T_37329) @[exu_mul_ctl.scala 137:112] + node _T_37347 = add(_T_37346, _T_37330) @[exu_mul_ctl.scala 137:112] + node _T_37348 = add(_T_37347, _T_37331) @[exu_mul_ctl.scala 137:112] + node _T_37349 = add(_T_37348, _T_37332) @[exu_mul_ctl.scala 137:112] + node _T_37350 = add(_T_37349, _T_37333) @[exu_mul_ctl.scala 137:112] + node _T_37351 = add(_T_37350, _T_37334) @[exu_mul_ctl.scala 137:112] + node _T_37352 = add(_T_37351, _T_37335) @[exu_mul_ctl.scala 137:112] + node _T_37353 = add(_T_37352, _T_37336) @[exu_mul_ctl.scala 137:112] + node _T_37354 = sub(_T_37353, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37355 = tail(_T_37354, 1) @[exu_mul_ctl.scala 141:85] + node _T_37356 = dshr(io.rs1_in, _T_37355) @[exu_mul_ctl.scala 141:74] + node _T_37357 = bits(_T_37356, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37358 = mux(_T_37318, _T_37357, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37359 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 141:51] + node _T_37360 = eq(_T_37359, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37361 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37362 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37363 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37364 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37365 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37366 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37367 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37368 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37369 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37370 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37371 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37372 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37373 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37374 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37375 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37376 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37377 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37378 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37379 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37380 = add(_T_37361, _T_37362) @[exu_mul_ctl.scala 137:112] + node _T_37381 = add(_T_37380, _T_37363) @[exu_mul_ctl.scala 137:112] + node _T_37382 = add(_T_37381, _T_37364) @[exu_mul_ctl.scala 137:112] + node _T_37383 = add(_T_37382, _T_37365) @[exu_mul_ctl.scala 137:112] + node _T_37384 = add(_T_37383, _T_37366) @[exu_mul_ctl.scala 137:112] + node _T_37385 = add(_T_37384, _T_37367) @[exu_mul_ctl.scala 137:112] + node _T_37386 = add(_T_37385, _T_37368) @[exu_mul_ctl.scala 137:112] + node _T_37387 = add(_T_37386, _T_37369) @[exu_mul_ctl.scala 137:112] + node _T_37388 = add(_T_37387, _T_37370) @[exu_mul_ctl.scala 137:112] + node _T_37389 = add(_T_37388, _T_37371) @[exu_mul_ctl.scala 137:112] + node _T_37390 = add(_T_37389, _T_37372) @[exu_mul_ctl.scala 137:112] + node _T_37391 = add(_T_37390, _T_37373) @[exu_mul_ctl.scala 137:112] + node _T_37392 = add(_T_37391, _T_37374) @[exu_mul_ctl.scala 137:112] + node _T_37393 = add(_T_37392, _T_37375) @[exu_mul_ctl.scala 137:112] + node _T_37394 = add(_T_37393, _T_37376) @[exu_mul_ctl.scala 137:112] + node _T_37395 = add(_T_37394, _T_37377) @[exu_mul_ctl.scala 137:112] + node _T_37396 = add(_T_37395, _T_37378) @[exu_mul_ctl.scala 137:112] + node _T_37397 = add(_T_37396, _T_37379) @[exu_mul_ctl.scala 137:112] + node _T_37398 = sub(_T_37397, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37399 = tail(_T_37398, 1) @[exu_mul_ctl.scala 141:85] + node _T_37400 = dshr(io.rs1_in, _T_37399) @[exu_mul_ctl.scala 141:74] + node _T_37401 = bits(_T_37400, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37402 = mux(_T_37360, _T_37401, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37403 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 141:51] + node _T_37404 = eq(_T_37403, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37405 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37406 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37407 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37408 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37409 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37410 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37411 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37412 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37413 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37414 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37415 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37416 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37417 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37418 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37419 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37420 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37421 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37422 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37423 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37424 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37425 = add(_T_37405, _T_37406) @[exu_mul_ctl.scala 137:112] + node _T_37426 = add(_T_37425, _T_37407) @[exu_mul_ctl.scala 137:112] + node _T_37427 = add(_T_37426, _T_37408) @[exu_mul_ctl.scala 137:112] + node _T_37428 = add(_T_37427, _T_37409) @[exu_mul_ctl.scala 137:112] + node _T_37429 = add(_T_37428, _T_37410) @[exu_mul_ctl.scala 137:112] + node _T_37430 = add(_T_37429, _T_37411) @[exu_mul_ctl.scala 137:112] + node _T_37431 = add(_T_37430, _T_37412) @[exu_mul_ctl.scala 137:112] + node _T_37432 = add(_T_37431, _T_37413) @[exu_mul_ctl.scala 137:112] + node _T_37433 = add(_T_37432, _T_37414) @[exu_mul_ctl.scala 137:112] + node _T_37434 = add(_T_37433, _T_37415) @[exu_mul_ctl.scala 137:112] + node _T_37435 = add(_T_37434, _T_37416) @[exu_mul_ctl.scala 137:112] + node _T_37436 = add(_T_37435, _T_37417) @[exu_mul_ctl.scala 137:112] + node _T_37437 = add(_T_37436, _T_37418) @[exu_mul_ctl.scala 137:112] + node _T_37438 = add(_T_37437, _T_37419) @[exu_mul_ctl.scala 137:112] + node _T_37439 = add(_T_37438, _T_37420) @[exu_mul_ctl.scala 137:112] + node _T_37440 = add(_T_37439, _T_37421) @[exu_mul_ctl.scala 137:112] + node _T_37441 = add(_T_37440, _T_37422) @[exu_mul_ctl.scala 137:112] + node _T_37442 = add(_T_37441, _T_37423) @[exu_mul_ctl.scala 137:112] + node _T_37443 = add(_T_37442, _T_37424) @[exu_mul_ctl.scala 137:112] + node _T_37444 = sub(_T_37443, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37445 = tail(_T_37444, 1) @[exu_mul_ctl.scala 141:85] + node _T_37446 = dshr(io.rs1_in, _T_37445) @[exu_mul_ctl.scala 141:74] + node _T_37447 = bits(_T_37446, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37448 = mux(_T_37404, _T_37447, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37449 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 141:51] + node _T_37450 = eq(_T_37449, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37453 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37454 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37455 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37456 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37457 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37458 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37459 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37460 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37461 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37462 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37463 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37464 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37465 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37466 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37467 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37468 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37469 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37470 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37471 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37472 = add(_T_37451, _T_37452) @[exu_mul_ctl.scala 137:112] + node _T_37473 = add(_T_37472, _T_37453) @[exu_mul_ctl.scala 137:112] + node _T_37474 = add(_T_37473, _T_37454) @[exu_mul_ctl.scala 137:112] + node _T_37475 = add(_T_37474, _T_37455) @[exu_mul_ctl.scala 137:112] + node _T_37476 = add(_T_37475, _T_37456) @[exu_mul_ctl.scala 137:112] + node _T_37477 = add(_T_37476, _T_37457) @[exu_mul_ctl.scala 137:112] + node _T_37478 = add(_T_37477, _T_37458) @[exu_mul_ctl.scala 137:112] + node _T_37479 = add(_T_37478, _T_37459) @[exu_mul_ctl.scala 137:112] + node _T_37480 = add(_T_37479, _T_37460) @[exu_mul_ctl.scala 137:112] + node _T_37481 = add(_T_37480, _T_37461) @[exu_mul_ctl.scala 137:112] + node _T_37482 = add(_T_37481, _T_37462) @[exu_mul_ctl.scala 137:112] + node _T_37483 = add(_T_37482, _T_37463) @[exu_mul_ctl.scala 137:112] + node _T_37484 = add(_T_37483, _T_37464) @[exu_mul_ctl.scala 137:112] + node _T_37485 = add(_T_37484, _T_37465) @[exu_mul_ctl.scala 137:112] + node _T_37486 = add(_T_37485, _T_37466) @[exu_mul_ctl.scala 137:112] + node _T_37487 = add(_T_37486, _T_37467) @[exu_mul_ctl.scala 137:112] + node _T_37488 = add(_T_37487, _T_37468) @[exu_mul_ctl.scala 137:112] + node _T_37489 = add(_T_37488, _T_37469) @[exu_mul_ctl.scala 137:112] + node _T_37490 = add(_T_37489, _T_37470) @[exu_mul_ctl.scala 137:112] + node _T_37491 = add(_T_37490, _T_37471) @[exu_mul_ctl.scala 137:112] + node _T_37492 = sub(_T_37491, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37493 = tail(_T_37492, 1) @[exu_mul_ctl.scala 141:85] + node _T_37494 = dshr(io.rs1_in, _T_37493) @[exu_mul_ctl.scala 141:74] + node _T_37495 = bits(_T_37494, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37496 = mux(_T_37450, _T_37495, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37497 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 141:51] + node _T_37498 = eq(_T_37497, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37499 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37500 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37501 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37502 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37503 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37504 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37505 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37506 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37507 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37508 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37509 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37510 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37511 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37512 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37513 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37514 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37515 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37516 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37517 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37518 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37519 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37520 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37521 = add(_T_37499, _T_37500) @[exu_mul_ctl.scala 137:112] + node _T_37522 = add(_T_37521, _T_37501) @[exu_mul_ctl.scala 137:112] + node _T_37523 = add(_T_37522, _T_37502) @[exu_mul_ctl.scala 137:112] + node _T_37524 = add(_T_37523, _T_37503) @[exu_mul_ctl.scala 137:112] + node _T_37525 = add(_T_37524, _T_37504) @[exu_mul_ctl.scala 137:112] + node _T_37526 = add(_T_37525, _T_37505) @[exu_mul_ctl.scala 137:112] + node _T_37527 = add(_T_37526, _T_37506) @[exu_mul_ctl.scala 137:112] + node _T_37528 = add(_T_37527, _T_37507) @[exu_mul_ctl.scala 137:112] + node _T_37529 = add(_T_37528, _T_37508) @[exu_mul_ctl.scala 137:112] + node _T_37530 = add(_T_37529, _T_37509) @[exu_mul_ctl.scala 137:112] + node _T_37531 = add(_T_37530, _T_37510) @[exu_mul_ctl.scala 137:112] + node _T_37532 = add(_T_37531, _T_37511) @[exu_mul_ctl.scala 137:112] + node _T_37533 = add(_T_37532, _T_37512) @[exu_mul_ctl.scala 137:112] + node _T_37534 = add(_T_37533, _T_37513) @[exu_mul_ctl.scala 137:112] + node _T_37535 = add(_T_37534, _T_37514) @[exu_mul_ctl.scala 137:112] + node _T_37536 = add(_T_37535, _T_37515) @[exu_mul_ctl.scala 137:112] + node _T_37537 = add(_T_37536, _T_37516) @[exu_mul_ctl.scala 137:112] + node _T_37538 = add(_T_37537, _T_37517) @[exu_mul_ctl.scala 137:112] + node _T_37539 = add(_T_37538, _T_37518) @[exu_mul_ctl.scala 137:112] + node _T_37540 = add(_T_37539, _T_37519) @[exu_mul_ctl.scala 137:112] + node _T_37541 = add(_T_37540, _T_37520) @[exu_mul_ctl.scala 137:112] + node _T_37542 = sub(_T_37541, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37543 = tail(_T_37542, 1) @[exu_mul_ctl.scala 141:85] + node _T_37544 = dshr(io.rs1_in, _T_37543) @[exu_mul_ctl.scala 141:74] + node _T_37545 = bits(_T_37544, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37546 = mux(_T_37498, _T_37545, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37547 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 141:51] + node _T_37548 = eq(_T_37547, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37549 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37550 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37551 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37552 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37553 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37554 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37555 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37556 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37557 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37558 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37559 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37560 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37561 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37562 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37563 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37564 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37565 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37566 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37567 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37568 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37569 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37570 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37571 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37572 = add(_T_37549, _T_37550) @[exu_mul_ctl.scala 137:112] + node _T_37573 = add(_T_37572, _T_37551) @[exu_mul_ctl.scala 137:112] + node _T_37574 = add(_T_37573, _T_37552) @[exu_mul_ctl.scala 137:112] + node _T_37575 = add(_T_37574, _T_37553) @[exu_mul_ctl.scala 137:112] + node _T_37576 = add(_T_37575, _T_37554) @[exu_mul_ctl.scala 137:112] + node _T_37577 = add(_T_37576, _T_37555) @[exu_mul_ctl.scala 137:112] + node _T_37578 = add(_T_37577, _T_37556) @[exu_mul_ctl.scala 137:112] + node _T_37579 = add(_T_37578, _T_37557) @[exu_mul_ctl.scala 137:112] + node _T_37580 = add(_T_37579, _T_37558) @[exu_mul_ctl.scala 137:112] + node _T_37581 = add(_T_37580, _T_37559) @[exu_mul_ctl.scala 137:112] + node _T_37582 = add(_T_37581, _T_37560) @[exu_mul_ctl.scala 137:112] + node _T_37583 = add(_T_37582, _T_37561) @[exu_mul_ctl.scala 137:112] + node _T_37584 = add(_T_37583, _T_37562) @[exu_mul_ctl.scala 137:112] + node _T_37585 = add(_T_37584, _T_37563) @[exu_mul_ctl.scala 137:112] + node _T_37586 = add(_T_37585, _T_37564) @[exu_mul_ctl.scala 137:112] + node _T_37587 = add(_T_37586, _T_37565) @[exu_mul_ctl.scala 137:112] + node _T_37588 = add(_T_37587, _T_37566) @[exu_mul_ctl.scala 137:112] + node _T_37589 = add(_T_37588, _T_37567) @[exu_mul_ctl.scala 137:112] + node _T_37590 = add(_T_37589, _T_37568) @[exu_mul_ctl.scala 137:112] + node _T_37591 = add(_T_37590, _T_37569) @[exu_mul_ctl.scala 137:112] + node _T_37592 = add(_T_37591, _T_37570) @[exu_mul_ctl.scala 137:112] + node _T_37593 = add(_T_37592, _T_37571) @[exu_mul_ctl.scala 137:112] + node _T_37594 = sub(_T_37593, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37595 = tail(_T_37594, 1) @[exu_mul_ctl.scala 141:85] + node _T_37596 = dshr(io.rs1_in, _T_37595) @[exu_mul_ctl.scala 141:74] + node _T_37597 = bits(_T_37596, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37598 = mux(_T_37548, _T_37597, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37599 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 141:51] + node _T_37600 = eq(_T_37599, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37601 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37602 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37603 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37604 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37605 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37606 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37607 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37608 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37609 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37610 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37611 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37612 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37613 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37614 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37615 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37616 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37617 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37618 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37619 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37620 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37621 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37622 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37623 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37624 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37625 = add(_T_37601, _T_37602) @[exu_mul_ctl.scala 137:112] + node _T_37626 = add(_T_37625, _T_37603) @[exu_mul_ctl.scala 137:112] + node _T_37627 = add(_T_37626, _T_37604) @[exu_mul_ctl.scala 137:112] + node _T_37628 = add(_T_37627, _T_37605) @[exu_mul_ctl.scala 137:112] + node _T_37629 = add(_T_37628, _T_37606) @[exu_mul_ctl.scala 137:112] + node _T_37630 = add(_T_37629, _T_37607) @[exu_mul_ctl.scala 137:112] + node _T_37631 = add(_T_37630, _T_37608) @[exu_mul_ctl.scala 137:112] + node _T_37632 = add(_T_37631, _T_37609) @[exu_mul_ctl.scala 137:112] + node _T_37633 = add(_T_37632, _T_37610) @[exu_mul_ctl.scala 137:112] + node _T_37634 = add(_T_37633, _T_37611) @[exu_mul_ctl.scala 137:112] + node _T_37635 = add(_T_37634, _T_37612) @[exu_mul_ctl.scala 137:112] + node _T_37636 = add(_T_37635, _T_37613) @[exu_mul_ctl.scala 137:112] + node _T_37637 = add(_T_37636, _T_37614) @[exu_mul_ctl.scala 137:112] + node _T_37638 = add(_T_37637, _T_37615) @[exu_mul_ctl.scala 137:112] + node _T_37639 = add(_T_37638, _T_37616) @[exu_mul_ctl.scala 137:112] + node _T_37640 = add(_T_37639, _T_37617) @[exu_mul_ctl.scala 137:112] + node _T_37641 = add(_T_37640, _T_37618) @[exu_mul_ctl.scala 137:112] + node _T_37642 = add(_T_37641, _T_37619) @[exu_mul_ctl.scala 137:112] + node _T_37643 = add(_T_37642, _T_37620) @[exu_mul_ctl.scala 137:112] + node _T_37644 = add(_T_37643, _T_37621) @[exu_mul_ctl.scala 137:112] + node _T_37645 = add(_T_37644, _T_37622) @[exu_mul_ctl.scala 137:112] + node _T_37646 = add(_T_37645, _T_37623) @[exu_mul_ctl.scala 137:112] + node _T_37647 = add(_T_37646, _T_37624) @[exu_mul_ctl.scala 137:112] + node _T_37648 = sub(_T_37647, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37649 = tail(_T_37648, 1) @[exu_mul_ctl.scala 141:85] + node _T_37650 = dshr(io.rs1_in, _T_37649) @[exu_mul_ctl.scala 141:74] + node _T_37651 = bits(_T_37650, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37652 = mux(_T_37600, _T_37651, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37653 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 141:51] + node _T_37654 = eq(_T_37653, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37655 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37656 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37657 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37658 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37659 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37660 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37661 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37662 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37663 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37664 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37665 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37666 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37667 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37668 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37669 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37670 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37671 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37672 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37673 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37674 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37675 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37676 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37677 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37678 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37679 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37680 = add(_T_37655, _T_37656) @[exu_mul_ctl.scala 137:112] + node _T_37681 = add(_T_37680, _T_37657) @[exu_mul_ctl.scala 137:112] + node _T_37682 = add(_T_37681, _T_37658) @[exu_mul_ctl.scala 137:112] + node _T_37683 = add(_T_37682, _T_37659) @[exu_mul_ctl.scala 137:112] + node _T_37684 = add(_T_37683, _T_37660) @[exu_mul_ctl.scala 137:112] + node _T_37685 = add(_T_37684, _T_37661) @[exu_mul_ctl.scala 137:112] + node _T_37686 = add(_T_37685, _T_37662) @[exu_mul_ctl.scala 137:112] + node _T_37687 = add(_T_37686, _T_37663) @[exu_mul_ctl.scala 137:112] + node _T_37688 = add(_T_37687, _T_37664) @[exu_mul_ctl.scala 137:112] + node _T_37689 = add(_T_37688, _T_37665) @[exu_mul_ctl.scala 137:112] + node _T_37690 = add(_T_37689, _T_37666) @[exu_mul_ctl.scala 137:112] + node _T_37691 = add(_T_37690, _T_37667) @[exu_mul_ctl.scala 137:112] + node _T_37692 = add(_T_37691, _T_37668) @[exu_mul_ctl.scala 137:112] + node _T_37693 = add(_T_37692, _T_37669) @[exu_mul_ctl.scala 137:112] + node _T_37694 = add(_T_37693, _T_37670) @[exu_mul_ctl.scala 137:112] + node _T_37695 = add(_T_37694, _T_37671) @[exu_mul_ctl.scala 137:112] + node _T_37696 = add(_T_37695, _T_37672) @[exu_mul_ctl.scala 137:112] + node _T_37697 = add(_T_37696, _T_37673) @[exu_mul_ctl.scala 137:112] + node _T_37698 = add(_T_37697, _T_37674) @[exu_mul_ctl.scala 137:112] + node _T_37699 = add(_T_37698, _T_37675) @[exu_mul_ctl.scala 137:112] + node _T_37700 = add(_T_37699, _T_37676) @[exu_mul_ctl.scala 137:112] + node _T_37701 = add(_T_37700, _T_37677) @[exu_mul_ctl.scala 137:112] + node _T_37702 = add(_T_37701, _T_37678) @[exu_mul_ctl.scala 137:112] + node _T_37703 = add(_T_37702, _T_37679) @[exu_mul_ctl.scala 137:112] + node _T_37704 = sub(_T_37703, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37705 = tail(_T_37704, 1) @[exu_mul_ctl.scala 141:85] + node _T_37706 = dshr(io.rs1_in, _T_37705) @[exu_mul_ctl.scala 141:74] + node _T_37707 = bits(_T_37706, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37708 = mux(_T_37654, _T_37707, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37709 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 141:51] + node _T_37710 = eq(_T_37709, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37711 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37712 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37713 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37714 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37715 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37716 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37717 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37718 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37719 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37720 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37721 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37722 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37723 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37724 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37725 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37726 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37727 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37728 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37729 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37730 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37731 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37732 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37733 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37734 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37735 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37736 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_37737 = add(_T_37711, _T_37712) @[exu_mul_ctl.scala 137:112] + node _T_37738 = add(_T_37737, _T_37713) @[exu_mul_ctl.scala 137:112] + node _T_37739 = add(_T_37738, _T_37714) @[exu_mul_ctl.scala 137:112] + node _T_37740 = add(_T_37739, _T_37715) @[exu_mul_ctl.scala 137:112] + node _T_37741 = add(_T_37740, _T_37716) @[exu_mul_ctl.scala 137:112] + node _T_37742 = add(_T_37741, _T_37717) @[exu_mul_ctl.scala 137:112] + node _T_37743 = add(_T_37742, _T_37718) @[exu_mul_ctl.scala 137:112] + node _T_37744 = add(_T_37743, _T_37719) @[exu_mul_ctl.scala 137:112] + node _T_37745 = add(_T_37744, _T_37720) @[exu_mul_ctl.scala 137:112] + node _T_37746 = add(_T_37745, _T_37721) @[exu_mul_ctl.scala 137:112] + node _T_37747 = add(_T_37746, _T_37722) @[exu_mul_ctl.scala 137:112] + node _T_37748 = add(_T_37747, _T_37723) @[exu_mul_ctl.scala 137:112] + node _T_37749 = add(_T_37748, _T_37724) @[exu_mul_ctl.scala 137:112] + node _T_37750 = add(_T_37749, _T_37725) @[exu_mul_ctl.scala 137:112] + node _T_37751 = add(_T_37750, _T_37726) @[exu_mul_ctl.scala 137:112] + node _T_37752 = add(_T_37751, _T_37727) @[exu_mul_ctl.scala 137:112] + node _T_37753 = add(_T_37752, _T_37728) @[exu_mul_ctl.scala 137:112] + node _T_37754 = add(_T_37753, _T_37729) @[exu_mul_ctl.scala 137:112] + node _T_37755 = add(_T_37754, _T_37730) @[exu_mul_ctl.scala 137:112] + node _T_37756 = add(_T_37755, _T_37731) @[exu_mul_ctl.scala 137:112] + node _T_37757 = add(_T_37756, _T_37732) @[exu_mul_ctl.scala 137:112] + node _T_37758 = add(_T_37757, _T_37733) @[exu_mul_ctl.scala 137:112] + node _T_37759 = add(_T_37758, _T_37734) @[exu_mul_ctl.scala 137:112] + node _T_37760 = add(_T_37759, _T_37735) @[exu_mul_ctl.scala 137:112] + node _T_37761 = add(_T_37760, _T_37736) @[exu_mul_ctl.scala 137:112] + node _T_37762 = sub(_T_37761, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37763 = tail(_T_37762, 1) @[exu_mul_ctl.scala 141:85] + node _T_37764 = dshr(io.rs1_in, _T_37763) @[exu_mul_ctl.scala 141:74] + node _T_37765 = bits(_T_37764, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37766 = mux(_T_37710, _T_37765, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37767 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 141:51] + node _T_37768 = eq(_T_37767, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37769 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37770 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37771 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37772 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37773 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37774 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37775 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37776 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37777 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37778 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37779 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37780 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37781 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37782 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37783 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37784 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37785 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37786 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37787 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37788 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37789 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37790 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37791 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37792 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37793 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37794 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_37795 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_37796 = add(_T_37769, _T_37770) @[exu_mul_ctl.scala 137:112] + node _T_37797 = add(_T_37796, _T_37771) @[exu_mul_ctl.scala 137:112] + node _T_37798 = add(_T_37797, _T_37772) @[exu_mul_ctl.scala 137:112] + node _T_37799 = add(_T_37798, _T_37773) @[exu_mul_ctl.scala 137:112] + node _T_37800 = add(_T_37799, _T_37774) @[exu_mul_ctl.scala 137:112] + node _T_37801 = add(_T_37800, _T_37775) @[exu_mul_ctl.scala 137:112] + node _T_37802 = add(_T_37801, _T_37776) @[exu_mul_ctl.scala 137:112] + node _T_37803 = add(_T_37802, _T_37777) @[exu_mul_ctl.scala 137:112] + node _T_37804 = add(_T_37803, _T_37778) @[exu_mul_ctl.scala 137:112] + node _T_37805 = add(_T_37804, _T_37779) @[exu_mul_ctl.scala 137:112] + node _T_37806 = add(_T_37805, _T_37780) @[exu_mul_ctl.scala 137:112] + node _T_37807 = add(_T_37806, _T_37781) @[exu_mul_ctl.scala 137:112] + node _T_37808 = add(_T_37807, _T_37782) @[exu_mul_ctl.scala 137:112] + node _T_37809 = add(_T_37808, _T_37783) @[exu_mul_ctl.scala 137:112] + node _T_37810 = add(_T_37809, _T_37784) @[exu_mul_ctl.scala 137:112] + node _T_37811 = add(_T_37810, _T_37785) @[exu_mul_ctl.scala 137:112] + node _T_37812 = add(_T_37811, _T_37786) @[exu_mul_ctl.scala 137:112] + node _T_37813 = add(_T_37812, _T_37787) @[exu_mul_ctl.scala 137:112] + node _T_37814 = add(_T_37813, _T_37788) @[exu_mul_ctl.scala 137:112] + node _T_37815 = add(_T_37814, _T_37789) @[exu_mul_ctl.scala 137:112] + node _T_37816 = add(_T_37815, _T_37790) @[exu_mul_ctl.scala 137:112] + node _T_37817 = add(_T_37816, _T_37791) @[exu_mul_ctl.scala 137:112] + node _T_37818 = add(_T_37817, _T_37792) @[exu_mul_ctl.scala 137:112] + node _T_37819 = add(_T_37818, _T_37793) @[exu_mul_ctl.scala 137:112] + node _T_37820 = add(_T_37819, _T_37794) @[exu_mul_ctl.scala 137:112] + node _T_37821 = add(_T_37820, _T_37795) @[exu_mul_ctl.scala 137:112] + node _T_37822 = sub(_T_37821, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37823 = tail(_T_37822, 1) @[exu_mul_ctl.scala 141:85] + node _T_37824 = dshr(io.rs1_in, _T_37823) @[exu_mul_ctl.scala 141:74] + node _T_37825 = bits(_T_37824, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37826 = mux(_T_37768, _T_37825, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37827 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 141:51] + node _T_37828 = eq(_T_37827, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37829 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37830 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37831 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37832 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37833 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37834 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37835 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37836 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37837 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37838 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37839 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37840 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37841 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37842 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37843 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37844 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37845 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37846 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37847 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37848 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37849 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37850 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37851 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37852 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37853 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37854 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_37855 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_37856 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_37857 = add(_T_37829, _T_37830) @[exu_mul_ctl.scala 137:112] + node _T_37858 = add(_T_37857, _T_37831) @[exu_mul_ctl.scala 137:112] + node _T_37859 = add(_T_37858, _T_37832) @[exu_mul_ctl.scala 137:112] + node _T_37860 = add(_T_37859, _T_37833) @[exu_mul_ctl.scala 137:112] + node _T_37861 = add(_T_37860, _T_37834) @[exu_mul_ctl.scala 137:112] + node _T_37862 = add(_T_37861, _T_37835) @[exu_mul_ctl.scala 137:112] + node _T_37863 = add(_T_37862, _T_37836) @[exu_mul_ctl.scala 137:112] + node _T_37864 = add(_T_37863, _T_37837) @[exu_mul_ctl.scala 137:112] + node _T_37865 = add(_T_37864, _T_37838) @[exu_mul_ctl.scala 137:112] + node _T_37866 = add(_T_37865, _T_37839) @[exu_mul_ctl.scala 137:112] + node _T_37867 = add(_T_37866, _T_37840) @[exu_mul_ctl.scala 137:112] + node _T_37868 = add(_T_37867, _T_37841) @[exu_mul_ctl.scala 137:112] + node _T_37869 = add(_T_37868, _T_37842) @[exu_mul_ctl.scala 137:112] + node _T_37870 = add(_T_37869, _T_37843) @[exu_mul_ctl.scala 137:112] + node _T_37871 = add(_T_37870, _T_37844) @[exu_mul_ctl.scala 137:112] + node _T_37872 = add(_T_37871, _T_37845) @[exu_mul_ctl.scala 137:112] + node _T_37873 = add(_T_37872, _T_37846) @[exu_mul_ctl.scala 137:112] + node _T_37874 = add(_T_37873, _T_37847) @[exu_mul_ctl.scala 137:112] + node _T_37875 = add(_T_37874, _T_37848) @[exu_mul_ctl.scala 137:112] + node _T_37876 = add(_T_37875, _T_37849) @[exu_mul_ctl.scala 137:112] + node _T_37877 = add(_T_37876, _T_37850) @[exu_mul_ctl.scala 137:112] + node _T_37878 = add(_T_37877, _T_37851) @[exu_mul_ctl.scala 137:112] + node _T_37879 = add(_T_37878, _T_37852) @[exu_mul_ctl.scala 137:112] + node _T_37880 = add(_T_37879, _T_37853) @[exu_mul_ctl.scala 137:112] + node _T_37881 = add(_T_37880, _T_37854) @[exu_mul_ctl.scala 137:112] + node _T_37882 = add(_T_37881, _T_37855) @[exu_mul_ctl.scala 137:112] + node _T_37883 = add(_T_37882, _T_37856) @[exu_mul_ctl.scala 137:112] + node _T_37884 = sub(_T_37883, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37885 = tail(_T_37884, 1) @[exu_mul_ctl.scala 141:85] + node _T_37886 = dshr(io.rs1_in, _T_37885) @[exu_mul_ctl.scala 141:74] + node _T_37887 = bits(_T_37886, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37888 = mux(_T_37828, _T_37887, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37889 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 141:51] + node _T_37890 = eq(_T_37889, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37891 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37892 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37893 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37894 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37895 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37896 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37897 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37898 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37899 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37900 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37901 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37902 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37903 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37904 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37905 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37906 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37907 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37908 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37909 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37910 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37911 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37912 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37913 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37914 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37915 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37916 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_37917 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_37918 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_37919 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_37920 = add(_T_37891, _T_37892) @[exu_mul_ctl.scala 137:112] + node _T_37921 = add(_T_37920, _T_37893) @[exu_mul_ctl.scala 137:112] + node _T_37922 = add(_T_37921, _T_37894) @[exu_mul_ctl.scala 137:112] + node _T_37923 = add(_T_37922, _T_37895) @[exu_mul_ctl.scala 137:112] + node _T_37924 = add(_T_37923, _T_37896) @[exu_mul_ctl.scala 137:112] + node _T_37925 = add(_T_37924, _T_37897) @[exu_mul_ctl.scala 137:112] + node _T_37926 = add(_T_37925, _T_37898) @[exu_mul_ctl.scala 137:112] + node _T_37927 = add(_T_37926, _T_37899) @[exu_mul_ctl.scala 137:112] + node _T_37928 = add(_T_37927, _T_37900) @[exu_mul_ctl.scala 137:112] + node _T_37929 = add(_T_37928, _T_37901) @[exu_mul_ctl.scala 137:112] + node _T_37930 = add(_T_37929, _T_37902) @[exu_mul_ctl.scala 137:112] + node _T_37931 = add(_T_37930, _T_37903) @[exu_mul_ctl.scala 137:112] + node _T_37932 = add(_T_37931, _T_37904) @[exu_mul_ctl.scala 137:112] + node _T_37933 = add(_T_37932, _T_37905) @[exu_mul_ctl.scala 137:112] + node _T_37934 = add(_T_37933, _T_37906) @[exu_mul_ctl.scala 137:112] + node _T_37935 = add(_T_37934, _T_37907) @[exu_mul_ctl.scala 137:112] + node _T_37936 = add(_T_37935, _T_37908) @[exu_mul_ctl.scala 137:112] + node _T_37937 = add(_T_37936, _T_37909) @[exu_mul_ctl.scala 137:112] + node _T_37938 = add(_T_37937, _T_37910) @[exu_mul_ctl.scala 137:112] + node _T_37939 = add(_T_37938, _T_37911) @[exu_mul_ctl.scala 137:112] + node _T_37940 = add(_T_37939, _T_37912) @[exu_mul_ctl.scala 137:112] + node _T_37941 = add(_T_37940, _T_37913) @[exu_mul_ctl.scala 137:112] + node _T_37942 = add(_T_37941, _T_37914) @[exu_mul_ctl.scala 137:112] + node _T_37943 = add(_T_37942, _T_37915) @[exu_mul_ctl.scala 137:112] + node _T_37944 = add(_T_37943, _T_37916) @[exu_mul_ctl.scala 137:112] + node _T_37945 = add(_T_37944, _T_37917) @[exu_mul_ctl.scala 137:112] + node _T_37946 = add(_T_37945, _T_37918) @[exu_mul_ctl.scala 137:112] + node _T_37947 = add(_T_37946, _T_37919) @[exu_mul_ctl.scala 137:112] + node _T_37948 = sub(_T_37947, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37949 = tail(_T_37948, 1) @[exu_mul_ctl.scala 141:85] + node _T_37950 = dshr(io.rs1_in, _T_37949) @[exu_mul_ctl.scala 141:74] + node _T_37951 = bits(_T_37950, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37952 = mux(_T_37890, _T_37951, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37953 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 141:51] + node _T_37954 = eq(_T_37953, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37955 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37956 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37957 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37958 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37959 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37960 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37961 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37962 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37963 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37964 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37965 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37966 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37967 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37968 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37969 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37970 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37971 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37972 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37973 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37974 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37975 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37976 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37977 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37978 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37979 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37980 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_37981 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_37982 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_37983 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_37984 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_37985 = add(_T_37955, _T_37956) @[exu_mul_ctl.scala 137:112] + node _T_37986 = add(_T_37985, _T_37957) @[exu_mul_ctl.scala 137:112] + node _T_37987 = add(_T_37986, _T_37958) @[exu_mul_ctl.scala 137:112] + node _T_37988 = add(_T_37987, _T_37959) @[exu_mul_ctl.scala 137:112] + node _T_37989 = add(_T_37988, _T_37960) @[exu_mul_ctl.scala 137:112] + node _T_37990 = add(_T_37989, _T_37961) @[exu_mul_ctl.scala 137:112] + node _T_37991 = add(_T_37990, _T_37962) @[exu_mul_ctl.scala 137:112] + node _T_37992 = add(_T_37991, _T_37963) @[exu_mul_ctl.scala 137:112] + node _T_37993 = add(_T_37992, _T_37964) @[exu_mul_ctl.scala 137:112] + node _T_37994 = add(_T_37993, _T_37965) @[exu_mul_ctl.scala 137:112] + node _T_37995 = add(_T_37994, _T_37966) @[exu_mul_ctl.scala 137:112] + node _T_37996 = add(_T_37995, _T_37967) @[exu_mul_ctl.scala 137:112] + node _T_37997 = add(_T_37996, _T_37968) @[exu_mul_ctl.scala 137:112] + node _T_37998 = add(_T_37997, _T_37969) @[exu_mul_ctl.scala 137:112] + node _T_37999 = add(_T_37998, _T_37970) @[exu_mul_ctl.scala 137:112] + node _T_38000 = add(_T_37999, _T_37971) @[exu_mul_ctl.scala 137:112] + node _T_38001 = add(_T_38000, _T_37972) @[exu_mul_ctl.scala 137:112] + node _T_38002 = add(_T_38001, _T_37973) @[exu_mul_ctl.scala 137:112] + node _T_38003 = add(_T_38002, _T_37974) @[exu_mul_ctl.scala 137:112] + node _T_38004 = add(_T_38003, _T_37975) @[exu_mul_ctl.scala 137:112] + node _T_38005 = add(_T_38004, _T_37976) @[exu_mul_ctl.scala 137:112] + node _T_38006 = add(_T_38005, _T_37977) @[exu_mul_ctl.scala 137:112] + node _T_38007 = add(_T_38006, _T_37978) @[exu_mul_ctl.scala 137:112] + node _T_38008 = add(_T_38007, _T_37979) @[exu_mul_ctl.scala 137:112] + node _T_38009 = add(_T_38008, _T_37980) @[exu_mul_ctl.scala 137:112] + node _T_38010 = add(_T_38009, _T_37981) @[exu_mul_ctl.scala 137:112] + node _T_38011 = add(_T_38010, _T_37982) @[exu_mul_ctl.scala 137:112] + node _T_38012 = add(_T_38011, _T_37983) @[exu_mul_ctl.scala 137:112] + node _T_38013 = add(_T_38012, _T_37984) @[exu_mul_ctl.scala 137:112] + node _T_38014 = sub(_T_38013, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_38015 = tail(_T_38014, 1) @[exu_mul_ctl.scala 141:85] + node _T_38016 = dshr(io.rs1_in, _T_38015) @[exu_mul_ctl.scala 141:74] + node _T_38017 = bits(_T_38016, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_38018 = mux(_T_37954, _T_38017, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_38019 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 141:51] + node _T_38020 = eq(_T_38019, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_38021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_38022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_38023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_38024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_38025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_38026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_38027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_38028 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_38029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_38030 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_38031 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_38032 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_38033 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_38034 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_38035 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_38036 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_38037 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_38038 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_38039 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_38040 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_38041 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_38042 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_38043 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_38044 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_38045 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_38046 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_38047 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_38048 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_38049 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_38050 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_38051 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_38052 = add(_T_38021, _T_38022) @[exu_mul_ctl.scala 137:112] + node _T_38053 = add(_T_38052, _T_38023) @[exu_mul_ctl.scala 137:112] + node _T_38054 = add(_T_38053, _T_38024) @[exu_mul_ctl.scala 137:112] + node _T_38055 = add(_T_38054, _T_38025) @[exu_mul_ctl.scala 137:112] + node _T_38056 = add(_T_38055, _T_38026) @[exu_mul_ctl.scala 137:112] + node _T_38057 = add(_T_38056, _T_38027) @[exu_mul_ctl.scala 137:112] + node _T_38058 = add(_T_38057, _T_38028) @[exu_mul_ctl.scala 137:112] + node _T_38059 = add(_T_38058, _T_38029) @[exu_mul_ctl.scala 137:112] + node _T_38060 = add(_T_38059, _T_38030) @[exu_mul_ctl.scala 137:112] + node _T_38061 = add(_T_38060, _T_38031) @[exu_mul_ctl.scala 137:112] + node _T_38062 = add(_T_38061, _T_38032) @[exu_mul_ctl.scala 137:112] + node _T_38063 = add(_T_38062, _T_38033) @[exu_mul_ctl.scala 137:112] + node _T_38064 = add(_T_38063, _T_38034) @[exu_mul_ctl.scala 137:112] + node _T_38065 = add(_T_38064, _T_38035) @[exu_mul_ctl.scala 137:112] + node _T_38066 = add(_T_38065, _T_38036) @[exu_mul_ctl.scala 137:112] + node _T_38067 = add(_T_38066, _T_38037) @[exu_mul_ctl.scala 137:112] + node _T_38068 = add(_T_38067, _T_38038) @[exu_mul_ctl.scala 137:112] + node _T_38069 = add(_T_38068, _T_38039) @[exu_mul_ctl.scala 137:112] + node _T_38070 = add(_T_38069, _T_38040) @[exu_mul_ctl.scala 137:112] + node _T_38071 = add(_T_38070, _T_38041) @[exu_mul_ctl.scala 137:112] + node _T_38072 = add(_T_38071, _T_38042) @[exu_mul_ctl.scala 137:112] + node _T_38073 = add(_T_38072, _T_38043) @[exu_mul_ctl.scala 137:112] + node _T_38074 = add(_T_38073, _T_38044) @[exu_mul_ctl.scala 137:112] + node _T_38075 = add(_T_38074, _T_38045) @[exu_mul_ctl.scala 137:112] + node _T_38076 = add(_T_38075, _T_38046) @[exu_mul_ctl.scala 137:112] + node _T_38077 = add(_T_38076, _T_38047) @[exu_mul_ctl.scala 137:112] + node _T_38078 = add(_T_38077, _T_38048) @[exu_mul_ctl.scala 137:112] + node _T_38079 = add(_T_38078, _T_38049) @[exu_mul_ctl.scala 137:112] + node _T_38080 = add(_T_38079, _T_38050) @[exu_mul_ctl.scala 137:112] + node _T_38081 = add(_T_38080, _T_38051) @[exu_mul_ctl.scala 137:112] + node _T_38082 = sub(_T_38081, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_38083 = tail(_T_38082, 1) @[exu_mul_ctl.scala 141:85] + node _T_38084 = dshr(io.rs1_in, _T_38083) @[exu_mul_ctl.scala 141:74] + node _T_38085 = bits(_T_38084, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_38086 = mux(_T_38020, _T_38085, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_38087 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 141:51] + node _T_38088 = eq(_T_38087, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_38089 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_38090 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_38091 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_38092 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_38093 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_38094 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_38095 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_38096 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_38097 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_38098 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_38099 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_38100 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_38101 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_38102 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_38103 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_38104 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_38105 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_38106 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_38107 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_38108 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_38109 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_38110 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_38111 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_38112 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_38113 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_38114 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_38115 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_38116 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_38117 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_38118 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_38119 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_38120 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_38121 = add(_T_38089, _T_38090) @[exu_mul_ctl.scala 137:112] + node _T_38122 = add(_T_38121, _T_38091) @[exu_mul_ctl.scala 137:112] + node _T_38123 = add(_T_38122, _T_38092) @[exu_mul_ctl.scala 137:112] + node _T_38124 = add(_T_38123, _T_38093) @[exu_mul_ctl.scala 137:112] + node _T_38125 = add(_T_38124, _T_38094) @[exu_mul_ctl.scala 137:112] + node _T_38126 = add(_T_38125, _T_38095) @[exu_mul_ctl.scala 137:112] + node _T_38127 = add(_T_38126, _T_38096) @[exu_mul_ctl.scala 137:112] + node _T_38128 = add(_T_38127, _T_38097) @[exu_mul_ctl.scala 137:112] + node _T_38129 = add(_T_38128, _T_38098) @[exu_mul_ctl.scala 137:112] + node _T_38130 = add(_T_38129, _T_38099) @[exu_mul_ctl.scala 137:112] + node _T_38131 = add(_T_38130, _T_38100) @[exu_mul_ctl.scala 137:112] + node _T_38132 = add(_T_38131, _T_38101) @[exu_mul_ctl.scala 137:112] + node _T_38133 = add(_T_38132, _T_38102) @[exu_mul_ctl.scala 137:112] + node _T_38134 = add(_T_38133, _T_38103) @[exu_mul_ctl.scala 137:112] + node _T_38135 = add(_T_38134, _T_38104) @[exu_mul_ctl.scala 137:112] + node _T_38136 = add(_T_38135, _T_38105) @[exu_mul_ctl.scala 137:112] + node _T_38137 = add(_T_38136, _T_38106) @[exu_mul_ctl.scala 137:112] + node _T_38138 = add(_T_38137, _T_38107) @[exu_mul_ctl.scala 137:112] + node _T_38139 = add(_T_38138, _T_38108) @[exu_mul_ctl.scala 137:112] + node _T_38140 = add(_T_38139, _T_38109) @[exu_mul_ctl.scala 137:112] + node _T_38141 = add(_T_38140, _T_38110) @[exu_mul_ctl.scala 137:112] + node _T_38142 = add(_T_38141, _T_38111) @[exu_mul_ctl.scala 137:112] + node _T_38143 = add(_T_38142, _T_38112) @[exu_mul_ctl.scala 137:112] + node _T_38144 = add(_T_38143, _T_38113) @[exu_mul_ctl.scala 137:112] + node _T_38145 = add(_T_38144, _T_38114) @[exu_mul_ctl.scala 137:112] + node _T_38146 = add(_T_38145, _T_38115) @[exu_mul_ctl.scala 137:112] + node _T_38147 = add(_T_38146, _T_38116) @[exu_mul_ctl.scala 137:112] + node _T_38148 = add(_T_38147, _T_38117) @[exu_mul_ctl.scala 137:112] + node _T_38149 = add(_T_38148, _T_38118) @[exu_mul_ctl.scala 137:112] + node _T_38150 = add(_T_38149, _T_38119) @[exu_mul_ctl.scala 137:112] + node _T_38151 = add(_T_38150, _T_38120) @[exu_mul_ctl.scala 137:112] + node _T_38152 = sub(_T_38151, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_38153 = tail(_T_38152, 1) @[exu_mul_ctl.scala 141:85] + node _T_38154 = dshr(io.rs1_in, _T_38153) @[exu_mul_ctl.scala 141:74] + node _T_38155 = bits(_T_38154, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_38156 = mux(_T_38088, _T_38155, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_38157 = cat(_T_38156, _T_38086) @[Cat.scala 29:58] + node _T_38158 = cat(_T_38157, _T_38018) @[Cat.scala 29:58] + node _T_38159 = cat(_T_38158, _T_37952) @[Cat.scala 29:58] + node _T_38160 = cat(_T_38159, _T_37888) @[Cat.scala 29:58] + node _T_38161 = cat(_T_38160, _T_37826) @[Cat.scala 29:58] + node _T_38162 = cat(_T_38161, _T_37766) @[Cat.scala 29:58] + node _T_38163 = cat(_T_38162, _T_37708) @[Cat.scala 29:58] + node _T_38164 = cat(_T_38163, _T_37652) @[Cat.scala 29:58] + node _T_38165 = cat(_T_38164, _T_37598) @[Cat.scala 29:58] + node _T_38166 = cat(_T_38165, _T_37546) @[Cat.scala 29:58] + node _T_38167 = cat(_T_38166, _T_37496) @[Cat.scala 29:58] + node _T_38168 = cat(_T_38167, _T_37448) @[Cat.scala 29:58] + node _T_38169 = cat(_T_38168, _T_37402) @[Cat.scala 29:58] + node _T_38170 = cat(_T_38169, _T_37358) @[Cat.scala 29:58] + node _T_38171 = cat(_T_38170, _T_37316) @[Cat.scala 29:58] + node _T_38172 = cat(_T_38171, _T_37276) @[Cat.scala 29:58] + node _T_38173 = cat(_T_38172, _T_37238) @[Cat.scala 29:58] + node _T_38174 = cat(_T_38173, _T_37202) @[Cat.scala 29:58] + node _T_38175 = cat(_T_38174, _T_37168) @[Cat.scala 29:58] + node _T_38176 = cat(_T_38175, _T_37136) @[Cat.scala 29:58] + node _T_38177 = cat(_T_38176, _T_37106) @[Cat.scala 29:58] + node _T_38178 = cat(_T_38177, _T_37078) @[Cat.scala 29:58] + node _T_38179 = cat(_T_38178, _T_37052) @[Cat.scala 29:58] + node _T_38180 = cat(_T_38179, _T_37028) @[Cat.scala 29:58] + node _T_38181 = cat(_T_38180, _T_37006) @[Cat.scala 29:58] + node _T_38182 = cat(_T_38181, _T_36986) @[Cat.scala 29:58] + node _T_38183 = cat(_T_38182, _T_36968) @[Cat.scala 29:58] + node _T_38184 = cat(_T_38183, _T_36952) @[Cat.scala 29:58] + node _T_38185 = cat(_T_38184, _T_36938) @[Cat.scala 29:58] + node _T_38186 = cat(_T_38185, _T_36926) @[Cat.scala 29:58] + node bdep_d = cat(_T_38186, _T_36916) @[Cat.scala 29:58] + wire clmul_raw_d : UInt<63> + clmul_raw_d <= UInt<1>("h00") + node _T_38187 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 145:57] + node _T_38188 = bits(_T_38187, 0, 0) @[Bitwise.scala 72:15] + node _T_38189 = mux(_T_38188, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38190 = mux(UInt<1>("h00"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_38191 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38192 = cat(_T_38190, _T_38191) @[Cat.scala 29:58] + node _T_38193 = cat(_T_38192, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_38194 = and(_T_38189, _T_38193) @[exu_mul_ctl.scala 145:62] + node _T_38195 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 145:57] + node _T_38196 = bits(_T_38195, 0, 0) @[Bitwise.scala 72:15] + node _T_38197 = mux(_T_38196, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38198 = mux(UInt<1>("h00"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_38199 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38200 = mux(UInt<1>("h00"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_38201 = cat(_T_38198, _T_38199) @[Cat.scala 29:58] + node _T_38202 = cat(_T_38201, _T_38200) @[Cat.scala 29:58] + node _T_38203 = and(_T_38197, _T_38202) @[exu_mul_ctl.scala 145:62] + node _T_38204 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 145:57] + node _T_38205 = bits(_T_38204, 0, 0) @[Bitwise.scala 72:15] + node _T_38206 = mux(_T_38205, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38207 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_38208 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38209 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_38210 = cat(_T_38207, _T_38208) @[Cat.scala 29:58] + node _T_38211 = cat(_T_38210, _T_38209) @[Cat.scala 29:58] + node _T_38212 = and(_T_38206, _T_38211) @[exu_mul_ctl.scala 145:62] + node _T_38213 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 145:57] + node _T_38214 = bits(_T_38213, 0, 0) @[Bitwise.scala 72:15] + node _T_38215 = mux(_T_38214, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38216 = mux(UInt<1>("h00"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_38217 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38218 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_38219 = cat(_T_38216, _T_38217) @[Cat.scala 29:58] + node _T_38220 = cat(_T_38219, _T_38218) @[Cat.scala 29:58] + node _T_38221 = and(_T_38215, _T_38220) @[exu_mul_ctl.scala 145:62] + node _T_38222 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 145:57] + node _T_38223 = bits(_T_38222, 0, 0) @[Bitwise.scala 72:15] + node _T_38224 = mux(_T_38223, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38225 = mux(UInt<1>("h00"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_38226 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38227 = mux(UInt<1>("h00"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_38228 = cat(_T_38225, _T_38226) @[Cat.scala 29:58] + node _T_38229 = cat(_T_38228, _T_38227) @[Cat.scala 29:58] + node _T_38230 = and(_T_38224, _T_38229) @[exu_mul_ctl.scala 145:62] + node _T_38231 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 145:57] + node _T_38232 = bits(_T_38231, 0, 0) @[Bitwise.scala 72:15] + node _T_38233 = mux(_T_38232, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38234 = mux(UInt<1>("h00"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_38235 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38236 = mux(UInt<1>("h00"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_38237 = cat(_T_38234, _T_38235) @[Cat.scala 29:58] + node _T_38238 = cat(_T_38237, _T_38236) @[Cat.scala 29:58] + node _T_38239 = and(_T_38233, _T_38238) @[exu_mul_ctl.scala 145:62] + node _T_38240 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 145:57] + node _T_38241 = bits(_T_38240, 0, 0) @[Bitwise.scala 72:15] + node _T_38242 = mux(_T_38241, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38243 = mux(UInt<1>("h00"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_38244 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38245 = mux(UInt<1>("h00"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_38246 = cat(_T_38243, _T_38244) @[Cat.scala 29:58] + node _T_38247 = cat(_T_38246, _T_38245) @[Cat.scala 29:58] + node _T_38248 = and(_T_38242, _T_38247) @[exu_mul_ctl.scala 145:62] + node _T_38249 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 145:57] + node _T_38250 = bits(_T_38249, 0, 0) @[Bitwise.scala 72:15] + node _T_38251 = mux(_T_38250, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38252 = mux(UInt<1>("h00"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_38253 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38254 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_38255 = cat(_T_38252, _T_38253) @[Cat.scala 29:58] + node _T_38256 = cat(_T_38255, _T_38254) @[Cat.scala 29:58] + node _T_38257 = and(_T_38251, _T_38256) @[exu_mul_ctl.scala 145:62] + node _T_38258 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 145:57] + node _T_38259 = bits(_T_38258, 0, 0) @[Bitwise.scala 72:15] + node _T_38260 = mux(_T_38259, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38261 = mux(UInt<1>("h00"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_38262 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38263 = mux(UInt<1>("h00"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_38264 = cat(_T_38261, _T_38262) @[Cat.scala 29:58] + node _T_38265 = cat(_T_38264, _T_38263) @[Cat.scala 29:58] + node _T_38266 = and(_T_38260, _T_38265) @[exu_mul_ctl.scala 145:62] + node _T_38267 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 145:57] + node _T_38268 = bits(_T_38267, 0, 0) @[Bitwise.scala 72:15] + node _T_38269 = mux(_T_38268, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38270 = mux(UInt<1>("h00"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_38271 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38272 = mux(UInt<1>("h00"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_38273 = cat(_T_38270, _T_38271) @[Cat.scala 29:58] + node _T_38274 = cat(_T_38273, _T_38272) @[Cat.scala 29:58] + node _T_38275 = and(_T_38269, _T_38274) @[exu_mul_ctl.scala 145:62] + node _T_38276 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 145:57] + node _T_38277 = bits(_T_38276, 0, 0) @[Bitwise.scala 72:15] + node _T_38278 = mux(_T_38277, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38279 = mux(UInt<1>("h00"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_38280 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38281 = mux(UInt<1>("h00"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_38282 = cat(_T_38279, _T_38280) @[Cat.scala 29:58] + node _T_38283 = cat(_T_38282, _T_38281) @[Cat.scala 29:58] + node _T_38284 = and(_T_38278, _T_38283) @[exu_mul_ctl.scala 145:62] + node _T_38285 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 145:57] + node _T_38286 = bits(_T_38285, 0, 0) @[Bitwise.scala 72:15] + node _T_38287 = mux(_T_38286, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38288 = mux(UInt<1>("h00"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_38289 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38290 = mux(UInt<1>("h00"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_38291 = cat(_T_38288, _T_38289) @[Cat.scala 29:58] + node _T_38292 = cat(_T_38291, _T_38290) @[Cat.scala 29:58] + node _T_38293 = and(_T_38287, _T_38292) @[exu_mul_ctl.scala 145:62] + node _T_38294 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 145:57] + node _T_38295 = bits(_T_38294, 0, 0) @[Bitwise.scala 72:15] + node _T_38296 = mux(_T_38295, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38297 = mux(UInt<1>("h00"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_38298 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38299 = mux(UInt<1>("h00"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_38300 = cat(_T_38297, _T_38298) @[Cat.scala 29:58] + node _T_38301 = cat(_T_38300, _T_38299) @[Cat.scala 29:58] + node _T_38302 = and(_T_38296, _T_38301) @[exu_mul_ctl.scala 145:62] + node _T_38303 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 145:57] + node _T_38304 = bits(_T_38303, 0, 0) @[Bitwise.scala 72:15] + node _T_38305 = mux(_T_38304, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38306 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_38307 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38308 = mux(UInt<1>("h00"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_38309 = cat(_T_38306, _T_38307) @[Cat.scala 29:58] + node _T_38310 = cat(_T_38309, _T_38308) @[Cat.scala 29:58] + node _T_38311 = and(_T_38305, _T_38310) @[exu_mul_ctl.scala 145:62] + node _T_38312 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 145:57] + node _T_38313 = bits(_T_38312, 0, 0) @[Bitwise.scala 72:15] + node _T_38314 = mux(_T_38313, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38315 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_38316 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38317 = mux(UInt<1>("h00"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_38318 = cat(_T_38315, _T_38316) @[Cat.scala 29:58] + node _T_38319 = cat(_T_38318, _T_38317) @[Cat.scala 29:58] + node _T_38320 = and(_T_38314, _T_38319) @[exu_mul_ctl.scala 145:62] + node _T_38321 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 145:57] + node _T_38322 = bits(_T_38321, 0, 0) @[Bitwise.scala 72:15] + node _T_38323 = mux(_T_38322, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38324 = mux(UInt<1>("h00"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_38325 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38326 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_38327 = cat(_T_38324, _T_38325) @[Cat.scala 29:58] + node _T_38328 = cat(_T_38327, _T_38326) @[Cat.scala 29:58] + node _T_38329 = and(_T_38323, _T_38328) @[exu_mul_ctl.scala 145:62] + node _T_38330 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 145:57] + node _T_38331 = bits(_T_38330, 0, 0) @[Bitwise.scala 72:15] + node _T_38332 = mux(_T_38331, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38333 = mux(UInt<1>("h00"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_38334 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38335 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_38336 = cat(_T_38333, _T_38334) @[Cat.scala 29:58] + node _T_38337 = cat(_T_38336, _T_38335) @[Cat.scala 29:58] + node _T_38338 = and(_T_38332, _T_38337) @[exu_mul_ctl.scala 145:62] + node _T_38339 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 145:57] + node _T_38340 = bits(_T_38339, 0, 0) @[Bitwise.scala 72:15] + node _T_38341 = mux(_T_38340, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38342 = mux(UInt<1>("h00"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_38343 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38344 = mux(UInt<1>("h00"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_38345 = cat(_T_38342, _T_38343) @[Cat.scala 29:58] + node _T_38346 = cat(_T_38345, _T_38344) @[Cat.scala 29:58] + node _T_38347 = and(_T_38341, _T_38346) @[exu_mul_ctl.scala 145:62] + node _T_38348 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 145:57] + node _T_38349 = bits(_T_38348, 0, 0) @[Bitwise.scala 72:15] + node _T_38350 = mux(_T_38349, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38351 = mux(UInt<1>("h00"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_38352 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38353 = mux(UInt<1>("h00"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_38354 = cat(_T_38351, _T_38352) @[Cat.scala 29:58] + node _T_38355 = cat(_T_38354, _T_38353) @[Cat.scala 29:58] + node _T_38356 = and(_T_38350, _T_38355) @[exu_mul_ctl.scala 145:62] + node _T_38357 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 145:57] + node _T_38358 = bits(_T_38357, 0, 0) @[Bitwise.scala 72:15] + node _T_38359 = mux(_T_38358, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38360 = mux(UInt<1>("h00"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_38361 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38362 = mux(UInt<1>("h00"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_38363 = cat(_T_38360, _T_38361) @[Cat.scala 29:58] + node _T_38364 = cat(_T_38363, _T_38362) @[Cat.scala 29:58] + node _T_38365 = and(_T_38359, _T_38364) @[exu_mul_ctl.scala 145:62] + node _T_38366 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 145:57] + node _T_38367 = bits(_T_38366, 0, 0) @[Bitwise.scala 72:15] + node _T_38368 = mux(_T_38367, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38369 = mux(UInt<1>("h00"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_38370 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38371 = mux(UInt<1>("h00"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_38372 = cat(_T_38369, _T_38370) @[Cat.scala 29:58] + node _T_38373 = cat(_T_38372, _T_38371) @[Cat.scala 29:58] + node _T_38374 = and(_T_38368, _T_38373) @[exu_mul_ctl.scala 145:62] + node _T_38375 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 145:57] + node _T_38376 = bits(_T_38375, 0, 0) @[Bitwise.scala 72:15] + node _T_38377 = mux(_T_38376, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38378 = mux(UInt<1>("h00"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_38379 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38380 = mux(UInt<1>("h00"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_38381 = cat(_T_38378, _T_38379) @[Cat.scala 29:58] + node _T_38382 = cat(_T_38381, _T_38380) @[Cat.scala 29:58] + node _T_38383 = and(_T_38377, _T_38382) @[exu_mul_ctl.scala 145:62] + node _T_38384 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 145:57] + node _T_38385 = bits(_T_38384, 0, 0) @[Bitwise.scala 72:15] + node _T_38386 = mux(_T_38385, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38387 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_38388 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38389 = mux(UInt<1>("h00"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_38390 = cat(_T_38387, _T_38388) @[Cat.scala 29:58] + node _T_38391 = cat(_T_38390, _T_38389) @[Cat.scala 29:58] + node _T_38392 = and(_T_38386, _T_38391) @[exu_mul_ctl.scala 145:62] + node _T_38393 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 145:57] + node _T_38394 = bits(_T_38393, 0, 0) @[Bitwise.scala 72:15] + node _T_38395 = mux(_T_38394, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38396 = mux(UInt<1>("h00"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_38397 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38398 = mux(UInt<1>("h00"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_38399 = cat(_T_38396, _T_38397) @[Cat.scala 29:58] + node _T_38400 = cat(_T_38399, _T_38398) @[Cat.scala 29:58] + node _T_38401 = and(_T_38395, _T_38400) @[exu_mul_ctl.scala 145:62] + node _T_38402 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 145:57] + node _T_38403 = bits(_T_38402, 0, 0) @[Bitwise.scala 72:15] + node _T_38404 = mux(_T_38403, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38405 = mux(UInt<1>("h00"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_38406 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38407 = mux(UInt<1>("h00"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_38408 = cat(_T_38405, _T_38406) @[Cat.scala 29:58] + node _T_38409 = cat(_T_38408, _T_38407) @[Cat.scala 29:58] + node _T_38410 = and(_T_38404, _T_38409) @[exu_mul_ctl.scala 145:62] + node _T_38411 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 145:57] + node _T_38412 = bits(_T_38411, 0, 0) @[Bitwise.scala 72:15] + node _T_38413 = mux(_T_38412, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38414 = mux(UInt<1>("h00"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_38415 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38416 = mux(UInt<1>("h00"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_38417 = cat(_T_38414, _T_38415) @[Cat.scala 29:58] + node _T_38418 = cat(_T_38417, _T_38416) @[Cat.scala 29:58] + node _T_38419 = and(_T_38413, _T_38418) @[exu_mul_ctl.scala 145:62] + node _T_38420 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 145:57] + node _T_38421 = bits(_T_38420, 0, 0) @[Bitwise.scala 72:15] + node _T_38422 = mux(_T_38421, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38423 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_38424 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38425 = mux(UInt<1>("h00"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_38426 = cat(_T_38423, _T_38424) @[Cat.scala 29:58] + node _T_38427 = cat(_T_38426, _T_38425) @[Cat.scala 29:58] + node _T_38428 = and(_T_38422, _T_38427) @[exu_mul_ctl.scala 145:62] + node _T_38429 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 145:57] + node _T_38430 = bits(_T_38429, 0, 0) @[Bitwise.scala 72:15] + node _T_38431 = mux(_T_38430, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38432 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_38433 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38434 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_38435 = cat(_T_38432, _T_38433) @[Cat.scala 29:58] + node _T_38436 = cat(_T_38435, _T_38434) @[Cat.scala 29:58] + node _T_38437 = and(_T_38431, _T_38436) @[exu_mul_ctl.scala 145:62] + node _T_38438 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 145:57] + node _T_38439 = bits(_T_38438, 0, 0) @[Bitwise.scala 72:15] + node _T_38440 = mux(_T_38439, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38441 = mux(UInt<1>("h00"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_38442 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38443 = mux(UInt<1>("h00"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_38444 = cat(_T_38441, _T_38442) @[Cat.scala 29:58] + node _T_38445 = cat(_T_38444, _T_38443) @[Cat.scala 29:58] + node _T_38446 = and(_T_38440, _T_38445) @[exu_mul_ctl.scala 145:62] + node _T_38447 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 145:57] + node _T_38448 = bits(_T_38447, 0, 0) @[Bitwise.scala 72:15] + node _T_38449 = mux(_T_38448, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38450 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38451 = mux(UInt<1>("h00"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_38452 = cat(UInt<1>("h00"), _T_38450) @[Cat.scala 29:58] + node _T_38453 = cat(_T_38452, _T_38451) @[Cat.scala 29:58] + node _T_38454 = and(_T_38449, _T_38453) @[exu_mul_ctl.scala 145:62] + node _T_38455 = xor(_T_38194, _T_38203) @[exu_mul_ctl.scala 145:121] + node _T_38456 = xor(_T_38455, _T_38212) @[exu_mul_ctl.scala 145:121] + node _T_38457 = xor(_T_38456, _T_38221) @[exu_mul_ctl.scala 145:121] + node _T_38458 = xor(_T_38457, _T_38230) @[exu_mul_ctl.scala 145:121] + node _T_38459 = xor(_T_38458, _T_38239) @[exu_mul_ctl.scala 145:121] + node _T_38460 = xor(_T_38459, _T_38248) @[exu_mul_ctl.scala 145:121] + node _T_38461 = xor(_T_38460, _T_38257) @[exu_mul_ctl.scala 145:121] + node _T_38462 = xor(_T_38461, _T_38266) @[exu_mul_ctl.scala 145:121] + node _T_38463 = xor(_T_38462, _T_38275) @[exu_mul_ctl.scala 145:121] + node _T_38464 = xor(_T_38463, _T_38284) @[exu_mul_ctl.scala 145:121] + node _T_38465 = xor(_T_38464, _T_38293) @[exu_mul_ctl.scala 145:121] + node _T_38466 = xor(_T_38465, _T_38302) @[exu_mul_ctl.scala 145:121] + node _T_38467 = xor(_T_38466, _T_38311) @[exu_mul_ctl.scala 145:121] + node _T_38468 = xor(_T_38467, _T_38320) @[exu_mul_ctl.scala 145:121] + node _T_38469 = xor(_T_38468, _T_38329) @[exu_mul_ctl.scala 145:121] + node _T_38470 = xor(_T_38469, _T_38338) @[exu_mul_ctl.scala 145:121] + node _T_38471 = xor(_T_38470, _T_38347) @[exu_mul_ctl.scala 145:121] + node _T_38472 = xor(_T_38471, _T_38356) @[exu_mul_ctl.scala 145:121] + node _T_38473 = xor(_T_38472, _T_38365) @[exu_mul_ctl.scala 145:121] + node _T_38474 = xor(_T_38473, _T_38374) @[exu_mul_ctl.scala 145:121] + node _T_38475 = xor(_T_38474, _T_38383) @[exu_mul_ctl.scala 145:121] + node _T_38476 = xor(_T_38475, _T_38392) @[exu_mul_ctl.scala 145:121] + node _T_38477 = xor(_T_38476, _T_38401) @[exu_mul_ctl.scala 145:121] + node _T_38478 = xor(_T_38477, _T_38410) @[exu_mul_ctl.scala 145:121] + node _T_38479 = xor(_T_38478, _T_38419) @[exu_mul_ctl.scala 145:121] + node _T_38480 = xor(_T_38479, _T_38428) @[exu_mul_ctl.scala 145:121] + node _T_38481 = xor(_T_38480, _T_38437) @[exu_mul_ctl.scala 145:121] + node _T_38482 = xor(_T_38481, _T_38446) @[exu_mul_ctl.scala 145:121] + node _T_38483 = xor(_T_38482, _T_38454) @[exu_mul_ctl.scala 145:121] + node _T_38484 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 145:146] + node _T_38485 = bits(_T_38484, 0, 0) @[Bitwise.scala 72:15] + node _T_38486 = mux(_T_38485, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38487 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_38488 = cat(_T_38487, io.rs1_in) @[Cat.scala 29:58] + node _T_38489 = and(_T_38486, _T_38488) @[exu_mul_ctl.scala 145:151] + node _T_38490 = xor(_T_38483, _T_38489) @[exu_mul_ctl.scala 145:125] + node _T_38491 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 145:204] + node _T_38492 = bits(_T_38491, 0, 0) @[Bitwise.scala 72:15] + node _T_38493 = mux(_T_38492, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38494 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_38495 = cat(io.rs1_in, _T_38494) @[Cat.scala 29:58] + node _T_38496 = and(_T_38493, _T_38495) @[exu_mul_ctl.scala 145:210] + node _T_38497 = xor(_T_38490, _T_38496) @[exu_mul_ctl.scala 145:183] + clmul_raw_d <= _T_38497 @[exu_mul_ctl.scala 145:15] + node _T_38498 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 165:30] + node _T_38499 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 165:72] + node _T_38500 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 165:85] + node _T_38501 = cat(_T_38499, _T_38500) @[Cat.scala 29:58] + node _T_38502 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 165:72] + node _T_38503 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 165:85] + node _T_38504 = cat(_T_38502, _T_38503) @[Cat.scala 29:58] + node _T_38505 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 165:72] + node _T_38506 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 165:85] + node _T_38507 = cat(_T_38505, _T_38506) @[Cat.scala 29:58] + node _T_38508 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 165:72] + node _T_38509 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 165:85] + node _T_38510 = cat(_T_38508, _T_38509) @[Cat.scala 29:58] + node _T_38511 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 165:72] + node _T_38512 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 165:85] + node _T_38513 = cat(_T_38511, _T_38512) @[Cat.scala 29:58] + node _T_38514 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 165:72] + node _T_38515 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 165:85] + node _T_38516 = cat(_T_38514, _T_38515) @[Cat.scala 29:58] + node _T_38517 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 165:72] + node _T_38518 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 165:85] + node _T_38519 = cat(_T_38517, _T_38518) @[Cat.scala 29:58] + node _T_38520 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 165:72] + node _T_38521 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 165:85] + node _T_38522 = cat(_T_38520, _T_38521) @[Cat.scala 29:58] + node _T_38523 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 165:72] + node _T_38524 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 165:85] + node _T_38525 = cat(_T_38523, _T_38524) @[Cat.scala 29:58] + node _T_38526 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 165:72] + node _T_38527 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 165:85] + node _T_38528 = cat(_T_38526, _T_38527) @[Cat.scala 29:58] + node _T_38529 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 165:72] + node _T_38530 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 165:85] + node _T_38531 = cat(_T_38529, _T_38530) @[Cat.scala 29:58] + node _T_38532 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 165:72] + node _T_38533 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 165:85] + node _T_38534 = cat(_T_38532, _T_38533) @[Cat.scala 29:58] + node _T_38535 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 165:72] + node _T_38536 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 165:85] + node _T_38537 = cat(_T_38535, _T_38536) @[Cat.scala 29:58] + node _T_38538 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 165:72] + node _T_38539 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 165:85] + node _T_38540 = cat(_T_38538, _T_38539) @[Cat.scala 29:58] + node _T_38541 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 165:72] + node _T_38542 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 165:85] + node _T_38543 = cat(_T_38541, _T_38542) @[Cat.scala 29:58] + node _T_38544 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 165:72] + node _T_38545 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 165:85] + node _T_38546 = cat(_T_38544, _T_38545) @[Cat.scala 29:58] + node _T_38547 = cat(_T_38546, _T_38543) @[Cat.scala 29:58] + node _T_38548 = cat(_T_38547, _T_38540) @[Cat.scala 29:58] + node _T_38549 = cat(_T_38548, _T_38537) @[Cat.scala 29:58] + node _T_38550 = cat(_T_38549, _T_38534) @[Cat.scala 29:58] + node _T_38551 = cat(_T_38550, _T_38531) @[Cat.scala 29:58] + node _T_38552 = cat(_T_38551, _T_38528) @[Cat.scala 29:58] + node _T_38553 = cat(_T_38552, _T_38525) @[Cat.scala 29:58] + node _T_38554 = cat(_T_38553, _T_38522) @[Cat.scala 29:58] + node _T_38555 = cat(_T_38554, _T_38519) @[Cat.scala 29:58] + node _T_38556 = cat(_T_38555, _T_38516) @[Cat.scala 29:58] + node _T_38557 = cat(_T_38556, _T_38513) @[Cat.scala 29:58] + node _T_38558 = cat(_T_38557, _T_38510) @[Cat.scala 29:58] + node _T_38559 = cat(_T_38558, _T_38507) @[Cat.scala 29:58] + node _T_38560 = cat(_T_38559, _T_38504) @[Cat.scala 29:58] + node _T_38561 = cat(_T_38560, _T_38501) @[Cat.scala 29:58] + node grev1_d = mux(_T_38498, _T_38561, io.rs1_in) @[exu_mul_ctl.scala 165:20] + node _T_38562 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 167:30] + node _T_38563 = bits(grev1_d, 1, 0) @[exu_mul_ctl.scala 167:70] + node _T_38564 = bits(grev1_d, 3, 2) @[exu_mul_ctl.scala 167:85] + node _T_38565 = cat(_T_38563, _T_38564) @[Cat.scala 29:58] + node _T_38566 = bits(grev1_d, 5, 4) @[exu_mul_ctl.scala 167:70] + node _T_38567 = bits(grev1_d, 7, 6) @[exu_mul_ctl.scala 167:85] + node _T_38568 = cat(_T_38566, _T_38567) @[Cat.scala 29:58] + node _T_38569 = bits(grev1_d, 9, 8) @[exu_mul_ctl.scala 167:70] + node _T_38570 = bits(grev1_d, 11, 10) @[exu_mul_ctl.scala 167:85] + node _T_38571 = cat(_T_38569, _T_38570) @[Cat.scala 29:58] + node _T_38572 = bits(grev1_d, 13, 12) @[exu_mul_ctl.scala 167:70] + node _T_38573 = bits(grev1_d, 15, 14) @[exu_mul_ctl.scala 167:85] + node _T_38574 = cat(_T_38572, _T_38573) @[Cat.scala 29:58] + node _T_38575 = bits(grev1_d, 17, 16) @[exu_mul_ctl.scala 167:70] + node _T_38576 = bits(grev1_d, 19, 18) @[exu_mul_ctl.scala 167:85] + node _T_38577 = cat(_T_38575, _T_38576) @[Cat.scala 29:58] + node _T_38578 = bits(grev1_d, 21, 20) @[exu_mul_ctl.scala 167:70] + node _T_38579 = bits(grev1_d, 23, 22) @[exu_mul_ctl.scala 167:85] + node _T_38580 = cat(_T_38578, _T_38579) @[Cat.scala 29:58] + node _T_38581 = bits(grev1_d, 25, 24) @[exu_mul_ctl.scala 167:70] + node _T_38582 = bits(grev1_d, 27, 26) @[exu_mul_ctl.scala 167:85] + node _T_38583 = cat(_T_38581, _T_38582) @[Cat.scala 29:58] + node _T_38584 = bits(grev1_d, 29, 28) @[exu_mul_ctl.scala 167:70] + node _T_38585 = bits(grev1_d, 31, 30) @[exu_mul_ctl.scala 167:85] + node _T_38586 = cat(_T_38584, _T_38585) @[Cat.scala 29:58] + node _T_38587 = cat(_T_38586, _T_38583) @[Cat.scala 29:58] + node _T_38588 = cat(_T_38587, _T_38580) @[Cat.scala 29:58] + node _T_38589 = cat(_T_38588, _T_38577) @[Cat.scala 29:58] + node _T_38590 = cat(_T_38589, _T_38574) @[Cat.scala 29:58] + node _T_38591 = cat(_T_38590, _T_38571) @[Cat.scala 29:58] + node _T_38592 = cat(_T_38591, _T_38568) @[Cat.scala 29:58] + node _T_38593 = cat(_T_38592, _T_38565) @[Cat.scala 29:58] + node _T_38594 = bits(grev1_d, 31, 0) @[exu_mul_ctl.scala 167:134] + node grev2_d = mux(_T_38562, _T_38593, _T_38594) @[exu_mul_ctl.scala 167:20] + node _T_38595 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 169:30] + node _T_38596 = bits(grev2_d, 3, 0) @[exu_mul_ctl.scala 169:70] + node _T_38597 = bits(grev2_d, 7, 4) @[exu_mul_ctl.scala 169:85] + node _T_38598 = cat(_T_38596, _T_38597) @[Cat.scala 29:58] + node _T_38599 = bits(grev2_d, 11, 8) @[exu_mul_ctl.scala 169:70] + node _T_38600 = bits(grev2_d, 15, 12) @[exu_mul_ctl.scala 169:85] + node _T_38601 = cat(_T_38599, _T_38600) @[Cat.scala 29:58] + node _T_38602 = bits(grev2_d, 19, 16) @[exu_mul_ctl.scala 169:70] + node _T_38603 = bits(grev2_d, 23, 20) @[exu_mul_ctl.scala 169:85] + node _T_38604 = cat(_T_38602, _T_38603) @[Cat.scala 29:58] + node _T_38605 = bits(grev2_d, 27, 24) @[exu_mul_ctl.scala 169:70] + node _T_38606 = bits(grev2_d, 31, 28) @[exu_mul_ctl.scala 169:85] + node _T_38607 = cat(_T_38605, _T_38606) @[Cat.scala 29:58] + node _T_38608 = cat(_T_38607, _T_38604) @[Cat.scala 29:58] + node _T_38609 = cat(_T_38608, _T_38601) @[Cat.scala 29:58] + node _T_38610 = cat(_T_38609, _T_38598) @[Cat.scala 29:58] + node _T_38611 = bits(grev2_d, 31, 0) @[exu_mul_ctl.scala 169:134] + node grev4_d = mux(_T_38595, _T_38610, _T_38611) @[exu_mul_ctl.scala 169:20] + node _T_38612 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 171:30] + node _T_38613 = bits(grev4_d, 7, 0) @[exu_mul_ctl.scala 171:71] + node _T_38614 = bits(grev4_d, 15, 8) @[exu_mul_ctl.scala 171:86] + node _T_38615 = cat(_T_38613, _T_38614) @[Cat.scala 29:58] + node _T_38616 = bits(grev4_d, 23, 16) @[exu_mul_ctl.scala 171:71] + node _T_38617 = bits(grev4_d, 31, 24) @[exu_mul_ctl.scala 171:86] + node _T_38618 = cat(_T_38616, _T_38617) @[Cat.scala 29:58] + node _T_38619 = cat(_T_38618, _T_38615) @[Cat.scala 29:58] + node _T_38620 = bits(grev4_d, 31, 0) @[exu_mul_ctl.scala 171:134] + node grev8_d = mux(_T_38612, _T_38619, _T_38620) @[exu_mul_ctl.scala 171:20] + node _T_38621 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 173:30] + node _T_38622 = bits(grev8_d, 15, 0) @[exu_mul_ctl.scala 173:47] + node _T_38623 = bits(grev8_d, 31, 16) @[exu_mul_ctl.scala 173:61] + node _T_38624 = cat(_T_38622, _T_38623) @[Cat.scala 29:58] + node _T_38625 = bits(grev8_d, 31, 0) @[exu_mul_ctl.scala 173:78] + node grev_d = mux(_T_38621, _T_38624, _T_38625) @[exu_mul_ctl.scala 173:20] + node _T_38626 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 199:37] + node _T_38627 = bits(_T_38626, 0, 0) @[Bitwise.scala 72:15] + node _T_38628 = mux(_T_38627, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_38629 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 199:81] + node _T_38630 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 199:94] + node _T_38631 = cat(_T_38629, _T_38630) @[Cat.scala 29:58] + node _T_38632 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 199:81] + node _T_38633 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 199:94] + node _T_38634 = cat(_T_38632, _T_38633) @[Cat.scala 29:58] + node _T_38635 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 199:81] + node _T_38636 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 199:94] + node _T_38637 = cat(_T_38635, _T_38636) @[Cat.scala 29:58] + node _T_38638 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 199:81] + node _T_38639 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 199:94] + node _T_38640 = cat(_T_38638, _T_38639) @[Cat.scala 29:58] + node _T_38641 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 199:81] + node _T_38642 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 199:94] + node _T_38643 = cat(_T_38641, _T_38642) @[Cat.scala 29:58] + node _T_38644 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 199:81] + node _T_38645 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 199:94] + node _T_38646 = cat(_T_38644, _T_38645) @[Cat.scala 29:58] + node _T_38647 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 199:81] + node _T_38648 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 199:94] + node _T_38649 = cat(_T_38647, _T_38648) @[Cat.scala 29:58] + node _T_38650 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 199:81] + node _T_38651 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 199:94] + node _T_38652 = cat(_T_38650, _T_38651) @[Cat.scala 29:58] + node _T_38653 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 199:81] + node _T_38654 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 199:94] + node _T_38655 = cat(_T_38653, _T_38654) @[Cat.scala 29:58] + node _T_38656 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 199:81] + node _T_38657 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 199:94] + node _T_38658 = cat(_T_38656, _T_38657) @[Cat.scala 29:58] + node _T_38659 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 199:81] + node _T_38660 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 199:94] + node _T_38661 = cat(_T_38659, _T_38660) @[Cat.scala 29:58] + node _T_38662 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 199:81] + node _T_38663 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 199:94] + node _T_38664 = cat(_T_38662, _T_38663) @[Cat.scala 29:58] + node _T_38665 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 199:81] + node _T_38666 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 199:94] + node _T_38667 = cat(_T_38665, _T_38666) @[Cat.scala 29:58] + node _T_38668 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 199:81] + node _T_38669 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 199:94] + node _T_38670 = cat(_T_38668, _T_38669) @[Cat.scala 29:58] + node _T_38671 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 199:81] + node _T_38672 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 199:94] + node _T_38673 = cat(_T_38671, _T_38672) @[Cat.scala 29:58] + node _T_38674 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 199:81] + node _T_38675 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 199:94] + node _T_38676 = cat(_T_38674, _T_38675) @[Cat.scala 29:58] + node _T_38677 = cat(_T_38676, _T_38673) @[Cat.scala 29:58] + node _T_38678 = cat(_T_38677, _T_38670) @[Cat.scala 29:58] + node _T_38679 = cat(_T_38678, _T_38667) @[Cat.scala 29:58] + node _T_38680 = cat(_T_38679, _T_38664) @[Cat.scala 29:58] + node _T_38681 = cat(_T_38680, _T_38661) @[Cat.scala 29:58] + node _T_38682 = cat(_T_38681, _T_38658) @[Cat.scala 29:58] + node _T_38683 = cat(_T_38682, _T_38655) @[Cat.scala 29:58] + node _T_38684 = cat(_T_38683, _T_38652) @[Cat.scala 29:58] + node _T_38685 = cat(_T_38684, _T_38649) @[Cat.scala 29:58] + node _T_38686 = cat(_T_38685, _T_38646) @[Cat.scala 29:58] + node _T_38687 = cat(_T_38686, _T_38643) @[Cat.scala 29:58] + node _T_38688 = cat(_T_38687, _T_38640) @[Cat.scala 29:58] + node _T_38689 = cat(_T_38688, _T_38637) @[Cat.scala 29:58] + node _T_38690 = cat(_T_38689, _T_38634) @[Cat.scala 29:58] + node _T_38691 = cat(_T_38690, _T_38631) @[Cat.scala 29:58] + node _T_38692 = and(_T_38628, _T_38691) @[exu_mul_ctl.scala 199:42] + node gorc1_d = or(_T_38692, io.rs1_in) @[exu_mul_ctl.scala 199:129] + node _T_38693 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 201:37] + node _T_38694 = bits(_T_38693, 0, 0) @[Bitwise.scala 72:15] + node _T_38695 = mux(_T_38694, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_38696 = bits(gorc1_d, 1, 0) @[exu_mul_ctl.scala 201:79] + node _T_38697 = bits(gorc1_d, 3, 2) @[exu_mul_ctl.scala 201:94] + node _T_38698 = cat(_T_38696, _T_38697) @[Cat.scala 29:58] + node _T_38699 = bits(gorc1_d, 5, 4) @[exu_mul_ctl.scala 201:79] + node _T_38700 = bits(gorc1_d, 7, 6) @[exu_mul_ctl.scala 201:94] + node _T_38701 = cat(_T_38699, _T_38700) @[Cat.scala 29:58] + node _T_38702 = bits(gorc1_d, 9, 8) @[exu_mul_ctl.scala 201:79] + node _T_38703 = bits(gorc1_d, 11, 10) @[exu_mul_ctl.scala 201:94] + node _T_38704 = cat(_T_38702, _T_38703) @[Cat.scala 29:58] + node _T_38705 = bits(gorc1_d, 13, 12) @[exu_mul_ctl.scala 201:79] + node _T_38706 = bits(gorc1_d, 15, 14) @[exu_mul_ctl.scala 201:94] + node _T_38707 = cat(_T_38705, _T_38706) @[Cat.scala 29:58] + node _T_38708 = bits(gorc1_d, 17, 16) @[exu_mul_ctl.scala 201:79] + node _T_38709 = bits(gorc1_d, 19, 18) @[exu_mul_ctl.scala 201:94] + node _T_38710 = cat(_T_38708, _T_38709) @[Cat.scala 29:58] + node _T_38711 = bits(gorc1_d, 21, 20) @[exu_mul_ctl.scala 201:79] + node _T_38712 = bits(gorc1_d, 23, 22) @[exu_mul_ctl.scala 201:94] + node _T_38713 = cat(_T_38711, _T_38712) @[Cat.scala 29:58] + node _T_38714 = bits(gorc1_d, 25, 24) @[exu_mul_ctl.scala 201:79] + node _T_38715 = bits(gorc1_d, 27, 26) @[exu_mul_ctl.scala 201:94] + node _T_38716 = cat(_T_38714, _T_38715) @[Cat.scala 29:58] + node _T_38717 = bits(gorc1_d, 29, 28) @[exu_mul_ctl.scala 201:79] + node _T_38718 = bits(gorc1_d, 31, 30) @[exu_mul_ctl.scala 201:94] + node _T_38719 = cat(_T_38717, _T_38718) @[Cat.scala 29:58] + node _T_38720 = cat(_T_38719, _T_38716) @[Cat.scala 29:58] + node _T_38721 = cat(_T_38720, _T_38713) @[Cat.scala 29:58] + node _T_38722 = cat(_T_38721, _T_38710) @[Cat.scala 29:58] + node _T_38723 = cat(_T_38722, _T_38707) @[Cat.scala 29:58] + node _T_38724 = cat(_T_38723, _T_38704) @[Cat.scala 29:58] + node _T_38725 = cat(_T_38724, _T_38701) @[Cat.scala 29:58] + node _T_38726 = cat(_T_38725, _T_38698) @[Cat.scala 29:58] + node _T_38727 = and(_T_38695, _T_38726) @[exu_mul_ctl.scala 201:42] + node gorc2_d = or(_T_38727, gorc1_d) @[exu_mul_ctl.scala 201:135] + node _T_38728 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 203:37] + node _T_38729 = bits(_T_38728, 0, 0) @[Bitwise.scala 72:15] + node _T_38730 = mux(_T_38729, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_38731 = bits(gorc2_d, 3, 0) @[exu_mul_ctl.scala 203:79] + node _T_38732 = bits(gorc2_d, 7, 4) @[exu_mul_ctl.scala 203:94] + node _T_38733 = cat(_T_38731, _T_38732) @[Cat.scala 29:58] + node _T_38734 = bits(gorc2_d, 11, 8) @[exu_mul_ctl.scala 203:79] + node _T_38735 = bits(gorc2_d, 15, 12) @[exu_mul_ctl.scala 203:94] + node _T_38736 = cat(_T_38734, _T_38735) @[Cat.scala 29:58] + node _T_38737 = bits(gorc2_d, 19, 16) @[exu_mul_ctl.scala 203:79] + node _T_38738 = bits(gorc2_d, 23, 20) @[exu_mul_ctl.scala 203:94] + node _T_38739 = cat(_T_38737, _T_38738) @[Cat.scala 29:58] + node _T_38740 = bits(gorc2_d, 27, 24) @[exu_mul_ctl.scala 203:79] + node _T_38741 = bits(gorc2_d, 31, 28) @[exu_mul_ctl.scala 203:94] + node _T_38742 = cat(_T_38740, _T_38741) @[Cat.scala 29:58] + node _T_38743 = cat(_T_38742, _T_38739) @[Cat.scala 29:58] + node _T_38744 = cat(_T_38743, _T_38736) @[Cat.scala 29:58] + node _T_38745 = cat(_T_38744, _T_38733) @[Cat.scala 29:58] + node _T_38746 = and(_T_38730, _T_38745) @[exu_mul_ctl.scala 203:42] + node gorc4_d = or(_T_38746, gorc2_d) @[exu_mul_ctl.scala 203:135] + node _T_38747 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 205:37] + node _T_38748 = bits(_T_38747, 0, 0) @[Bitwise.scala 72:15] + node _T_38749 = mux(_T_38748, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_38750 = bits(gorc4_d, 7, 0) @[exu_mul_ctl.scala 205:80] + node _T_38751 = bits(gorc4_d, 15, 8) @[exu_mul_ctl.scala 205:95] + node _T_38752 = cat(_T_38750, _T_38751) @[Cat.scala 29:58] + node _T_38753 = bits(gorc4_d, 23, 16) @[exu_mul_ctl.scala 205:80] + node _T_38754 = bits(gorc4_d, 31, 24) @[exu_mul_ctl.scala 205:95] + node _T_38755 = cat(_T_38753, _T_38754) @[Cat.scala 29:58] + node _T_38756 = cat(_T_38755, _T_38752) @[Cat.scala 29:58] + node _T_38757 = and(_T_38749, _T_38756) @[exu_mul_ctl.scala 205:42] + node gorc8_d = or(_T_38757, gorc4_d) @[exu_mul_ctl.scala 205:136] + node _T_38758 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 207:37] + node _T_38759 = bits(_T_38758, 0, 0) @[Bitwise.scala 72:15] + node _T_38760 = mux(_T_38759, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_38761 = bits(gorc8_d, 15, 0) @[exu_mul_ctl.scala 207:55] + node _T_38762 = bits(gorc8_d, 31, 16) @[exu_mul_ctl.scala 207:69] + node _T_38763 = cat(_T_38761, _T_38762) @[Cat.scala 29:58] + node _T_38764 = and(_T_38760, _T_38763) @[exu_mul_ctl.scala 207:42] + node gorc_d = or(_T_38764, gorc8_d) @[exu_mul_ctl.scala 207:80] + node _T_38765 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 236:30] + node _T_38766 = bits(io.rs1_in, 23, 16) @[exu_mul_ctl.scala 236:69] + node _T_38767 = bits(io.rs1_in, 7, 0) @[exu_mul_ctl.scala 236:92] + node _T_38768 = cat(_T_38766, _T_38767) @[Cat.scala 29:58] + node _T_38769 = bits(io.rs1_in, 31, 24) @[exu_mul_ctl.scala 236:69] + node _T_38770 = bits(io.rs1_in, 15, 8) @[exu_mul_ctl.scala 236:92] + node _T_38771 = cat(_T_38769, _T_38770) @[Cat.scala 29:58] + node _T_38772 = cat(_T_38771, _T_38768) @[Cat.scala 29:58] + node shfl8_d = mux(_T_38765, _T_38772, io.rs1_in) @[exu_mul_ctl.scala 236:20] + node _T_38773 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 238:30] + node _T_38774 = bits(shfl8_d, 11, 8) @[exu_mul_ctl.scala 238:76] + node _T_38775 = bits(shfl8_d, 3, 0) @[exu_mul_ctl.scala 238:95] + node _T_38776 = cat(_T_38774, _T_38775) @[Cat.scala 29:58] + node _T_38777 = bits(shfl8_d, 15, 12) @[exu_mul_ctl.scala 238:76] + node _T_38778 = bits(shfl8_d, 7, 4) @[exu_mul_ctl.scala 238:95] + node _T_38779 = cat(_T_38777, _T_38778) @[Cat.scala 29:58] + node _T_38780 = bits(shfl8_d, 27, 24) @[exu_mul_ctl.scala 238:120] + node _T_38781 = bits(shfl8_d, 19, 16) @[exu_mul_ctl.scala 238:143] + node _T_38782 = cat(_T_38780, _T_38781) @[Cat.scala 29:58] + node _T_38783 = bits(shfl8_d, 31, 28) @[exu_mul_ctl.scala 238:120] + node _T_38784 = bits(shfl8_d, 23, 20) @[exu_mul_ctl.scala 238:143] + node _T_38785 = cat(_T_38783, _T_38784) @[Cat.scala 29:58] + node _T_38786 = cat(_T_38785, _T_38782) @[Cat.scala 29:58] + node _T_38787 = cat(_T_38786, _T_38779) @[Cat.scala 29:58] + node _T_38788 = cat(_T_38787, _T_38776) @[Cat.scala 29:58] + node shfl4_d = mux(_T_38773, _T_38788, shfl8_d) @[exu_mul_ctl.scala 238:20] + node _T_38789 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 240:30] + node _T_38790 = bits(shfl4_d, 5, 4) @[exu_mul_ctl.scala 240:76] + node _T_38791 = bits(shfl4_d, 1, 0) @[exu_mul_ctl.scala 240:95] + node _T_38792 = cat(_T_38790, _T_38791) @[Cat.scala 29:58] + node _T_38793 = bits(shfl4_d, 7, 6) @[exu_mul_ctl.scala 240:76] + node _T_38794 = bits(shfl4_d, 3, 2) @[exu_mul_ctl.scala 240:95] + node _T_38795 = cat(_T_38793, _T_38794) @[Cat.scala 29:58] + node _T_38796 = bits(shfl4_d, 13, 12) @[exu_mul_ctl.scala 240:126] + node _T_38797 = bits(shfl4_d, 9, 8) @[exu_mul_ctl.scala 240:143] + node _T_38798 = cat(_T_38796, _T_38797) @[Cat.scala 29:58] + node _T_38799 = bits(shfl4_d, 15, 14) @[exu_mul_ctl.scala 240:126] + node _T_38800 = bits(shfl4_d, 11, 10) @[exu_mul_ctl.scala 240:143] + node _T_38801 = cat(_T_38799, _T_38800) @[Cat.scala 29:58] + node _T_38802 = bits(shfl4_d, 21, 20) @[exu_mul_ctl.scala 240:177] + node _T_38803 = bits(shfl4_d, 17, 16) @[exu_mul_ctl.scala 240:196] + node _T_38804 = cat(_T_38802, _T_38803) @[Cat.scala 29:58] + node _T_38805 = bits(shfl4_d, 23, 22) @[exu_mul_ctl.scala 240:177] + node _T_38806 = bits(shfl4_d, 19, 18) @[exu_mul_ctl.scala 240:196] + node _T_38807 = cat(_T_38805, _T_38806) @[Cat.scala 29:58] + node _T_38808 = bits(shfl4_d, 29, 28) @[exu_mul_ctl.scala 240:222] + node _T_38809 = bits(shfl4_d, 25, 24) @[exu_mul_ctl.scala 240:241] + node _T_38810 = cat(_T_38808, _T_38809) @[Cat.scala 29:58] + node _T_38811 = bits(shfl4_d, 31, 30) @[exu_mul_ctl.scala 240:222] + node _T_38812 = bits(shfl4_d, 27, 26) @[exu_mul_ctl.scala 240:241] + node _T_38813 = cat(_T_38811, _T_38812) @[Cat.scala 29:58] + node _T_38814 = cat(_T_38813, _T_38810) @[Cat.scala 29:58] + node _T_38815 = cat(_T_38814, _T_38807) @[Cat.scala 29:58] + node _T_38816 = cat(_T_38815, _T_38804) @[Cat.scala 29:58] + node _T_38817 = cat(_T_38816, _T_38801) @[Cat.scala 29:58] + node _T_38818 = cat(_T_38817, _T_38798) @[Cat.scala 29:58] + node _T_38819 = cat(_T_38818, _T_38795) @[Cat.scala 29:58] + node _T_38820 = cat(_T_38819, _T_38792) @[Cat.scala 29:58] + node shfl2_d = mux(_T_38789, _T_38820, shfl4_d) @[exu_mul_ctl.scala 240:20] + node _T_38821 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 242:30] + node _T_38822 = bits(shfl2_d, 2, 2) @[exu_mul_ctl.scala 242:77] + node _T_38823 = bits(shfl2_d, 0, 0) @[exu_mul_ctl.scala 242:90] + node _T_38824 = cat(_T_38822, _T_38823) @[Cat.scala 29:58] + node _T_38825 = bits(shfl2_d, 3, 3) @[exu_mul_ctl.scala 242:77] + node _T_38826 = bits(shfl2_d, 1, 1) @[exu_mul_ctl.scala 242:90] + node _T_38827 = cat(_T_38825, _T_38826) @[Cat.scala 29:58] + node _T_38828 = bits(shfl2_d, 6, 6) @[exu_mul_ctl.scala 242:119] + node _T_38829 = bits(shfl2_d, 4, 4) @[exu_mul_ctl.scala 242:132] + node _T_38830 = cat(_T_38828, _T_38829) @[Cat.scala 29:58] + node _T_38831 = bits(shfl2_d, 7, 7) @[exu_mul_ctl.scala 242:119] + node _T_38832 = bits(shfl2_d, 5, 5) @[exu_mul_ctl.scala 242:132] + node _T_38833 = cat(_T_38831, _T_38832) @[Cat.scala 29:58] + node _T_38834 = bits(shfl2_d, 10, 10) @[exu_mul_ctl.scala 242:163] + node _T_38835 = bits(shfl2_d, 8, 8) @[exu_mul_ctl.scala 242:176] + node _T_38836 = cat(_T_38834, _T_38835) @[Cat.scala 29:58] + node _T_38837 = bits(shfl2_d, 11, 11) @[exu_mul_ctl.scala 242:163] + node _T_38838 = bits(shfl2_d, 9, 9) @[exu_mul_ctl.scala 242:176] + node _T_38839 = cat(_T_38837, _T_38838) @[Cat.scala 29:58] + node _T_38840 = bits(shfl2_d, 14, 14) @[exu_mul_ctl.scala 242:207] + node _T_38841 = bits(shfl2_d, 12, 12) @[exu_mul_ctl.scala 242:220] + node _T_38842 = cat(_T_38840, _T_38841) @[Cat.scala 29:58] + node _T_38843 = bits(shfl2_d, 15, 15) @[exu_mul_ctl.scala 242:207] + node _T_38844 = bits(shfl2_d, 13, 13) @[exu_mul_ctl.scala 242:220] + node _T_38845 = cat(_T_38843, _T_38844) @[Cat.scala 29:58] + node _T_38846 = bits(shfl2_d, 18, 18) @[exu_mul_ctl.scala 242:252] + node _T_38847 = bits(shfl2_d, 16, 16) @[exu_mul_ctl.scala 242:266] + node _T_38848 = cat(_T_38846, _T_38847) @[Cat.scala 29:58] + node _T_38849 = bits(shfl2_d, 19, 19) @[exu_mul_ctl.scala 242:252] + node _T_38850 = bits(shfl2_d, 17, 17) @[exu_mul_ctl.scala 242:266] + node _T_38851 = cat(_T_38849, _T_38850) @[Cat.scala 29:58] + node _T_38852 = bits(shfl2_d, 22, 22) @[exu_mul_ctl.scala 242:298] + node _T_38853 = bits(shfl2_d, 20, 20) @[exu_mul_ctl.scala 242:312] + node _T_38854 = cat(_T_38852, _T_38853) @[Cat.scala 29:58] + node _T_38855 = bits(shfl2_d, 23, 23) @[exu_mul_ctl.scala 242:298] + node _T_38856 = bits(shfl2_d, 21, 21) @[exu_mul_ctl.scala 242:312] + node _T_38857 = cat(_T_38855, _T_38856) @[Cat.scala 29:58] + node _T_38858 = bits(shfl2_d, 26, 26) @[exu_mul_ctl.scala 242:345] + node _T_38859 = bits(shfl2_d, 24, 24) @[exu_mul_ctl.scala 242:359] + node _T_38860 = cat(_T_38858, _T_38859) @[Cat.scala 29:58] + node _T_38861 = bits(shfl2_d, 27, 27) @[exu_mul_ctl.scala 242:345] + node _T_38862 = bits(shfl2_d, 25, 25) @[exu_mul_ctl.scala 242:359] + node _T_38863 = cat(_T_38861, _T_38862) @[Cat.scala 29:58] + node _T_38864 = bits(shfl2_d, 30, 30) @[exu_mul_ctl.scala 242:383] + node _T_38865 = bits(shfl2_d, 28, 28) @[exu_mul_ctl.scala 242:397] + node _T_38866 = cat(_T_38864, _T_38865) @[Cat.scala 29:58] + node _T_38867 = bits(shfl2_d, 31, 31) @[exu_mul_ctl.scala 242:383] + node _T_38868 = bits(shfl2_d, 29, 29) @[exu_mul_ctl.scala 242:397] + node _T_38869 = cat(_T_38867, _T_38868) @[Cat.scala 29:58] + node _T_38870 = cat(_T_38869, _T_38866) @[Cat.scala 29:58] + node _T_38871 = cat(_T_38870, _T_38863) @[Cat.scala 29:58] + node _T_38872 = cat(_T_38871, _T_38860) @[Cat.scala 29:58] + node _T_38873 = cat(_T_38872, _T_38857) @[Cat.scala 29:58] + node _T_38874 = cat(_T_38873, _T_38854) @[Cat.scala 29:58] + node _T_38875 = cat(_T_38874, _T_38851) @[Cat.scala 29:58] + node _T_38876 = cat(_T_38875, _T_38848) @[Cat.scala 29:58] + node _T_38877 = cat(_T_38876, _T_38845) @[Cat.scala 29:58] + node _T_38878 = cat(_T_38877, _T_38842) @[Cat.scala 29:58] + node _T_38879 = cat(_T_38878, _T_38839) @[Cat.scala 29:58] + node _T_38880 = cat(_T_38879, _T_38836) @[Cat.scala 29:58] + node _T_38881 = cat(_T_38880, _T_38833) @[Cat.scala 29:58] + node _T_38882 = cat(_T_38881, _T_38830) @[Cat.scala 29:58] + node _T_38883 = cat(_T_38882, _T_38827) @[Cat.scala 29:58] + node _T_38884 = cat(_T_38883, _T_38824) @[Cat.scala 29:58] + node shfl_d = mux(_T_38821, _T_38884, shfl2_d) @[exu_mul_ctl.scala 242:20] + node _T_38885 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 261:35] + node _T_38886 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 261:85] + node _T_38887 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 261:100] + node _T_38888 = cat(_T_38886, _T_38887) @[Cat.scala 29:58] + node _T_38889 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 261:85] + node _T_38890 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 261:100] + node _T_38891 = cat(_T_38889, _T_38890) @[Cat.scala 29:58] + node _T_38892 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 261:131] + node _T_38893 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 261:146] + node _T_38894 = cat(_T_38892, _T_38893) @[Cat.scala 29:58] + node _T_38895 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 261:131] + node _T_38896 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 261:146] + node _T_38897 = cat(_T_38895, _T_38896) @[Cat.scala 29:58] + node _T_38898 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 261:179] + node _T_38899 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 261:194] + node _T_38900 = cat(_T_38898, _T_38899) @[Cat.scala 29:58] + node _T_38901 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 261:179] + node _T_38902 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 261:194] + node _T_38903 = cat(_T_38901, _T_38902) @[Cat.scala 29:58] + node _T_38904 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 261:227] + node _T_38905 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 261:242] + node _T_38906 = cat(_T_38904, _T_38905) @[Cat.scala 29:58] + node _T_38907 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 261:227] + node _T_38908 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 261:242] + node _T_38909 = cat(_T_38907, _T_38908) @[Cat.scala 29:58] + node _T_38910 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 261:276] + node _T_38911 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 261:292] + node _T_38912 = cat(_T_38910, _T_38911) @[Cat.scala 29:58] + node _T_38913 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 261:276] + node _T_38914 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 261:292] + node _T_38915 = cat(_T_38913, _T_38914) @[Cat.scala 29:58] + node _T_38916 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 261:326] + node _T_38917 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 261:342] + node _T_38918 = cat(_T_38916, _T_38917) @[Cat.scala 29:58] + node _T_38919 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 261:326] + node _T_38920 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 261:342] + node _T_38921 = cat(_T_38919, _T_38920) @[Cat.scala 29:58] + node _T_38922 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 261:377] + node _T_38923 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 261:393] + node _T_38924 = cat(_T_38922, _T_38923) @[Cat.scala 29:58] + node _T_38925 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 261:377] + node _T_38926 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 261:393] + node _T_38927 = cat(_T_38925, _T_38926) @[Cat.scala 29:58] + node _T_38928 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 261:419] + node _T_38929 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 261:435] + node _T_38930 = cat(_T_38928, _T_38929) @[Cat.scala 29:58] + node _T_38931 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 261:419] + node _T_38932 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 261:435] + node _T_38933 = cat(_T_38931, _T_38932) @[Cat.scala 29:58] + node _T_38934 = cat(_T_38933, _T_38930) @[Cat.scala 29:58] + node _T_38935 = cat(_T_38934, _T_38927) @[Cat.scala 29:58] + node _T_38936 = cat(_T_38935, _T_38924) @[Cat.scala 29:58] + node _T_38937 = cat(_T_38936, _T_38921) @[Cat.scala 29:58] + node _T_38938 = cat(_T_38937, _T_38918) @[Cat.scala 29:58] + node _T_38939 = cat(_T_38938, _T_38915) @[Cat.scala 29:58] + node _T_38940 = cat(_T_38939, _T_38912) @[Cat.scala 29:58] + node _T_38941 = cat(_T_38940, _T_38909) @[Cat.scala 29:58] + node _T_38942 = cat(_T_38941, _T_38906) @[Cat.scala 29:58] + node _T_38943 = cat(_T_38942, _T_38903) @[Cat.scala 29:58] + node _T_38944 = cat(_T_38943, _T_38900) @[Cat.scala 29:58] + node _T_38945 = cat(_T_38944, _T_38897) @[Cat.scala 29:58] + node _T_38946 = cat(_T_38945, _T_38894) @[Cat.scala 29:58] + node _T_38947 = cat(_T_38946, _T_38891) @[Cat.scala 29:58] + node _T_38948 = cat(_T_38947, _T_38888) @[Cat.scala 29:58] + node unshfl1_d = mux(_T_38885, _T_38948, io.rs1_in) @[exu_mul_ctl.scala 261:25] + node _T_38949 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 263:35] + node _T_38950 = bits(unshfl1_d, 5, 4) @[exu_mul_ctl.scala 263:84] + node _T_38951 = bits(unshfl1_d, 1, 0) @[exu_mul_ctl.scala 263:105] + node _T_38952 = cat(_T_38950, _T_38951) @[Cat.scala 29:58] + node _T_38953 = bits(unshfl1_d, 7, 6) @[exu_mul_ctl.scala 263:84] + node _T_38954 = bits(unshfl1_d, 3, 2) @[exu_mul_ctl.scala 263:105] + node _T_38955 = cat(_T_38953, _T_38954) @[Cat.scala 29:58] + node _T_38956 = bits(unshfl1_d, 13, 12) @[exu_mul_ctl.scala 263:138] + node _T_38957 = bits(unshfl1_d, 9, 8) @[exu_mul_ctl.scala 263:157] + node _T_38958 = cat(_T_38956, _T_38957) @[Cat.scala 29:58] + node _T_38959 = bits(unshfl1_d, 15, 14) @[exu_mul_ctl.scala 263:138] + node _T_38960 = bits(unshfl1_d, 11, 10) @[exu_mul_ctl.scala 263:157] + node _T_38961 = cat(_T_38959, _T_38960) @[Cat.scala 29:58] + node _T_38962 = bits(unshfl1_d, 21, 20) @[exu_mul_ctl.scala 263:193] + node _T_38963 = bits(unshfl1_d, 17, 16) @[exu_mul_ctl.scala 263:214] + node _T_38964 = cat(_T_38962, _T_38963) @[Cat.scala 29:58] + node _T_38965 = bits(unshfl1_d, 23, 22) @[exu_mul_ctl.scala 263:193] + node _T_38966 = bits(unshfl1_d, 19, 18) @[exu_mul_ctl.scala 263:214] + node _T_38967 = cat(_T_38965, _T_38966) @[Cat.scala 29:58] + node _T_38968 = bits(unshfl1_d, 29, 28) @[exu_mul_ctl.scala 263:242] + node _T_38969 = bits(unshfl1_d, 25, 24) @[exu_mul_ctl.scala 263:263] + node _T_38970 = cat(_T_38968, _T_38969) @[Cat.scala 29:58] + node _T_38971 = bits(unshfl1_d, 31, 30) @[exu_mul_ctl.scala 263:242] + node _T_38972 = bits(unshfl1_d, 27, 26) @[exu_mul_ctl.scala 263:263] + node _T_38973 = cat(_T_38971, _T_38972) @[Cat.scala 29:58] + node _T_38974 = cat(_T_38973, _T_38970) @[Cat.scala 29:58] + node _T_38975 = cat(_T_38974, _T_38967) @[Cat.scala 29:58] + node _T_38976 = cat(_T_38975, _T_38964) @[Cat.scala 29:58] + node _T_38977 = cat(_T_38976, _T_38961) @[Cat.scala 29:58] + node _T_38978 = cat(_T_38977, _T_38958) @[Cat.scala 29:58] + node _T_38979 = cat(_T_38978, _T_38955) @[Cat.scala 29:58] + node _T_38980 = cat(_T_38979, _T_38952) @[Cat.scala 29:58] + node unshfl2_d = mux(_T_38949, _T_38980, unshfl1_d) @[exu_mul_ctl.scala 263:25] + node _T_38981 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 265:35] + node _T_38982 = bits(unshfl2_d, 11, 8) @[exu_mul_ctl.scala 265:85] + node _T_38983 = bits(unshfl2_d, 3, 0) @[exu_mul_ctl.scala 265:106] + node _T_38984 = cat(_T_38982, _T_38983) @[Cat.scala 29:58] + node _T_38985 = bits(unshfl2_d, 15, 12) @[exu_mul_ctl.scala 265:85] + node _T_38986 = bits(unshfl2_d, 7, 4) @[exu_mul_ctl.scala 265:106] + node _T_38987 = cat(_T_38985, _T_38986) @[Cat.scala 29:58] + node _T_38988 = bits(unshfl2_d, 27, 24) @[exu_mul_ctl.scala 265:133] + node _T_38989 = bits(unshfl2_d, 19, 16) @[exu_mul_ctl.scala 265:158] + node _T_38990 = cat(_T_38988, _T_38989) @[Cat.scala 29:58] + node _T_38991 = bits(unshfl2_d, 31, 28) @[exu_mul_ctl.scala 265:133] + node _T_38992 = bits(unshfl2_d, 23, 20) @[exu_mul_ctl.scala 265:158] + node _T_38993 = cat(_T_38991, _T_38992) @[Cat.scala 29:58] + node _T_38994 = cat(_T_38993, _T_38990) @[Cat.scala 29:58] + node _T_38995 = cat(_T_38994, _T_38987) @[Cat.scala 29:58] + node _T_38996 = cat(_T_38995, _T_38984) @[Cat.scala 29:58] + node unshfl4_d = mux(_T_38981, _T_38996, unshfl2_d) @[exu_mul_ctl.scala 265:25] + node _T_38997 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 267:35] + node _T_38998 = bits(unshfl4_d, 23, 16) @[exu_mul_ctl.scala 267:76] + node _T_38999 = bits(unshfl4_d, 7, 0) @[exu_mul_ctl.scala 267:99] + node _T_39000 = cat(_T_38998, _T_38999) @[Cat.scala 29:58] + node _T_39001 = bits(unshfl4_d, 31, 24) @[exu_mul_ctl.scala 267:76] + node _T_39002 = bits(unshfl4_d, 15, 8) @[exu_mul_ctl.scala 267:99] + node _T_39003 = cat(_T_39001, _T_39002) @[Cat.scala 29:58] + node _T_39004 = cat(_T_39003, _T_39000) @[Cat.scala 29:58] + node unshfl_d = mux(_T_38997, _T_39004, unshfl4_d) @[exu_mul_ctl.scala 267:25] + node _T_39005 = bits(io.rs2_in, 27, 24) @[exu_mul_ctl.scala 273:41] + node _T_39006 = eq(_T_39005, UInt<1>("h00")) @[exu_mul_ctl.scala 273:49] + node _T_39007 = bits(io.rs2_in, 27, 24) @[exu_mul_ctl.scala 273:66] + node bfp_len = cat(_T_39006, _T_39007) @[Cat.scala 29:58] + node bfp_off = bits(io.rs2_in, 20, 16) @[exu_mul_ctl.scala 274:32] + node bfp_len_mask_ = dshl(UInt<32>("h0ffffffff"), bfp_len) @[exu_mul_ctl.scala 276:49] + node _T_39008 = bits(io.rs2_in, 15, 0) @[exu_mul_ctl.scala 277:38] + node _T_39009 = bits(bfp_len_mask_, 15, 0) @[exu_mul_ctl.scala 277:61] + node _T_39010 = not(_T_39009) @[exu_mul_ctl.scala 277:47] + node bfp_preshift_data = and(_T_39008, _T_39010) @[exu_mul_ctl.scala 277:45] + node _T_39011 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_39012 = bits(bfp_preshift_data, 15, 0) @[exu_mul_ctl.scala 279:60] + node _T_39013 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_39014 = bits(bfp_preshift_data, 15, 0) @[exu_mul_ctl.scala 279:98] + node _T_39015 = cat(_T_39013, _T_39014) @[Cat.scala 29:58] + node _T_39016 = cat(_T_39011, _T_39012) @[Cat.scala 29:58] + node _T_39017 = cat(_T_39016, _T_39015) @[Cat.scala 29:58] + node bfp_shift_data = dshl(_T_39017, bfp_off) @[exu_mul_ctl.scala 279:107] + node _T_39018 = bits(bfp_len_mask_, 31, 0) @[exu_mul_ctl.scala 280:43] + node _T_39019 = bits(bfp_len_mask_, 31, 0) @[exu_mul_ctl.scala 280:64] + node _T_39020 = cat(_T_39018, _T_39019) @[Cat.scala 29:58] + node bfp_shift_mask = dshl(_T_39020, bfp_off) @[exu_mul_ctl.scala 280:73] + node _T_39021 = bits(bfp_shift_data, 63, 32) @[exu_mul_ctl.scala 282:40] + node _T_39022 = bits(bfp_shift_mask, 63, 32) @[exu_mul_ctl.scala 282:77] + node _T_39023 = and(io.rs1_in, _T_39022) @[exu_mul_ctl.scala 282:61] + node bfp_result_d = or(_T_39021, _T_39023) @[exu_mul_ctl.scala 282:48] + node _T_39024 = or(ap_crc32_b, ap_crc32_h) @[exu_mul_ctl.scala 312:45] + node _T_39025 = or(_T_39024, ap_crc32_w) @[exu_mul_ctl.scala 312:59] + node _T_39026 = or(_T_39025, ap_crc32c_b) @[exu_mul_ctl.scala 312:72] + node _T_39027 = or(_T_39026, ap_crc32c_h) @[exu_mul_ctl.scala 312:86] + node crc32_all = or(_T_39027, ap_crc32c_w) @[exu_mul_ctl.scala 312:100] + wire crc32_bd : UInt<32>[9] @[exu_mul_ctl.scala 318:34] + crc32_bd[0] <= io.rs1_in @[exu_mul_ctl.scala 319:15] + node _T_39028 = shr(crc32_bd[0], 1) @[exu_mul_ctl.scala 321:35] + node _T_39029 = bits(crc32_bd[0], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39030 = bits(_T_39029, 0, 0) @[Bitwise.scala 72:15] + node _T_39031 = mux(_T_39030, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39032 = and(UInt<32>("h0edb88320"), _T_39031) @[exu_mul_ctl.scala 321:59] + node _T_39033 = xor(_T_39028, _T_39032) @[exu_mul_ctl.scala 321:41] + crc32_bd[1] <= _T_39033 @[exu_mul_ctl.scala 321:17] + node _T_39034 = shr(crc32_bd[1], 1) @[exu_mul_ctl.scala 321:35] + node _T_39035 = bits(crc32_bd[1], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39036 = bits(_T_39035, 0, 0) @[Bitwise.scala 72:15] + node _T_39037 = mux(_T_39036, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39038 = and(UInt<32>("h0edb88320"), _T_39037) @[exu_mul_ctl.scala 321:59] + node _T_39039 = xor(_T_39034, _T_39038) @[exu_mul_ctl.scala 321:41] + crc32_bd[2] <= _T_39039 @[exu_mul_ctl.scala 321:17] + node _T_39040 = shr(crc32_bd[2], 1) @[exu_mul_ctl.scala 321:35] + node _T_39041 = bits(crc32_bd[2], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39042 = bits(_T_39041, 0, 0) @[Bitwise.scala 72:15] + node _T_39043 = mux(_T_39042, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39044 = and(UInt<32>("h0edb88320"), _T_39043) @[exu_mul_ctl.scala 321:59] + node _T_39045 = xor(_T_39040, _T_39044) @[exu_mul_ctl.scala 321:41] + crc32_bd[3] <= _T_39045 @[exu_mul_ctl.scala 321:17] + node _T_39046 = shr(crc32_bd[3], 1) @[exu_mul_ctl.scala 321:35] + node _T_39047 = bits(crc32_bd[3], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39048 = bits(_T_39047, 0, 0) @[Bitwise.scala 72:15] + node _T_39049 = mux(_T_39048, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39050 = and(UInt<32>("h0edb88320"), _T_39049) @[exu_mul_ctl.scala 321:59] + node _T_39051 = xor(_T_39046, _T_39050) @[exu_mul_ctl.scala 321:41] + crc32_bd[4] <= _T_39051 @[exu_mul_ctl.scala 321:17] + node _T_39052 = shr(crc32_bd[4], 1) @[exu_mul_ctl.scala 321:35] + node _T_39053 = bits(crc32_bd[4], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39054 = bits(_T_39053, 0, 0) @[Bitwise.scala 72:15] + node _T_39055 = mux(_T_39054, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39056 = and(UInt<32>("h0edb88320"), _T_39055) @[exu_mul_ctl.scala 321:59] + node _T_39057 = xor(_T_39052, _T_39056) @[exu_mul_ctl.scala 321:41] + crc32_bd[5] <= _T_39057 @[exu_mul_ctl.scala 321:17] + node _T_39058 = shr(crc32_bd[5], 1) @[exu_mul_ctl.scala 321:35] + node _T_39059 = bits(crc32_bd[5], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39060 = bits(_T_39059, 0, 0) @[Bitwise.scala 72:15] + node _T_39061 = mux(_T_39060, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39062 = and(UInt<32>("h0edb88320"), _T_39061) @[exu_mul_ctl.scala 321:59] + node _T_39063 = xor(_T_39058, _T_39062) @[exu_mul_ctl.scala 321:41] + crc32_bd[6] <= _T_39063 @[exu_mul_ctl.scala 321:17] + node _T_39064 = shr(crc32_bd[6], 1) @[exu_mul_ctl.scala 321:35] + node _T_39065 = bits(crc32_bd[6], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39066 = bits(_T_39065, 0, 0) @[Bitwise.scala 72:15] + node _T_39067 = mux(_T_39066, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39068 = and(UInt<32>("h0edb88320"), _T_39067) @[exu_mul_ctl.scala 321:59] + node _T_39069 = xor(_T_39064, _T_39068) @[exu_mul_ctl.scala 321:41] + crc32_bd[7] <= _T_39069 @[exu_mul_ctl.scala 321:17] + node _T_39070 = shr(crc32_bd[7], 1) @[exu_mul_ctl.scala 321:35] + node _T_39071 = bits(crc32_bd[7], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39072 = bits(_T_39071, 0, 0) @[Bitwise.scala 72:15] + node _T_39073 = mux(_T_39072, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39074 = and(UInt<32>("h0edb88320"), _T_39073) @[exu_mul_ctl.scala 321:59] + node _T_39075 = xor(_T_39070, _T_39074) @[exu_mul_ctl.scala 321:41] + crc32_bd[8] <= _T_39075 @[exu_mul_ctl.scala 321:17] + wire crc32_hd : UInt<32>[17] @[exu_mul_ctl.scala 324:34] + crc32_hd[0] <= io.rs1_in @[exu_mul_ctl.scala 325:15] + node _T_39076 = shr(crc32_hd[0], 1) @[exu_mul_ctl.scala 327:35] + node _T_39077 = bits(crc32_hd[0], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39078 = bits(_T_39077, 0, 0) @[Bitwise.scala 72:15] + node _T_39079 = mux(_T_39078, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39080 = and(UInt<32>("h0edb88320"), _T_39079) @[exu_mul_ctl.scala 327:59] + node _T_39081 = xor(_T_39076, _T_39080) @[exu_mul_ctl.scala 327:41] + crc32_hd[1] <= _T_39081 @[exu_mul_ctl.scala 327:17] + node _T_39082 = shr(crc32_hd[1], 1) @[exu_mul_ctl.scala 327:35] + node _T_39083 = bits(crc32_hd[1], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39084 = bits(_T_39083, 0, 0) @[Bitwise.scala 72:15] + node _T_39085 = mux(_T_39084, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39086 = and(UInt<32>("h0edb88320"), _T_39085) @[exu_mul_ctl.scala 327:59] + node _T_39087 = xor(_T_39082, _T_39086) @[exu_mul_ctl.scala 327:41] + crc32_hd[2] <= _T_39087 @[exu_mul_ctl.scala 327:17] + node _T_39088 = shr(crc32_hd[2], 1) @[exu_mul_ctl.scala 327:35] + node _T_39089 = bits(crc32_hd[2], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39090 = bits(_T_39089, 0, 0) @[Bitwise.scala 72:15] + node _T_39091 = mux(_T_39090, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39092 = and(UInt<32>("h0edb88320"), _T_39091) @[exu_mul_ctl.scala 327:59] + node _T_39093 = xor(_T_39088, _T_39092) @[exu_mul_ctl.scala 327:41] + crc32_hd[3] <= _T_39093 @[exu_mul_ctl.scala 327:17] + node _T_39094 = shr(crc32_hd[3], 1) @[exu_mul_ctl.scala 327:35] + node _T_39095 = bits(crc32_hd[3], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39096 = bits(_T_39095, 0, 0) @[Bitwise.scala 72:15] + node _T_39097 = mux(_T_39096, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39098 = and(UInt<32>("h0edb88320"), _T_39097) @[exu_mul_ctl.scala 327:59] + node _T_39099 = xor(_T_39094, _T_39098) @[exu_mul_ctl.scala 327:41] + crc32_hd[4] <= _T_39099 @[exu_mul_ctl.scala 327:17] + node _T_39100 = shr(crc32_hd[4], 1) @[exu_mul_ctl.scala 327:35] + node _T_39101 = bits(crc32_hd[4], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39102 = bits(_T_39101, 0, 0) @[Bitwise.scala 72:15] + node _T_39103 = mux(_T_39102, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39104 = and(UInt<32>("h0edb88320"), _T_39103) @[exu_mul_ctl.scala 327:59] + node _T_39105 = xor(_T_39100, _T_39104) @[exu_mul_ctl.scala 327:41] + crc32_hd[5] <= _T_39105 @[exu_mul_ctl.scala 327:17] + node _T_39106 = shr(crc32_hd[5], 1) @[exu_mul_ctl.scala 327:35] + node _T_39107 = bits(crc32_hd[5], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39108 = bits(_T_39107, 0, 0) @[Bitwise.scala 72:15] + node _T_39109 = mux(_T_39108, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39110 = and(UInt<32>("h0edb88320"), _T_39109) @[exu_mul_ctl.scala 327:59] + node _T_39111 = xor(_T_39106, _T_39110) @[exu_mul_ctl.scala 327:41] + crc32_hd[6] <= _T_39111 @[exu_mul_ctl.scala 327:17] + node _T_39112 = shr(crc32_hd[6], 1) @[exu_mul_ctl.scala 327:35] + node _T_39113 = bits(crc32_hd[6], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39114 = bits(_T_39113, 0, 0) @[Bitwise.scala 72:15] + node _T_39115 = mux(_T_39114, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39116 = and(UInt<32>("h0edb88320"), _T_39115) @[exu_mul_ctl.scala 327:59] + node _T_39117 = xor(_T_39112, _T_39116) @[exu_mul_ctl.scala 327:41] + crc32_hd[7] <= _T_39117 @[exu_mul_ctl.scala 327:17] + node _T_39118 = shr(crc32_hd[7], 1) @[exu_mul_ctl.scala 327:35] + node _T_39119 = bits(crc32_hd[7], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39120 = bits(_T_39119, 0, 0) @[Bitwise.scala 72:15] + node _T_39121 = mux(_T_39120, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39122 = and(UInt<32>("h0edb88320"), _T_39121) @[exu_mul_ctl.scala 327:59] + node _T_39123 = xor(_T_39118, _T_39122) @[exu_mul_ctl.scala 327:41] + crc32_hd[8] <= _T_39123 @[exu_mul_ctl.scala 327:17] + node _T_39124 = shr(crc32_hd[8], 1) @[exu_mul_ctl.scala 327:35] + node _T_39125 = bits(crc32_hd[8], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39126 = bits(_T_39125, 0, 0) @[Bitwise.scala 72:15] + node _T_39127 = mux(_T_39126, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39128 = and(UInt<32>("h0edb88320"), _T_39127) @[exu_mul_ctl.scala 327:59] + node _T_39129 = xor(_T_39124, _T_39128) @[exu_mul_ctl.scala 327:41] + crc32_hd[9] <= _T_39129 @[exu_mul_ctl.scala 327:17] + node _T_39130 = shr(crc32_hd[9], 1) @[exu_mul_ctl.scala 327:35] + node _T_39131 = bits(crc32_hd[9], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39132 = bits(_T_39131, 0, 0) @[Bitwise.scala 72:15] + node _T_39133 = mux(_T_39132, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39134 = and(UInt<32>("h0edb88320"), _T_39133) @[exu_mul_ctl.scala 327:59] + node _T_39135 = xor(_T_39130, _T_39134) @[exu_mul_ctl.scala 327:41] + crc32_hd[10] <= _T_39135 @[exu_mul_ctl.scala 327:17] + node _T_39136 = shr(crc32_hd[10], 1) @[exu_mul_ctl.scala 327:35] + node _T_39137 = bits(crc32_hd[10], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39138 = bits(_T_39137, 0, 0) @[Bitwise.scala 72:15] + node _T_39139 = mux(_T_39138, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39140 = and(UInt<32>("h0edb88320"), _T_39139) @[exu_mul_ctl.scala 327:59] + node _T_39141 = xor(_T_39136, _T_39140) @[exu_mul_ctl.scala 327:41] + crc32_hd[11] <= _T_39141 @[exu_mul_ctl.scala 327:17] + node _T_39142 = shr(crc32_hd[11], 1) @[exu_mul_ctl.scala 327:35] + node _T_39143 = bits(crc32_hd[11], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39144 = bits(_T_39143, 0, 0) @[Bitwise.scala 72:15] + node _T_39145 = mux(_T_39144, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39146 = and(UInt<32>("h0edb88320"), _T_39145) @[exu_mul_ctl.scala 327:59] + node _T_39147 = xor(_T_39142, _T_39146) @[exu_mul_ctl.scala 327:41] + crc32_hd[12] <= _T_39147 @[exu_mul_ctl.scala 327:17] + node _T_39148 = shr(crc32_hd[12], 1) @[exu_mul_ctl.scala 327:35] + node _T_39149 = bits(crc32_hd[12], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39150 = bits(_T_39149, 0, 0) @[Bitwise.scala 72:15] + node _T_39151 = mux(_T_39150, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39152 = and(UInt<32>("h0edb88320"), _T_39151) @[exu_mul_ctl.scala 327:59] + node _T_39153 = xor(_T_39148, _T_39152) @[exu_mul_ctl.scala 327:41] + crc32_hd[13] <= _T_39153 @[exu_mul_ctl.scala 327:17] + node _T_39154 = shr(crc32_hd[13], 1) @[exu_mul_ctl.scala 327:35] + node _T_39155 = bits(crc32_hd[13], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39156 = bits(_T_39155, 0, 0) @[Bitwise.scala 72:15] + node _T_39157 = mux(_T_39156, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39158 = and(UInt<32>("h0edb88320"), _T_39157) @[exu_mul_ctl.scala 327:59] + node _T_39159 = xor(_T_39154, _T_39158) @[exu_mul_ctl.scala 327:41] + crc32_hd[14] <= _T_39159 @[exu_mul_ctl.scala 327:17] + node _T_39160 = shr(crc32_hd[14], 1) @[exu_mul_ctl.scala 327:35] + node _T_39161 = bits(crc32_hd[14], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39162 = bits(_T_39161, 0, 0) @[Bitwise.scala 72:15] + node _T_39163 = mux(_T_39162, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39164 = and(UInt<32>("h0edb88320"), _T_39163) @[exu_mul_ctl.scala 327:59] + node _T_39165 = xor(_T_39160, _T_39164) @[exu_mul_ctl.scala 327:41] + crc32_hd[15] <= _T_39165 @[exu_mul_ctl.scala 327:17] + node _T_39166 = shr(crc32_hd[15], 1) @[exu_mul_ctl.scala 327:35] + node _T_39167 = bits(crc32_hd[15], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39168 = bits(_T_39167, 0, 0) @[Bitwise.scala 72:15] + node _T_39169 = mux(_T_39168, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39170 = and(UInt<32>("h0edb88320"), _T_39169) @[exu_mul_ctl.scala 327:59] + node _T_39171 = xor(_T_39166, _T_39170) @[exu_mul_ctl.scala 327:41] + crc32_hd[16] <= _T_39171 @[exu_mul_ctl.scala 327:17] + wire crc32_wd : UInt<32>[33] @[exu_mul_ctl.scala 330:34] + crc32_wd[0] <= io.rs1_in @[exu_mul_ctl.scala 331:15] + node _T_39172 = shr(crc32_wd[0], 1) @[exu_mul_ctl.scala 333:35] + node _T_39173 = bits(crc32_wd[0], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39174 = bits(_T_39173, 0, 0) @[Bitwise.scala 72:15] + node _T_39175 = mux(_T_39174, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39176 = and(UInt<32>("h0edb88320"), _T_39175) @[exu_mul_ctl.scala 333:59] + node _T_39177 = xor(_T_39172, _T_39176) @[exu_mul_ctl.scala 333:41] + crc32_wd[1] <= _T_39177 @[exu_mul_ctl.scala 333:17] + node _T_39178 = shr(crc32_wd[1], 1) @[exu_mul_ctl.scala 333:35] + node _T_39179 = bits(crc32_wd[1], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39180 = bits(_T_39179, 0, 0) @[Bitwise.scala 72:15] + node _T_39181 = mux(_T_39180, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39182 = and(UInt<32>("h0edb88320"), _T_39181) @[exu_mul_ctl.scala 333:59] + node _T_39183 = xor(_T_39178, _T_39182) @[exu_mul_ctl.scala 333:41] + crc32_wd[2] <= _T_39183 @[exu_mul_ctl.scala 333:17] + node _T_39184 = shr(crc32_wd[2], 1) @[exu_mul_ctl.scala 333:35] + node _T_39185 = bits(crc32_wd[2], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39186 = bits(_T_39185, 0, 0) @[Bitwise.scala 72:15] + node _T_39187 = mux(_T_39186, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39188 = and(UInt<32>("h0edb88320"), _T_39187) @[exu_mul_ctl.scala 333:59] + node _T_39189 = xor(_T_39184, _T_39188) @[exu_mul_ctl.scala 333:41] + crc32_wd[3] <= _T_39189 @[exu_mul_ctl.scala 333:17] + node _T_39190 = shr(crc32_wd[3], 1) @[exu_mul_ctl.scala 333:35] + node _T_39191 = bits(crc32_wd[3], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39192 = bits(_T_39191, 0, 0) @[Bitwise.scala 72:15] + node _T_39193 = mux(_T_39192, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39194 = and(UInt<32>("h0edb88320"), _T_39193) @[exu_mul_ctl.scala 333:59] + node _T_39195 = xor(_T_39190, _T_39194) @[exu_mul_ctl.scala 333:41] + crc32_wd[4] <= _T_39195 @[exu_mul_ctl.scala 333:17] + node _T_39196 = shr(crc32_wd[4], 1) @[exu_mul_ctl.scala 333:35] + node _T_39197 = bits(crc32_wd[4], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39198 = bits(_T_39197, 0, 0) @[Bitwise.scala 72:15] + node _T_39199 = mux(_T_39198, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39200 = and(UInt<32>("h0edb88320"), _T_39199) @[exu_mul_ctl.scala 333:59] + node _T_39201 = xor(_T_39196, _T_39200) @[exu_mul_ctl.scala 333:41] + crc32_wd[5] <= _T_39201 @[exu_mul_ctl.scala 333:17] + node _T_39202 = shr(crc32_wd[5], 1) @[exu_mul_ctl.scala 333:35] + node _T_39203 = bits(crc32_wd[5], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39204 = bits(_T_39203, 0, 0) @[Bitwise.scala 72:15] + node _T_39205 = mux(_T_39204, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39206 = and(UInt<32>("h0edb88320"), _T_39205) @[exu_mul_ctl.scala 333:59] + node _T_39207 = xor(_T_39202, _T_39206) @[exu_mul_ctl.scala 333:41] + crc32_wd[6] <= _T_39207 @[exu_mul_ctl.scala 333:17] + node _T_39208 = shr(crc32_wd[6], 1) @[exu_mul_ctl.scala 333:35] + node _T_39209 = bits(crc32_wd[6], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39210 = bits(_T_39209, 0, 0) @[Bitwise.scala 72:15] + node _T_39211 = mux(_T_39210, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39212 = and(UInt<32>("h0edb88320"), _T_39211) @[exu_mul_ctl.scala 333:59] + node _T_39213 = xor(_T_39208, _T_39212) @[exu_mul_ctl.scala 333:41] + crc32_wd[7] <= _T_39213 @[exu_mul_ctl.scala 333:17] + node _T_39214 = shr(crc32_wd[7], 1) @[exu_mul_ctl.scala 333:35] + node _T_39215 = bits(crc32_wd[7], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39216 = bits(_T_39215, 0, 0) @[Bitwise.scala 72:15] + node _T_39217 = mux(_T_39216, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39218 = and(UInt<32>("h0edb88320"), _T_39217) @[exu_mul_ctl.scala 333:59] + node _T_39219 = xor(_T_39214, _T_39218) @[exu_mul_ctl.scala 333:41] + crc32_wd[8] <= _T_39219 @[exu_mul_ctl.scala 333:17] + node _T_39220 = shr(crc32_wd[8], 1) @[exu_mul_ctl.scala 333:35] + node _T_39221 = bits(crc32_wd[8], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39222 = bits(_T_39221, 0, 0) @[Bitwise.scala 72:15] + node _T_39223 = mux(_T_39222, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39224 = and(UInt<32>("h0edb88320"), _T_39223) @[exu_mul_ctl.scala 333:59] + node _T_39225 = xor(_T_39220, _T_39224) @[exu_mul_ctl.scala 333:41] + crc32_wd[9] <= _T_39225 @[exu_mul_ctl.scala 333:17] + node _T_39226 = shr(crc32_wd[9], 1) @[exu_mul_ctl.scala 333:35] + node _T_39227 = bits(crc32_wd[9], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39228 = bits(_T_39227, 0, 0) @[Bitwise.scala 72:15] + node _T_39229 = mux(_T_39228, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39230 = and(UInt<32>("h0edb88320"), _T_39229) @[exu_mul_ctl.scala 333:59] + node _T_39231 = xor(_T_39226, _T_39230) @[exu_mul_ctl.scala 333:41] + crc32_wd[10] <= _T_39231 @[exu_mul_ctl.scala 333:17] + node _T_39232 = shr(crc32_wd[10], 1) @[exu_mul_ctl.scala 333:35] + node _T_39233 = bits(crc32_wd[10], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39234 = bits(_T_39233, 0, 0) @[Bitwise.scala 72:15] + node _T_39235 = mux(_T_39234, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39236 = and(UInt<32>("h0edb88320"), _T_39235) @[exu_mul_ctl.scala 333:59] + node _T_39237 = xor(_T_39232, _T_39236) @[exu_mul_ctl.scala 333:41] + crc32_wd[11] <= _T_39237 @[exu_mul_ctl.scala 333:17] + node _T_39238 = shr(crc32_wd[11], 1) @[exu_mul_ctl.scala 333:35] + node _T_39239 = bits(crc32_wd[11], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39240 = bits(_T_39239, 0, 0) @[Bitwise.scala 72:15] + node _T_39241 = mux(_T_39240, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39242 = and(UInt<32>("h0edb88320"), _T_39241) @[exu_mul_ctl.scala 333:59] + node _T_39243 = xor(_T_39238, _T_39242) @[exu_mul_ctl.scala 333:41] + crc32_wd[12] <= _T_39243 @[exu_mul_ctl.scala 333:17] + node _T_39244 = shr(crc32_wd[12], 1) @[exu_mul_ctl.scala 333:35] + node _T_39245 = bits(crc32_wd[12], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39246 = bits(_T_39245, 0, 0) @[Bitwise.scala 72:15] + node _T_39247 = mux(_T_39246, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39248 = and(UInt<32>("h0edb88320"), _T_39247) @[exu_mul_ctl.scala 333:59] + node _T_39249 = xor(_T_39244, _T_39248) @[exu_mul_ctl.scala 333:41] + crc32_wd[13] <= _T_39249 @[exu_mul_ctl.scala 333:17] + node _T_39250 = shr(crc32_wd[13], 1) @[exu_mul_ctl.scala 333:35] + node _T_39251 = bits(crc32_wd[13], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39252 = bits(_T_39251, 0, 0) @[Bitwise.scala 72:15] + node _T_39253 = mux(_T_39252, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39254 = and(UInt<32>("h0edb88320"), _T_39253) @[exu_mul_ctl.scala 333:59] + node _T_39255 = xor(_T_39250, _T_39254) @[exu_mul_ctl.scala 333:41] + crc32_wd[14] <= _T_39255 @[exu_mul_ctl.scala 333:17] + node _T_39256 = shr(crc32_wd[14], 1) @[exu_mul_ctl.scala 333:35] + node _T_39257 = bits(crc32_wd[14], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39258 = bits(_T_39257, 0, 0) @[Bitwise.scala 72:15] + node _T_39259 = mux(_T_39258, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39260 = and(UInt<32>("h0edb88320"), _T_39259) @[exu_mul_ctl.scala 333:59] + node _T_39261 = xor(_T_39256, _T_39260) @[exu_mul_ctl.scala 333:41] + crc32_wd[15] <= _T_39261 @[exu_mul_ctl.scala 333:17] + node _T_39262 = shr(crc32_wd[15], 1) @[exu_mul_ctl.scala 333:35] + node _T_39263 = bits(crc32_wd[15], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39264 = bits(_T_39263, 0, 0) @[Bitwise.scala 72:15] + node _T_39265 = mux(_T_39264, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39266 = and(UInt<32>("h0edb88320"), _T_39265) @[exu_mul_ctl.scala 333:59] + node _T_39267 = xor(_T_39262, _T_39266) @[exu_mul_ctl.scala 333:41] + crc32_wd[16] <= _T_39267 @[exu_mul_ctl.scala 333:17] + node _T_39268 = shr(crc32_wd[16], 1) @[exu_mul_ctl.scala 333:35] + node _T_39269 = bits(crc32_wd[16], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39270 = bits(_T_39269, 0, 0) @[Bitwise.scala 72:15] + node _T_39271 = mux(_T_39270, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39272 = and(UInt<32>("h0edb88320"), _T_39271) @[exu_mul_ctl.scala 333:59] + node _T_39273 = xor(_T_39268, _T_39272) @[exu_mul_ctl.scala 333:41] + crc32_wd[17] <= _T_39273 @[exu_mul_ctl.scala 333:17] + node _T_39274 = shr(crc32_wd[17], 1) @[exu_mul_ctl.scala 333:35] + node _T_39275 = bits(crc32_wd[17], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39276 = bits(_T_39275, 0, 0) @[Bitwise.scala 72:15] + node _T_39277 = mux(_T_39276, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39278 = and(UInt<32>("h0edb88320"), _T_39277) @[exu_mul_ctl.scala 333:59] + node _T_39279 = xor(_T_39274, _T_39278) @[exu_mul_ctl.scala 333:41] + crc32_wd[18] <= _T_39279 @[exu_mul_ctl.scala 333:17] + node _T_39280 = shr(crc32_wd[18], 1) @[exu_mul_ctl.scala 333:35] + node _T_39281 = bits(crc32_wd[18], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39282 = bits(_T_39281, 0, 0) @[Bitwise.scala 72:15] + node _T_39283 = mux(_T_39282, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39284 = and(UInt<32>("h0edb88320"), _T_39283) @[exu_mul_ctl.scala 333:59] + node _T_39285 = xor(_T_39280, _T_39284) @[exu_mul_ctl.scala 333:41] + crc32_wd[19] <= _T_39285 @[exu_mul_ctl.scala 333:17] + node _T_39286 = shr(crc32_wd[19], 1) @[exu_mul_ctl.scala 333:35] + node _T_39287 = bits(crc32_wd[19], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39288 = bits(_T_39287, 0, 0) @[Bitwise.scala 72:15] + node _T_39289 = mux(_T_39288, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39290 = and(UInt<32>("h0edb88320"), _T_39289) @[exu_mul_ctl.scala 333:59] + node _T_39291 = xor(_T_39286, _T_39290) @[exu_mul_ctl.scala 333:41] + crc32_wd[20] <= _T_39291 @[exu_mul_ctl.scala 333:17] + node _T_39292 = shr(crc32_wd[20], 1) @[exu_mul_ctl.scala 333:35] + node _T_39293 = bits(crc32_wd[20], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39294 = bits(_T_39293, 0, 0) @[Bitwise.scala 72:15] + node _T_39295 = mux(_T_39294, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39296 = and(UInt<32>("h0edb88320"), _T_39295) @[exu_mul_ctl.scala 333:59] + node _T_39297 = xor(_T_39292, _T_39296) @[exu_mul_ctl.scala 333:41] + crc32_wd[21] <= _T_39297 @[exu_mul_ctl.scala 333:17] + node _T_39298 = shr(crc32_wd[21], 1) @[exu_mul_ctl.scala 333:35] + node _T_39299 = bits(crc32_wd[21], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39300 = bits(_T_39299, 0, 0) @[Bitwise.scala 72:15] + node _T_39301 = mux(_T_39300, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39302 = and(UInt<32>("h0edb88320"), _T_39301) @[exu_mul_ctl.scala 333:59] + node _T_39303 = xor(_T_39298, _T_39302) @[exu_mul_ctl.scala 333:41] + crc32_wd[22] <= _T_39303 @[exu_mul_ctl.scala 333:17] + node _T_39304 = shr(crc32_wd[22], 1) @[exu_mul_ctl.scala 333:35] + node _T_39305 = bits(crc32_wd[22], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39306 = bits(_T_39305, 0, 0) @[Bitwise.scala 72:15] + node _T_39307 = mux(_T_39306, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39308 = and(UInt<32>("h0edb88320"), _T_39307) @[exu_mul_ctl.scala 333:59] + node _T_39309 = xor(_T_39304, _T_39308) @[exu_mul_ctl.scala 333:41] + crc32_wd[23] <= _T_39309 @[exu_mul_ctl.scala 333:17] + node _T_39310 = shr(crc32_wd[23], 1) @[exu_mul_ctl.scala 333:35] + node _T_39311 = bits(crc32_wd[23], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39312 = bits(_T_39311, 0, 0) @[Bitwise.scala 72:15] + node _T_39313 = mux(_T_39312, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39314 = and(UInt<32>("h0edb88320"), _T_39313) @[exu_mul_ctl.scala 333:59] + node _T_39315 = xor(_T_39310, _T_39314) @[exu_mul_ctl.scala 333:41] + crc32_wd[24] <= _T_39315 @[exu_mul_ctl.scala 333:17] + node _T_39316 = shr(crc32_wd[24], 1) @[exu_mul_ctl.scala 333:35] + node _T_39317 = bits(crc32_wd[24], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39318 = bits(_T_39317, 0, 0) @[Bitwise.scala 72:15] + node _T_39319 = mux(_T_39318, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39320 = and(UInt<32>("h0edb88320"), _T_39319) @[exu_mul_ctl.scala 333:59] + node _T_39321 = xor(_T_39316, _T_39320) @[exu_mul_ctl.scala 333:41] + crc32_wd[25] <= _T_39321 @[exu_mul_ctl.scala 333:17] + node _T_39322 = shr(crc32_wd[25], 1) @[exu_mul_ctl.scala 333:35] + node _T_39323 = bits(crc32_wd[25], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39324 = bits(_T_39323, 0, 0) @[Bitwise.scala 72:15] + node _T_39325 = mux(_T_39324, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39326 = and(UInt<32>("h0edb88320"), _T_39325) @[exu_mul_ctl.scala 333:59] + node _T_39327 = xor(_T_39322, _T_39326) @[exu_mul_ctl.scala 333:41] + crc32_wd[26] <= _T_39327 @[exu_mul_ctl.scala 333:17] + node _T_39328 = shr(crc32_wd[26], 1) @[exu_mul_ctl.scala 333:35] + node _T_39329 = bits(crc32_wd[26], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39330 = bits(_T_39329, 0, 0) @[Bitwise.scala 72:15] + node _T_39331 = mux(_T_39330, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39332 = and(UInt<32>("h0edb88320"), _T_39331) @[exu_mul_ctl.scala 333:59] + node _T_39333 = xor(_T_39328, _T_39332) @[exu_mul_ctl.scala 333:41] + crc32_wd[27] <= _T_39333 @[exu_mul_ctl.scala 333:17] + node _T_39334 = shr(crc32_wd[27], 1) @[exu_mul_ctl.scala 333:35] + node _T_39335 = bits(crc32_wd[27], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39336 = bits(_T_39335, 0, 0) @[Bitwise.scala 72:15] + node _T_39337 = mux(_T_39336, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39338 = and(UInt<32>("h0edb88320"), _T_39337) @[exu_mul_ctl.scala 333:59] + node _T_39339 = xor(_T_39334, _T_39338) @[exu_mul_ctl.scala 333:41] + crc32_wd[28] <= _T_39339 @[exu_mul_ctl.scala 333:17] + node _T_39340 = shr(crc32_wd[28], 1) @[exu_mul_ctl.scala 333:35] + node _T_39341 = bits(crc32_wd[28], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39342 = bits(_T_39341, 0, 0) @[Bitwise.scala 72:15] + node _T_39343 = mux(_T_39342, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39344 = and(UInt<32>("h0edb88320"), _T_39343) @[exu_mul_ctl.scala 333:59] + node _T_39345 = xor(_T_39340, _T_39344) @[exu_mul_ctl.scala 333:41] + crc32_wd[29] <= _T_39345 @[exu_mul_ctl.scala 333:17] + node _T_39346 = shr(crc32_wd[29], 1) @[exu_mul_ctl.scala 333:35] + node _T_39347 = bits(crc32_wd[29], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39348 = bits(_T_39347, 0, 0) @[Bitwise.scala 72:15] + node _T_39349 = mux(_T_39348, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39350 = and(UInt<32>("h0edb88320"), _T_39349) @[exu_mul_ctl.scala 333:59] + node _T_39351 = xor(_T_39346, _T_39350) @[exu_mul_ctl.scala 333:41] + crc32_wd[30] <= _T_39351 @[exu_mul_ctl.scala 333:17] + node _T_39352 = shr(crc32_wd[30], 1) @[exu_mul_ctl.scala 333:35] + node _T_39353 = bits(crc32_wd[30], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39354 = bits(_T_39353, 0, 0) @[Bitwise.scala 72:15] + node _T_39355 = mux(_T_39354, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39356 = and(UInt<32>("h0edb88320"), _T_39355) @[exu_mul_ctl.scala 333:59] + node _T_39357 = xor(_T_39352, _T_39356) @[exu_mul_ctl.scala 333:41] + crc32_wd[31] <= _T_39357 @[exu_mul_ctl.scala 333:17] + node _T_39358 = shr(crc32_wd[31], 1) @[exu_mul_ctl.scala 333:35] + node _T_39359 = bits(crc32_wd[31], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39360 = bits(_T_39359, 0, 0) @[Bitwise.scala 72:15] + node _T_39361 = mux(_T_39360, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39362 = and(UInt<32>("h0edb88320"), _T_39361) @[exu_mul_ctl.scala 333:59] + node _T_39363 = xor(_T_39358, _T_39362) @[exu_mul_ctl.scala 333:41] + crc32_wd[32] <= _T_39363 @[exu_mul_ctl.scala 333:17] + wire crc32c_bd : UInt<32>[9] @[exu_mul_ctl.scala 337:35] + crc32c_bd[0] <= io.rs1_in @[exu_mul_ctl.scala 338:16] + node _T_39364 = shr(crc32c_bd[0], 1) @[exu_mul_ctl.scala 340:37] + node _T_39365 = bits(crc32c_bd[0], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39366 = bits(_T_39365, 0, 0) @[Bitwise.scala 72:15] + node _T_39367 = mux(_T_39366, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39368 = and(UInt<32>("h082f63b78"), _T_39367) @[exu_mul_ctl.scala 340:62] + node _T_39369 = xor(_T_39364, _T_39368) @[exu_mul_ctl.scala 340:43] + crc32c_bd[1] <= _T_39369 @[exu_mul_ctl.scala 340:18] + node _T_39370 = shr(crc32c_bd[1], 1) @[exu_mul_ctl.scala 340:37] + node _T_39371 = bits(crc32c_bd[1], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39372 = bits(_T_39371, 0, 0) @[Bitwise.scala 72:15] + node _T_39373 = mux(_T_39372, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39374 = and(UInt<32>("h082f63b78"), _T_39373) @[exu_mul_ctl.scala 340:62] + node _T_39375 = xor(_T_39370, _T_39374) @[exu_mul_ctl.scala 340:43] + crc32c_bd[2] <= _T_39375 @[exu_mul_ctl.scala 340:18] + node _T_39376 = shr(crc32c_bd[2], 1) @[exu_mul_ctl.scala 340:37] + node _T_39377 = bits(crc32c_bd[2], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39378 = bits(_T_39377, 0, 0) @[Bitwise.scala 72:15] + node _T_39379 = mux(_T_39378, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39380 = and(UInt<32>("h082f63b78"), _T_39379) @[exu_mul_ctl.scala 340:62] + node _T_39381 = xor(_T_39376, _T_39380) @[exu_mul_ctl.scala 340:43] + crc32c_bd[3] <= _T_39381 @[exu_mul_ctl.scala 340:18] + node _T_39382 = shr(crc32c_bd[3], 1) @[exu_mul_ctl.scala 340:37] + node _T_39383 = bits(crc32c_bd[3], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39384 = bits(_T_39383, 0, 0) @[Bitwise.scala 72:15] + node _T_39385 = mux(_T_39384, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39386 = and(UInt<32>("h082f63b78"), _T_39385) @[exu_mul_ctl.scala 340:62] + node _T_39387 = xor(_T_39382, _T_39386) @[exu_mul_ctl.scala 340:43] + crc32c_bd[4] <= _T_39387 @[exu_mul_ctl.scala 340:18] + node _T_39388 = shr(crc32c_bd[4], 1) @[exu_mul_ctl.scala 340:37] + node _T_39389 = bits(crc32c_bd[4], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39390 = bits(_T_39389, 0, 0) @[Bitwise.scala 72:15] + node _T_39391 = mux(_T_39390, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39392 = and(UInt<32>("h082f63b78"), _T_39391) @[exu_mul_ctl.scala 340:62] + node _T_39393 = xor(_T_39388, _T_39392) @[exu_mul_ctl.scala 340:43] + crc32c_bd[5] <= _T_39393 @[exu_mul_ctl.scala 340:18] + node _T_39394 = shr(crc32c_bd[5], 1) @[exu_mul_ctl.scala 340:37] + node _T_39395 = bits(crc32c_bd[5], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39396 = bits(_T_39395, 0, 0) @[Bitwise.scala 72:15] + node _T_39397 = mux(_T_39396, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39398 = and(UInt<32>("h082f63b78"), _T_39397) @[exu_mul_ctl.scala 340:62] + node _T_39399 = xor(_T_39394, _T_39398) @[exu_mul_ctl.scala 340:43] + crc32c_bd[6] <= _T_39399 @[exu_mul_ctl.scala 340:18] + node _T_39400 = shr(crc32c_bd[6], 1) @[exu_mul_ctl.scala 340:37] + node _T_39401 = bits(crc32c_bd[6], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39402 = bits(_T_39401, 0, 0) @[Bitwise.scala 72:15] + node _T_39403 = mux(_T_39402, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39404 = and(UInt<32>("h082f63b78"), _T_39403) @[exu_mul_ctl.scala 340:62] + node _T_39405 = xor(_T_39400, _T_39404) @[exu_mul_ctl.scala 340:43] + crc32c_bd[7] <= _T_39405 @[exu_mul_ctl.scala 340:18] + node _T_39406 = shr(crc32c_bd[7], 1) @[exu_mul_ctl.scala 340:37] + node _T_39407 = bits(crc32c_bd[7], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39408 = bits(_T_39407, 0, 0) @[Bitwise.scala 72:15] + node _T_39409 = mux(_T_39408, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39410 = and(UInt<32>("h082f63b78"), _T_39409) @[exu_mul_ctl.scala 340:62] + node _T_39411 = xor(_T_39406, _T_39410) @[exu_mul_ctl.scala 340:43] + crc32c_bd[8] <= _T_39411 @[exu_mul_ctl.scala 340:18] + wire crc32c_hd : UInt<32>[17] @[exu_mul_ctl.scala 344:35] + crc32c_hd[0] <= io.rs1_in @[exu_mul_ctl.scala 345:16] + node _T_39412 = shr(crc32c_hd[0], 1) @[exu_mul_ctl.scala 347:37] + node _T_39413 = bits(crc32c_hd[0], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39414 = bits(_T_39413, 0, 0) @[Bitwise.scala 72:15] + node _T_39415 = mux(_T_39414, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39416 = and(UInt<32>("h082f63b78"), _T_39415) @[exu_mul_ctl.scala 347:62] + node _T_39417 = xor(_T_39412, _T_39416) @[exu_mul_ctl.scala 347:43] + crc32c_hd[1] <= _T_39417 @[exu_mul_ctl.scala 347:18] + node _T_39418 = shr(crc32c_hd[1], 1) @[exu_mul_ctl.scala 347:37] + node _T_39419 = bits(crc32c_hd[1], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39420 = bits(_T_39419, 0, 0) @[Bitwise.scala 72:15] + node _T_39421 = mux(_T_39420, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39422 = and(UInt<32>("h082f63b78"), _T_39421) @[exu_mul_ctl.scala 347:62] + node _T_39423 = xor(_T_39418, _T_39422) @[exu_mul_ctl.scala 347:43] + crc32c_hd[2] <= _T_39423 @[exu_mul_ctl.scala 347:18] + node _T_39424 = shr(crc32c_hd[2], 1) @[exu_mul_ctl.scala 347:37] + node _T_39425 = bits(crc32c_hd[2], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39426 = bits(_T_39425, 0, 0) @[Bitwise.scala 72:15] + node _T_39427 = mux(_T_39426, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39428 = and(UInt<32>("h082f63b78"), _T_39427) @[exu_mul_ctl.scala 347:62] + node _T_39429 = xor(_T_39424, _T_39428) @[exu_mul_ctl.scala 347:43] + crc32c_hd[3] <= _T_39429 @[exu_mul_ctl.scala 347:18] + node _T_39430 = shr(crc32c_hd[3], 1) @[exu_mul_ctl.scala 347:37] + node _T_39431 = bits(crc32c_hd[3], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39432 = bits(_T_39431, 0, 0) @[Bitwise.scala 72:15] + node _T_39433 = mux(_T_39432, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39434 = and(UInt<32>("h082f63b78"), _T_39433) @[exu_mul_ctl.scala 347:62] + node _T_39435 = xor(_T_39430, _T_39434) @[exu_mul_ctl.scala 347:43] + crc32c_hd[4] <= _T_39435 @[exu_mul_ctl.scala 347:18] + node _T_39436 = shr(crc32c_hd[4], 1) @[exu_mul_ctl.scala 347:37] + node _T_39437 = bits(crc32c_hd[4], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39438 = bits(_T_39437, 0, 0) @[Bitwise.scala 72:15] + node _T_39439 = mux(_T_39438, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39440 = and(UInt<32>("h082f63b78"), _T_39439) @[exu_mul_ctl.scala 347:62] + node _T_39441 = xor(_T_39436, _T_39440) @[exu_mul_ctl.scala 347:43] + crc32c_hd[5] <= _T_39441 @[exu_mul_ctl.scala 347:18] + node _T_39442 = shr(crc32c_hd[5], 1) @[exu_mul_ctl.scala 347:37] + node _T_39443 = bits(crc32c_hd[5], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39444 = bits(_T_39443, 0, 0) @[Bitwise.scala 72:15] + node _T_39445 = mux(_T_39444, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39446 = and(UInt<32>("h082f63b78"), _T_39445) @[exu_mul_ctl.scala 347:62] + node _T_39447 = xor(_T_39442, _T_39446) @[exu_mul_ctl.scala 347:43] + crc32c_hd[6] <= _T_39447 @[exu_mul_ctl.scala 347:18] + node _T_39448 = shr(crc32c_hd[6], 1) @[exu_mul_ctl.scala 347:37] + node _T_39449 = bits(crc32c_hd[6], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39450 = bits(_T_39449, 0, 0) @[Bitwise.scala 72:15] + node _T_39451 = mux(_T_39450, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39452 = and(UInt<32>("h082f63b78"), _T_39451) @[exu_mul_ctl.scala 347:62] + node _T_39453 = xor(_T_39448, _T_39452) @[exu_mul_ctl.scala 347:43] + crc32c_hd[7] <= _T_39453 @[exu_mul_ctl.scala 347:18] + node _T_39454 = shr(crc32c_hd[7], 1) @[exu_mul_ctl.scala 347:37] + node _T_39455 = bits(crc32c_hd[7], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39456 = bits(_T_39455, 0, 0) @[Bitwise.scala 72:15] + node _T_39457 = mux(_T_39456, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39458 = and(UInt<32>("h082f63b78"), _T_39457) @[exu_mul_ctl.scala 347:62] + node _T_39459 = xor(_T_39454, _T_39458) @[exu_mul_ctl.scala 347:43] + crc32c_hd[8] <= _T_39459 @[exu_mul_ctl.scala 347:18] + node _T_39460 = shr(crc32c_hd[8], 1) @[exu_mul_ctl.scala 347:37] + node _T_39461 = bits(crc32c_hd[8], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39462 = bits(_T_39461, 0, 0) @[Bitwise.scala 72:15] + node _T_39463 = mux(_T_39462, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39464 = and(UInt<32>("h082f63b78"), _T_39463) @[exu_mul_ctl.scala 347:62] + node _T_39465 = xor(_T_39460, _T_39464) @[exu_mul_ctl.scala 347:43] + crc32c_hd[9] <= _T_39465 @[exu_mul_ctl.scala 347:18] + node _T_39466 = shr(crc32c_hd[9], 1) @[exu_mul_ctl.scala 347:37] + node _T_39467 = bits(crc32c_hd[9], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39468 = bits(_T_39467, 0, 0) @[Bitwise.scala 72:15] + node _T_39469 = mux(_T_39468, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39470 = and(UInt<32>("h082f63b78"), _T_39469) @[exu_mul_ctl.scala 347:62] + node _T_39471 = xor(_T_39466, _T_39470) @[exu_mul_ctl.scala 347:43] + crc32c_hd[10] <= _T_39471 @[exu_mul_ctl.scala 347:18] + node _T_39472 = shr(crc32c_hd[10], 1) @[exu_mul_ctl.scala 347:37] + node _T_39473 = bits(crc32c_hd[10], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39474 = bits(_T_39473, 0, 0) @[Bitwise.scala 72:15] + node _T_39475 = mux(_T_39474, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39476 = and(UInt<32>("h082f63b78"), _T_39475) @[exu_mul_ctl.scala 347:62] + node _T_39477 = xor(_T_39472, _T_39476) @[exu_mul_ctl.scala 347:43] + crc32c_hd[11] <= _T_39477 @[exu_mul_ctl.scala 347:18] + node _T_39478 = shr(crc32c_hd[11], 1) @[exu_mul_ctl.scala 347:37] + node _T_39479 = bits(crc32c_hd[11], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39480 = bits(_T_39479, 0, 0) @[Bitwise.scala 72:15] + node _T_39481 = mux(_T_39480, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39482 = and(UInt<32>("h082f63b78"), _T_39481) @[exu_mul_ctl.scala 347:62] + node _T_39483 = xor(_T_39478, _T_39482) @[exu_mul_ctl.scala 347:43] + crc32c_hd[12] <= _T_39483 @[exu_mul_ctl.scala 347:18] + node _T_39484 = shr(crc32c_hd[12], 1) @[exu_mul_ctl.scala 347:37] + node _T_39485 = bits(crc32c_hd[12], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39486 = bits(_T_39485, 0, 0) @[Bitwise.scala 72:15] + node _T_39487 = mux(_T_39486, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39488 = and(UInt<32>("h082f63b78"), _T_39487) @[exu_mul_ctl.scala 347:62] + node _T_39489 = xor(_T_39484, _T_39488) @[exu_mul_ctl.scala 347:43] + crc32c_hd[13] <= _T_39489 @[exu_mul_ctl.scala 347:18] + node _T_39490 = shr(crc32c_hd[13], 1) @[exu_mul_ctl.scala 347:37] + node _T_39491 = bits(crc32c_hd[13], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39492 = bits(_T_39491, 0, 0) @[Bitwise.scala 72:15] + node _T_39493 = mux(_T_39492, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39494 = and(UInt<32>("h082f63b78"), _T_39493) @[exu_mul_ctl.scala 347:62] + node _T_39495 = xor(_T_39490, _T_39494) @[exu_mul_ctl.scala 347:43] + crc32c_hd[14] <= _T_39495 @[exu_mul_ctl.scala 347:18] + node _T_39496 = shr(crc32c_hd[14], 1) @[exu_mul_ctl.scala 347:37] + node _T_39497 = bits(crc32c_hd[14], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39498 = bits(_T_39497, 0, 0) @[Bitwise.scala 72:15] + node _T_39499 = mux(_T_39498, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39500 = and(UInt<32>("h082f63b78"), _T_39499) @[exu_mul_ctl.scala 347:62] + node _T_39501 = xor(_T_39496, _T_39500) @[exu_mul_ctl.scala 347:43] + crc32c_hd[15] <= _T_39501 @[exu_mul_ctl.scala 347:18] + node _T_39502 = shr(crc32c_hd[15], 1) @[exu_mul_ctl.scala 347:37] + node _T_39503 = bits(crc32c_hd[15], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39504 = bits(_T_39503, 0, 0) @[Bitwise.scala 72:15] + node _T_39505 = mux(_T_39504, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39506 = and(UInt<32>("h082f63b78"), _T_39505) @[exu_mul_ctl.scala 347:62] + node _T_39507 = xor(_T_39502, _T_39506) @[exu_mul_ctl.scala 347:43] + crc32c_hd[16] <= _T_39507 @[exu_mul_ctl.scala 347:18] + wire crc32c_wd : UInt<32>[33] @[exu_mul_ctl.scala 351:35] + crc32c_wd[0] <= io.rs1_in @[exu_mul_ctl.scala 352:16] + node _T_39508 = shr(crc32c_wd[0], 1) @[exu_mul_ctl.scala 354:37] + node _T_39509 = bits(crc32c_wd[0], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39510 = bits(_T_39509, 0, 0) @[Bitwise.scala 72:15] + node _T_39511 = mux(_T_39510, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39512 = and(UInt<32>("h082f63b78"), _T_39511) @[exu_mul_ctl.scala 354:62] + node _T_39513 = xor(_T_39508, _T_39512) @[exu_mul_ctl.scala 354:43] + crc32c_wd[1] <= _T_39513 @[exu_mul_ctl.scala 354:18] + node _T_39514 = shr(crc32c_wd[1], 1) @[exu_mul_ctl.scala 354:37] + node _T_39515 = bits(crc32c_wd[1], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39516 = bits(_T_39515, 0, 0) @[Bitwise.scala 72:15] + node _T_39517 = mux(_T_39516, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39518 = and(UInt<32>("h082f63b78"), _T_39517) @[exu_mul_ctl.scala 354:62] + node _T_39519 = xor(_T_39514, _T_39518) @[exu_mul_ctl.scala 354:43] + crc32c_wd[2] <= _T_39519 @[exu_mul_ctl.scala 354:18] + node _T_39520 = shr(crc32c_wd[2], 1) @[exu_mul_ctl.scala 354:37] + node _T_39521 = bits(crc32c_wd[2], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39522 = bits(_T_39521, 0, 0) @[Bitwise.scala 72:15] + node _T_39523 = mux(_T_39522, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39524 = and(UInt<32>("h082f63b78"), _T_39523) @[exu_mul_ctl.scala 354:62] + node _T_39525 = xor(_T_39520, _T_39524) @[exu_mul_ctl.scala 354:43] + crc32c_wd[3] <= _T_39525 @[exu_mul_ctl.scala 354:18] + node _T_39526 = shr(crc32c_wd[3], 1) @[exu_mul_ctl.scala 354:37] + node _T_39527 = bits(crc32c_wd[3], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39528 = bits(_T_39527, 0, 0) @[Bitwise.scala 72:15] + node _T_39529 = mux(_T_39528, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39530 = and(UInt<32>("h082f63b78"), _T_39529) @[exu_mul_ctl.scala 354:62] + node _T_39531 = xor(_T_39526, _T_39530) @[exu_mul_ctl.scala 354:43] + crc32c_wd[4] <= _T_39531 @[exu_mul_ctl.scala 354:18] + node _T_39532 = shr(crc32c_wd[4], 1) @[exu_mul_ctl.scala 354:37] + node _T_39533 = bits(crc32c_wd[4], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39534 = bits(_T_39533, 0, 0) @[Bitwise.scala 72:15] + node _T_39535 = mux(_T_39534, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39536 = and(UInt<32>("h082f63b78"), _T_39535) @[exu_mul_ctl.scala 354:62] + node _T_39537 = xor(_T_39532, _T_39536) @[exu_mul_ctl.scala 354:43] + crc32c_wd[5] <= _T_39537 @[exu_mul_ctl.scala 354:18] + node _T_39538 = shr(crc32c_wd[5], 1) @[exu_mul_ctl.scala 354:37] + node _T_39539 = bits(crc32c_wd[5], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39540 = bits(_T_39539, 0, 0) @[Bitwise.scala 72:15] + node _T_39541 = mux(_T_39540, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39542 = and(UInt<32>("h082f63b78"), _T_39541) @[exu_mul_ctl.scala 354:62] + node _T_39543 = xor(_T_39538, _T_39542) @[exu_mul_ctl.scala 354:43] + crc32c_wd[6] <= _T_39543 @[exu_mul_ctl.scala 354:18] + node _T_39544 = shr(crc32c_wd[6], 1) @[exu_mul_ctl.scala 354:37] + node _T_39545 = bits(crc32c_wd[6], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39546 = bits(_T_39545, 0, 0) @[Bitwise.scala 72:15] + node _T_39547 = mux(_T_39546, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39548 = and(UInt<32>("h082f63b78"), _T_39547) @[exu_mul_ctl.scala 354:62] + node _T_39549 = xor(_T_39544, _T_39548) @[exu_mul_ctl.scala 354:43] + crc32c_wd[7] <= _T_39549 @[exu_mul_ctl.scala 354:18] + node _T_39550 = shr(crc32c_wd[7], 1) @[exu_mul_ctl.scala 354:37] + node _T_39551 = bits(crc32c_wd[7], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39552 = bits(_T_39551, 0, 0) @[Bitwise.scala 72:15] + node _T_39553 = mux(_T_39552, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39554 = and(UInt<32>("h082f63b78"), _T_39553) @[exu_mul_ctl.scala 354:62] + node _T_39555 = xor(_T_39550, _T_39554) @[exu_mul_ctl.scala 354:43] + crc32c_wd[8] <= _T_39555 @[exu_mul_ctl.scala 354:18] + node _T_39556 = shr(crc32c_wd[8], 1) @[exu_mul_ctl.scala 354:37] + node _T_39557 = bits(crc32c_wd[8], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39558 = bits(_T_39557, 0, 0) @[Bitwise.scala 72:15] + node _T_39559 = mux(_T_39558, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39560 = and(UInt<32>("h082f63b78"), _T_39559) @[exu_mul_ctl.scala 354:62] + node _T_39561 = xor(_T_39556, _T_39560) @[exu_mul_ctl.scala 354:43] + crc32c_wd[9] <= _T_39561 @[exu_mul_ctl.scala 354:18] + node _T_39562 = shr(crc32c_wd[9], 1) @[exu_mul_ctl.scala 354:37] + node _T_39563 = bits(crc32c_wd[9], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39564 = bits(_T_39563, 0, 0) @[Bitwise.scala 72:15] + node _T_39565 = mux(_T_39564, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39566 = and(UInt<32>("h082f63b78"), _T_39565) @[exu_mul_ctl.scala 354:62] + node _T_39567 = xor(_T_39562, _T_39566) @[exu_mul_ctl.scala 354:43] + crc32c_wd[10] <= _T_39567 @[exu_mul_ctl.scala 354:18] + node _T_39568 = shr(crc32c_wd[10], 1) @[exu_mul_ctl.scala 354:37] + node _T_39569 = bits(crc32c_wd[10], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39570 = bits(_T_39569, 0, 0) @[Bitwise.scala 72:15] + node _T_39571 = mux(_T_39570, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39572 = and(UInt<32>("h082f63b78"), _T_39571) @[exu_mul_ctl.scala 354:62] + node _T_39573 = xor(_T_39568, _T_39572) @[exu_mul_ctl.scala 354:43] + crc32c_wd[11] <= _T_39573 @[exu_mul_ctl.scala 354:18] + node _T_39574 = shr(crc32c_wd[11], 1) @[exu_mul_ctl.scala 354:37] + node _T_39575 = bits(crc32c_wd[11], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39576 = bits(_T_39575, 0, 0) @[Bitwise.scala 72:15] + node _T_39577 = mux(_T_39576, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39578 = and(UInt<32>("h082f63b78"), _T_39577) @[exu_mul_ctl.scala 354:62] + node _T_39579 = xor(_T_39574, _T_39578) @[exu_mul_ctl.scala 354:43] + crc32c_wd[12] <= _T_39579 @[exu_mul_ctl.scala 354:18] + node _T_39580 = shr(crc32c_wd[12], 1) @[exu_mul_ctl.scala 354:37] + node _T_39581 = bits(crc32c_wd[12], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39582 = bits(_T_39581, 0, 0) @[Bitwise.scala 72:15] + node _T_39583 = mux(_T_39582, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39584 = and(UInt<32>("h082f63b78"), _T_39583) @[exu_mul_ctl.scala 354:62] + node _T_39585 = xor(_T_39580, _T_39584) @[exu_mul_ctl.scala 354:43] + crc32c_wd[13] <= _T_39585 @[exu_mul_ctl.scala 354:18] + node _T_39586 = shr(crc32c_wd[13], 1) @[exu_mul_ctl.scala 354:37] + node _T_39587 = bits(crc32c_wd[13], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39588 = bits(_T_39587, 0, 0) @[Bitwise.scala 72:15] + node _T_39589 = mux(_T_39588, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39590 = and(UInt<32>("h082f63b78"), _T_39589) @[exu_mul_ctl.scala 354:62] + node _T_39591 = xor(_T_39586, _T_39590) @[exu_mul_ctl.scala 354:43] + crc32c_wd[14] <= _T_39591 @[exu_mul_ctl.scala 354:18] + node _T_39592 = shr(crc32c_wd[14], 1) @[exu_mul_ctl.scala 354:37] + node _T_39593 = bits(crc32c_wd[14], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39594 = bits(_T_39593, 0, 0) @[Bitwise.scala 72:15] + node _T_39595 = mux(_T_39594, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39596 = and(UInt<32>("h082f63b78"), _T_39595) @[exu_mul_ctl.scala 354:62] + node _T_39597 = xor(_T_39592, _T_39596) @[exu_mul_ctl.scala 354:43] + crc32c_wd[15] <= _T_39597 @[exu_mul_ctl.scala 354:18] + node _T_39598 = shr(crc32c_wd[15], 1) @[exu_mul_ctl.scala 354:37] + node _T_39599 = bits(crc32c_wd[15], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39600 = bits(_T_39599, 0, 0) @[Bitwise.scala 72:15] + node _T_39601 = mux(_T_39600, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39602 = and(UInt<32>("h082f63b78"), _T_39601) @[exu_mul_ctl.scala 354:62] + node _T_39603 = xor(_T_39598, _T_39602) @[exu_mul_ctl.scala 354:43] + crc32c_wd[16] <= _T_39603 @[exu_mul_ctl.scala 354:18] + node _T_39604 = shr(crc32c_wd[16], 1) @[exu_mul_ctl.scala 354:37] + node _T_39605 = bits(crc32c_wd[16], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39606 = bits(_T_39605, 0, 0) @[Bitwise.scala 72:15] + node _T_39607 = mux(_T_39606, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39608 = and(UInt<32>("h082f63b78"), _T_39607) @[exu_mul_ctl.scala 354:62] + node _T_39609 = xor(_T_39604, _T_39608) @[exu_mul_ctl.scala 354:43] + crc32c_wd[17] <= _T_39609 @[exu_mul_ctl.scala 354:18] + node _T_39610 = shr(crc32c_wd[17], 1) @[exu_mul_ctl.scala 354:37] + node _T_39611 = bits(crc32c_wd[17], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39612 = bits(_T_39611, 0, 0) @[Bitwise.scala 72:15] + node _T_39613 = mux(_T_39612, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39614 = and(UInt<32>("h082f63b78"), _T_39613) @[exu_mul_ctl.scala 354:62] + node _T_39615 = xor(_T_39610, _T_39614) @[exu_mul_ctl.scala 354:43] + crc32c_wd[18] <= _T_39615 @[exu_mul_ctl.scala 354:18] + node _T_39616 = shr(crc32c_wd[18], 1) @[exu_mul_ctl.scala 354:37] + node _T_39617 = bits(crc32c_wd[18], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39618 = bits(_T_39617, 0, 0) @[Bitwise.scala 72:15] + node _T_39619 = mux(_T_39618, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39620 = and(UInt<32>("h082f63b78"), _T_39619) @[exu_mul_ctl.scala 354:62] + node _T_39621 = xor(_T_39616, _T_39620) @[exu_mul_ctl.scala 354:43] + crc32c_wd[19] <= _T_39621 @[exu_mul_ctl.scala 354:18] + node _T_39622 = shr(crc32c_wd[19], 1) @[exu_mul_ctl.scala 354:37] + node _T_39623 = bits(crc32c_wd[19], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39624 = bits(_T_39623, 0, 0) @[Bitwise.scala 72:15] + node _T_39625 = mux(_T_39624, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39626 = and(UInt<32>("h082f63b78"), _T_39625) @[exu_mul_ctl.scala 354:62] + node _T_39627 = xor(_T_39622, _T_39626) @[exu_mul_ctl.scala 354:43] + crc32c_wd[20] <= _T_39627 @[exu_mul_ctl.scala 354:18] + node _T_39628 = shr(crc32c_wd[20], 1) @[exu_mul_ctl.scala 354:37] + node _T_39629 = bits(crc32c_wd[20], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39630 = bits(_T_39629, 0, 0) @[Bitwise.scala 72:15] + node _T_39631 = mux(_T_39630, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39632 = and(UInt<32>("h082f63b78"), _T_39631) @[exu_mul_ctl.scala 354:62] + node _T_39633 = xor(_T_39628, _T_39632) @[exu_mul_ctl.scala 354:43] + crc32c_wd[21] <= _T_39633 @[exu_mul_ctl.scala 354:18] + node _T_39634 = shr(crc32c_wd[21], 1) @[exu_mul_ctl.scala 354:37] + node _T_39635 = bits(crc32c_wd[21], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39636 = bits(_T_39635, 0, 0) @[Bitwise.scala 72:15] + node _T_39637 = mux(_T_39636, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39638 = and(UInt<32>("h082f63b78"), _T_39637) @[exu_mul_ctl.scala 354:62] + node _T_39639 = xor(_T_39634, _T_39638) @[exu_mul_ctl.scala 354:43] + crc32c_wd[22] <= _T_39639 @[exu_mul_ctl.scala 354:18] + node _T_39640 = shr(crc32c_wd[22], 1) @[exu_mul_ctl.scala 354:37] + node _T_39641 = bits(crc32c_wd[22], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39642 = bits(_T_39641, 0, 0) @[Bitwise.scala 72:15] + node _T_39643 = mux(_T_39642, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39644 = and(UInt<32>("h082f63b78"), _T_39643) @[exu_mul_ctl.scala 354:62] + node _T_39645 = xor(_T_39640, _T_39644) @[exu_mul_ctl.scala 354:43] + crc32c_wd[23] <= _T_39645 @[exu_mul_ctl.scala 354:18] + node _T_39646 = shr(crc32c_wd[23], 1) @[exu_mul_ctl.scala 354:37] + node _T_39647 = bits(crc32c_wd[23], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39648 = bits(_T_39647, 0, 0) @[Bitwise.scala 72:15] + node _T_39649 = mux(_T_39648, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39650 = and(UInt<32>("h082f63b78"), _T_39649) @[exu_mul_ctl.scala 354:62] + node _T_39651 = xor(_T_39646, _T_39650) @[exu_mul_ctl.scala 354:43] + crc32c_wd[24] <= _T_39651 @[exu_mul_ctl.scala 354:18] + node _T_39652 = shr(crc32c_wd[24], 1) @[exu_mul_ctl.scala 354:37] + node _T_39653 = bits(crc32c_wd[24], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39654 = bits(_T_39653, 0, 0) @[Bitwise.scala 72:15] + node _T_39655 = mux(_T_39654, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39656 = and(UInt<32>("h082f63b78"), _T_39655) @[exu_mul_ctl.scala 354:62] + node _T_39657 = xor(_T_39652, _T_39656) @[exu_mul_ctl.scala 354:43] + crc32c_wd[25] <= _T_39657 @[exu_mul_ctl.scala 354:18] + node _T_39658 = shr(crc32c_wd[25], 1) @[exu_mul_ctl.scala 354:37] + node _T_39659 = bits(crc32c_wd[25], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39660 = bits(_T_39659, 0, 0) @[Bitwise.scala 72:15] + node _T_39661 = mux(_T_39660, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39662 = and(UInt<32>("h082f63b78"), _T_39661) @[exu_mul_ctl.scala 354:62] + node _T_39663 = xor(_T_39658, _T_39662) @[exu_mul_ctl.scala 354:43] + crc32c_wd[26] <= _T_39663 @[exu_mul_ctl.scala 354:18] + node _T_39664 = shr(crc32c_wd[26], 1) @[exu_mul_ctl.scala 354:37] + node _T_39665 = bits(crc32c_wd[26], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39666 = bits(_T_39665, 0, 0) @[Bitwise.scala 72:15] + node _T_39667 = mux(_T_39666, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39668 = and(UInt<32>("h082f63b78"), _T_39667) @[exu_mul_ctl.scala 354:62] + node _T_39669 = xor(_T_39664, _T_39668) @[exu_mul_ctl.scala 354:43] + crc32c_wd[27] <= _T_39669 @[exu_mul_ctl.scala 354:18] + node _T_39670 = shr(crc32c_wd[27], 1) @[exu_mul_ctl.scala 354:37] + node _T_39671 = bits(crc32c_wd[27], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39672 = bits(_T_39671, 0, 0) @[Bitwise.scala 72:15] + node _T_39673 = mux(_T_39672, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39674 = and(UInt<32>("h082f63b78"), _T_39673) @[exu_mul_ctl.scala 354:62] + node _T_39675 = xor(_T_39670, _T_39674) @[exu_mul_ctl.scala 354:43] + crc32c_wd[28] <= _T_39675 @[exu_mul_ctl.scala 354:18] + node _T_39676 = shr(crc32c_wd[28], 1) @[exu_mul_ctl.scala 354:37] + node _T_39677 = bits(crc32c_wd[28], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39678 = bits(_T_39677, 0, 0) @[Bitwise.scala 72:15] + node _T_39679 = mux(_T_39678, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39680 = and(UInt<32>("h082f63b78"), _T_39679) @[exu_mul_ctl.scala 354:62] + node _T_39681 = xor(_T_39676, _T_39680) @[exu_mul_ctl.scala 354:43] + crc32c_wd[29] <= _T_39681 @[exu_mul_ctl.scala 354:18] + node _T_39682 = shr(crc32c_wd[29], 1) @[exu_mul_ctl.scala 354:37] + node _T_39683 = bits(crc32c_wd[29], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39684 = bits(_T_39683, 0, 0) @[Bitwise.scala 72:15] + node _T_39685 = mux(_T_39684, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39686 = and(UInt<32>("h082f63b78"), _T_39685) @[exu_mul_ctl.scala 354:62] + node _T_39687 = xor(_T_39682, _T_39686) @[exu_mul_ctl.scala 354:43] + crc32c_wd[30] <= _T_39687 @[exu_mul_ctl.scala 354:18] + node _T_39688 = shr(crc32c_wd[30], 1) @[exu_mul_ctl.scala 354:37] + node _T_39689 = bits(crc32c_wd[30], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39690 = bits(_T_39689, 0, 0) @[Bitwise.scala 72:15] + node _T_39691 = mux(_T_39690, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39692 = and(UInt<32>("h082f63b78"), _T_39691) @[exu_mul_ctl.scala 354:62] + node _T_39693 = xor(_T_39688, _T_39692) @[exu_mul_ctl.scala 354:43] + crc32c_wd[31] <= _T_39693 @[exu_mul_ctl.scala 354:18] + node _T_39694 = shr(crc32c_wd[31], 1) @[exu_mul_ctl.scala 354:37] + node _T_39695 = bits(crc32c_wd[31], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39696 = bits(_T_39695, 0, 0) @[Bitwise.scala 72:15] + node _T_39697 = mux(_T_39696, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39698 = and(UInt<32>("h082f63b78"), _T_39697) @[exu_mul_ctl.scala 354:62] + node _T_39699 = xor(_T_39694, _T_39698) @[exu_mul_ctl.scala 354:43] + crc32c_wd[32] <= _T_39699 @[exu_mul_ctl.scala 354:18] + node _T_39700 = or(ap_bext, ap_bdep) @[exu_mul_ctl.scala 361:41] + node _T_39701 = or(_T_39700, ap_clmul) @[exu_mul_ctl.scala 361:51] + node _T_39702 = or(_T_39701, ap_clmulh) @[exu_mul_ctl.scala 361:62] + node _T_39703 = or(_T_39702, ap_clmulr) @[exu_mul_ctl.scala 361:74] + node _T_39704 = or(_T_39703, ap_grev) @[exu_mul_ctl.scala 361:86] + node _T_39705 = or(_T_39704, ap_gorc) @[exu_mul_ctl.scala 361:96] + node _T_39706 = or(_T_39705, ap_shfl) @[exu_mul_ctl.scala 361:106] + node _T_39707 = or(_T_39706, ap_unshfl) @[exu_mul_ctl.scala 361:116] + node _T_39708 = or(_T_39707, crc32_all) @[exu_mul_ctl.scala 361:128] + node bitmanip_sel_d = or(_T_39708, ap_bfp) @[exu_mul_ctl.scala 361:140] + node _T_39709 = bits(bext_d, 31, 0) @[exu_mul_ctl.scala 364:32] + node _T_39710 = bits(bdep_d, 31, 0) @[exu_mul_ctl.scala 365:32] + node _T_39711 = bits(clmul_raw_d, 31, 0) @[exu_mul_ctl.scala 366:37] + node _T_39712 = bits(clmul_raw_d, 62, 32) @[exu_mul_ctl.scala 367:50] + node _T_39713 = cat(UInt<1>("h00"), _T_39712) @[Cat.scala 29:58] + node _T_39714 = bits(clmul_raw_d, 62, 31) @[exu_mul_ctl.scala 368:37] + node _T_39715 = bits(grev_d, 31, 0) @[exu_mul_ctl.scala 369:32] + node _T_39716 = bits(gorc_d, 31, 0) @[exu_mul_ctl.scala 370:32] + node _T_39717 = bits(shfl_d, 31, 0) @[exu_mul_ctl.scala 371:32] + node _T_39718 = bits(unshfl_d, 31, 0) @[exu_mul_ctl.scala 372:34] + node _T_39719 = bits(crc32_bd[8], 31, 0) @[exu_mul_ctl.scala 373:37] + node _T_39720 = bits(crc32_hd[16], 31, 0) @[exu_mul_ctl.scala 374:38] + node _T_39721 = bits(crc32_wd[32], 31, 0) @[exu_mul_ctl.scala 375:38] + node _T_39722 = bits(crc32c_bd[8], 31, 0) @[exu_mul_ctl.scala 376:38] + node _T_39723 = bits(crc32c_hd[16], 31, 0) @[exu_mul_ctl.scala 377:39] + node _T_39724 = bits(crc32c_wd[32], 31, 0) @[exu_mul_ctl.scala 378:39] + node _T_39725 = bits(bfp_result_d, 31, 0) @[exu_mul_ctl.scala 379:38] + node _T_39726 = mux(ap_bext, _T_39709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39727 = mux(ap_bdep, _T_39710, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39728 = mux(ap_clmul, _T_39711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39729 = mux(ap_clmulh, _T_39713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39730 = mux(ap_clmulr, _T_39714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39731 = mux(ap_grev, _T_39715, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39732 = mux(ap_gorc, _T_39716, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39733 = mux(ap_shfl, _T_39717, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39734 = mux(ap_unshfl, _T_39718, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39735 = mux(ap_crc32_b, _T_39719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39736 = mux(ap_crc32_h, _T_39720, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39737 = mux(ap_crc32_w, _T_39721, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39738 = mux(ap_crc32c_b, _T_39722, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39739 = mux(ap_crc32c_h, _T_39723, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39740 = mux(ap_crc32c_w, _T_39724, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39741 = mux(ap_bfp, _T_39725, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39742 = or(_T_39726, _T_39727) @[Mux.scala 27:72] + node _T_39743 = or(_T_39742, _T_39728) @[Mux.scala 27:72] + node _T_39744 = or(_T_39743, _T_39729) @[Mux.scala 27:72] + node _T_39745 = or(_T_39744, _T_39730) @[Mux.scala 27:72] + node _T_39746 = or(_T_39745, _T_39731) @[Mux.scala 27:72] + node _T_39747 = or(_T_39746, _T_39732) @[Mux.scala 27:72] + node _T_39748 = or(_T_39747, _T_39733) @[Mux.scala 27:72] + node _T_39749 = or(_T_39748, _T_39734) @[Mux.scala 27:72] + node _T_39750 = or(_T_39749, _T_39735) @[Mux.scala 27:72] + node _T_39751 = or(_T_39750, _T_39736) @[Mux.scala 27:72] + node _T_39752 = or(_T_39751, _T_39737) @[Mux.scala 27:72] + node _T_39753 = or(_T_39752, _T_39738) @[Mux.scala 27:72] + node _T_39754 = or(_T_39753, _T_39739) @[Mux.scala 27:72] + node _T_39755 = or(_T_39754, _T_39740) @[Mux.scala 27:72] + node _T_39756 = or(_T_39755, _T_39741) @[Mux.scala 27:72] + wire bitmanip_d : UInt<32> @[Mux.scala 27:72] + bitmanip_d <= _T_39756 @[Mux.scala 27:72] + inst rvclkhdr_3 of rvclkhdr_12 @[lib.scala 399:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_3.io.en <= io.mul_p.valid @[lib.scala 402:17] + rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg bitmanip_sel_x : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.mul_p.valid : @[Reg.scala 28:19] + bitmanip_sel_x <= bitmanip_sel_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_4 of rvclkhdr_13 @[lib.scala 399:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_4.io.en <= io.mul_p.valid @[lib.scala 402:17] + rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg bitmanip_x : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.mul_p.valid : @[Reg.scala 28:19] + bitmanip_x <= bitmanip_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_39757 = not(bitmanip_sel_x) @[exu_mul_ctl.scala 388:28] + node _T_39758 = not(low_x) @[exu_mul_ctl.scala 388:46] + node _T_39759 = and(_T_39757, _T_39758) @[exu_mul_ctl.scala 388:44] + node _T_39760 = cat(_T_39759, _T_39759) @[Cat.scala 29:58] + node _T_39761 = cat(_T_39760, _T_39760) @[Cat.scala 29:58] + node _T_39762 = cat(_T_39761, _T_39761) @[Cat.scala 29:58] + node _T_39763 = cat(_T_39762, _T_39762) @[Cat.scala 29:58] + node _T_39764 = cat(_T_39763, _T_39763) @[Cat.scala 29:58] + node _T_39765 = bits(prod_x, 63, 32) @[exu_mul_ctl.scala 388:62] + node _T_39766 = and(_T_39764, _T_39765) @[exu_mul_ctl.scala 388:54] + node _T_39767 = not(bitmanip_sel_x) @[exu_mul_ctl.scala 389:14] + node _T_39768 = and(_T_39767, low_x) @[exu_mul_ctl.scala 389:30] + node _T_39769 = cat(_T_39768, _T_39768) @[Cat.scala 29:58] + node _T_39770 = cat(_T_39769, _T_39769) @[Cat.scala 29:58] + node _T_39771 = cat(_T_39770, _T_39770) @[Cat.scala 29:58] + node _T_39772 = cat(_T_39771, _T_39771) @[Cat.scala 29:58] + node _T_39773 = cat(_T_39772, _T_39772) @[Cat.scala 29:58] + node _T_39774 = bits(prod_x, 31, 0) @[exu_mul_ctl.scala 389:48] + node _T_39775 = and(_T_39773, _T_39774) @[exu_mul_ctl.scala 389:40] + node _T_39776 = or(_T_39766, _T_39775) @[exu_mul_ctl.scala 388:75] + node _T_39777 = or(_T_39776, bitmanip_x) @[exu_mul_ctl.scala 389:61] + io.result_x <= _T_39777 @[exu_mul_ctl.scala 388:15] + + module exu_div_cls : + input clock : Clock + input reset : Reset + output io : {flip operand : UInt<33>, cls : UInt<5>} + + wire cls_zeros : UInt<5> + cls_zeros <= UInt<5>("h00") + wire cls_ones : UInt<5> + cls_ones <= UInt<5>("h00") + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 950:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 950:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 950:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 950:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 950:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 950:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 950:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 950:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 950:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 950:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 950:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 950:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 950:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 950:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 950:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 950:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 950:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 950:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 950:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 950:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 950:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 950:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 950:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 950:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 950:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 950:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 950:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 950:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 950:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 950:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 950:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 950:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_67 = mux(_T_7, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_68 = mux(_T_9, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_69 = mux(_T_11, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_70 = mux(_T_13, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_71 = mux(_T_15, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_72 = mux(_T_17, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_73 = mux(_T_19, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_74 = mux(_T_21, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_75 = mux(_T_23, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_76 = mux(_T_25, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_77 = mux(_T_27, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_78 = mux(_T_29, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_79 = mux(_T_31, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_80 = mux(_T_33, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_35, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_37, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_39, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_41, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = mux(_T_43, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_45, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_47, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_49, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_51, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_53, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = mux(_T_55, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_92 = mux(_T_57, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_93 = mux(_T_59, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_94 = mux(_T_61, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_95 = mux(_T_63, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_96 = or(_T_64, _T_65) @[Mux.scala 27:72] + node _T_97 = or(_T_96, _T_66) @[Mux.scala 27:72] + node _T_98 = or(_T_97, _T_67) @[Mux.scala 27:72] + node _T_99 = or(_T_98, _T_68) @[Mux.scala 27:72] + node _T_100 = or(_T_99, _T_69) @[Mux.scala 27:72] + node _T_101 = or(_T_100, _T_70) @[Mux.scala 27:72] + node _T_102 = or(_T_101, _T_71) @[Mux.scala 27:72] + node _T_103 = or(_T_102, _T_72) @[Mux.scala 27:72] + node _T_104 = or(_T_103, _T_73) @[Mux.scala 27:72] + node _T_105 = or(_T_104, _T_74) @[Mux.scala 27:72] + node _T_106 = or(_T_105, _T_75) @[Mux.scala 27:72] + node _T_107 = or(_T_106, _T_76) @[Mux.scala 27:72] + node _T_108 = or(_T_107, _T_77) @[Mux.scala 27:72] + node _T_109 = or(_T_108, _T_78) @[Mux.scala 27:72] + node _T_110 = or(_T_109, _T_79) @[Mux.scala 27:72] + node _T_111 = or(_T_110, _T_80) @[Mux.scala 27:72] + node _T_112 = or(_T_111, _T_81) @[Mux.scala 27:72] + node _T_113 = or(_T_112, _T_82) @[Mux.scala 27:72] + node _T_114 = or(_T_113, _T_83) @[Mux.scala 27:72] + node _T_115 = or(_T_114, _T_84) @[Mux.scala 27:72] + node _T_116 = or(_T_115, _T_85) @[Mux.scala 27:72] + node _T_117 = or(_T_116, _T_86) @[Mux.scala 27:72] + node _T_118 = or(_T_117, _T_87) @[Mux.scala 27:72] + node _T_119 = or(_T_118, _T_88) @[Mux.scala 27:72] + node _T_120 = or(_T_119, _T_89) @[Mux.scala 27:72] + node _T_121 = or(_T_120, _T_90) @[Mux.scala 27:72] + node _T_122 = or(_T_121, _T_91) @[Mux.scala 27:72] + node _T_123 = or(_T_122, _T_92) @[Mux.scala 27:72] + node _T_124 = or(_T_123, _T_93) @[Mux.scala 27:72] + node _T_125 = or(_T_124, _T_94) @[Mux.scala 27:72] + node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] + wire _T_127 : UInt<5> @[Mux.scala 27:72] + _T_127 <= _T_126 @[Mux.scala 27:72] + cls_zeros <= _T_127 @[exu_div_ctl.scala 950:13] + node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 952:18] + node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 952:25] + when _T_129 : @[exu_div_ctl.scala 952:44] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 952:55] + skip @[exu_div_ctl.scala 952:44] + else : @[exu_div_ctl.scala 953:15] + node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 953:66] + node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 953:76] + node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 953:66] + node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 953:76] + node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 953:66] + node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 953:76] + node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 953:66] + node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 953:76] + node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 953:66] + node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 953:76] + node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 953:66] + node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 953:76] + node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 953:66] + node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 953:76] + node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 953:66] + node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 953:76] + node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 953:66] + node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 953:76] + node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 953:66] + node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 953:76] + node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 953:66] + node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 953:76] + node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 953:66] + node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 953:76] + node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 953:66] + node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 953:76] + node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 953:66] + node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 953:76] + node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 953:66] + node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 953:76] + node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 953:66] + node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 953:76] + node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 953:66] + node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 953:76] + node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 953:66] + node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 953:76] + node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 953:66] + node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 953:76] + node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 953:66] + node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 953:76] + node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 953:66] + node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 953:76] + node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 953:66] + node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 953:76] + node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 953:66] + node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 953:76] + node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 953:66] + node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 953:76] + node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 953:66] + node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 953:76] + node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 953:66] + node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 953:76] + node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 953:66] + node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 953:76] + node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 953:66] + node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 953:76] + node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 953:66] + node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 953:76] + node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 953:66] + node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 953:76] + node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 953:66] + node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 953:76] + node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_287 = mux(_T_148, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = mux(_T_153, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_289 = mux(_T_158, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_290 = mux(_T_163, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_291 = mux(_T_168, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_292 = mux(_T_173, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_293 = mux(_T_178, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_294 = mux(_T_183, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_295 = mux(_T_188, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_296 = mux(_T_193, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_297 = mux(_T_198, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_298 = mux(_T_203, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_299 = mux(_T_208, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_300 = mux(_T_213, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_301 = mux(_T_218, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_302 = mux(_T_223, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_303 = mux(_T_228, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_304 = mux(_T_233, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_305 = mux(_T_238, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_306 = mux(_T_243, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_307 = mux(_T_248, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_308 = mux(_T_253, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_309 = mux(_T_258, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_310 = mux(_T_263, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_311 = mux(_T_268, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_312 = mux(_T_273, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_278, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = mux(_T_283, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_315 = or(_T_284, _T_285) @[Mux.scala 27:72] + node _T_316 = or(_T_315, _T_286) @[Mux.scala 27:72] + node _T_317 = or(_T_316, _T_287) @[Mux.scala 27:72] + node _T_318 = or(_T_317, _T_288) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_289) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_290) @[Mux.scala 27:72] + node _T_321 = or(_T_320, _T_291) @[Mux.scala 27:72] + node _T_322 = or(_T_321, _T_292) @[Mux.scala 27:72] + node _T_323 = or(_T_322, _T_293) @[Mux.scala 27:72] + node _T_324 = or(_T_323, _T_294) @[Mux.scala 27:72] + node _T_325 = or(_T_324, _T_295) @[Mux.scala 27:72] + node _T_326 = or(_T_325, _T_296) @[Mux.scala 27:72] + node _T_327 = or(_T_326, _T_297) @[Mux.scala 27:72] + node _T_328 = or(_T_327, _T_298) @[Mux.scala 27:72] + node _T_329 = or(_T_328, _T_299) @[Mux.scala 27:72] + node _T_330 = or(_T_329, _T_300) @[Mux.scala 27:72] + node _T_331 = or(_T_330, _T_301) @[Mux.scala 27:72] + node _T_332 = or(_T_331, _T_302) @[Mux.scala 27:72] + node _T_333 = or(_T_332, _T_303) @[Mux.scala 27:72] + node _T_334 = or(_T_333, _T_304) @[Mux.scala 27:72] + node _T_335 = or(_T_334, _T_305) @[Mux.scala 27:72] + node _T_336 = or(_T_335, _T_306) @[Mux.scala 27:72] + node _T_337 = or(_T_336, _T_307) @[Mux.scala 27:72] + node _T_338 = or(_T_337, _T_308) @[Mux.scala 27:72] + node _T_339 = or(_T_338, _T_309) @[Mux.scala 27:72] + node _T_340 = or(_T_339, _T_310) @[Mux.scala 27:72] + node _T_341 = or(_T_340, _T_311) @[Mux.scala 27:72] + node _T_342 = or(_T_341, _T_312) @[Mux.scala 27:72] + node _T_343 = or(_T_342, _T_313) @[Mux.scala 27:72] + node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72] + wire _T_345 : UInt<5> @[Mux.scala 27:72] + _T_345 <= _T_344 @[Mux.scala 27:72] + cls_ones <= _T_345 @[exu_div_ctl.scala 953:25] + skip @[exu_div_ctl.scala 953:15] + node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 954:42] + node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 954:31] + io.cls <= _T_347 @[exu_div_ctl.scala 954:25] + + module exu_div_cls_1 : + input clock : Clock + input reset : Reset + output io : {flip operand : UInt<33>, cls : UInt<5>} + + wire cls_zeros : UInt<5> + cls_zeros <= UInt<5>("h00") + wire cls_ones : UInt<5> + cls_ones <= UInt<5>("h00") + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 950:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 950:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 950:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 950:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 950:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 950:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 950:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 950:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 950:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 950:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 950:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 950:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 950:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 950:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 950:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 950:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 950:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 950:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 950:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 950:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 950:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 950:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 950:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 950:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 950:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 950:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 950:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 950:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 950:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 950:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 950:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 950:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 950:63] + node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_67 = mux(_T_7, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_68 = mux(_T_9, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_69 = mux(_T_11, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_70 = mux(_T_13, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_71 = mux(_T_15, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_72 = mux(_T_17, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_73 = mux(_T_19, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_74 = mux(_T_21, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_75 = mux(_T_23, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_76 = mux(_T_25, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_77 = mux(_T_27, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_78 = mux(_T_29, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_79 = mux(_T_31, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_80 = mux(_T_33, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_35, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_37, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_39, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_41, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = mux(_T_43, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_45, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_47, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_49, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_51, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_53, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = mux(_T_55, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_92 = mux(_T_57, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_93 = mux(_T_59, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_94 = mux(_T_61, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_95 = mux(_T_63, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_96 = or(_T_64, _T_65) @[Mux.scala 27:72] + node _T_97 = or(_T_96, _T_66) @[Mux.scala 27:72] + node _T_98 = or(_T_97, _T_67) @[Mux.scala 27:72] + node _T_99 = or(_T_98, _T_68) @[Mux.scala 27:72] + node _T_100 = or(_T_99, _T_69) @[Mux.scala 27:72] + node _T_101 = or(_T_100, _T_70) @[Mux.scala 27:72] + node _T_102 = or(_T_101, _T_71) @[Mux.scala 27:72] + node _T_103 = or(_T_102, _T_72) @[Mux.scala 27:72] + node _T_104 = or(_T_103, _T_73) @[Mux.scala 27:72] + node _T_105 = or(_T_104, _T_74) @[Mux.scala 27:72] + node _T_106 = or(_T_105, _T_75) @[Mux.scala 27:72] + node _T_107 = or(_T_106, _T_76) @[Mux.scala 27:72] + node _T_108 = or(_T_107, _T_77) @[Mux.scala 27:72] + node _T_109 = or(_T_108, _T_78) @[Mux.scala 27:72] + node _T_110 = or(_T_109, _T_79) @[Mux.scala 27:72] + node _T_111 = or(_T_110, _T_80) @[Mux.scala 27:72] + node _T_112 = or(_T_111, _T_81) @[Mux.scala 27:72] + node _T_113 = or(_T_112, _T_82) @[Mux.scala 27:72] + node _T_114 = or(_T_113, _T_83) @[Mux.scala 27:72] + node _T_115 = or(_T_114, _T_84) @[Mux.scala 27:72] + node _T_116 = or(_T_115, _T_85) @[Mux.scala 27:72] + node _T_117 = or(_T_116, _T_86) @[Mux.scala 27:72] + node _T_118 = or(_T_117, _T_87) @[Mux.scala 27:72] + node _T_119 = or(_T_118, _T_88) @[Mux.scala 27:72] + node _T_120 = or(_T_119, _T_89) @[Mux.scala 27:72] + node _T_121 = or(_T_120, _T_90) @[Mux.scala 27:72] + node _T_122 = or(_T_121, _T_91) @[Mux.scala 27:72] + node _T_123 = or(_T_122, _T_92) @[Mux.scala 27:72] + node _T_124 = or(_T_123, _T_93) @[Mux.scala 27:72] + node _T_125 = or(_T_124, _T_94) @[Mux.scala 27:72] + node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] + wire _T_127 : UInt<5> @[Mux.scala 27:72] + _T_127 <= _T_126 @[Mux.scala 27:72] + cls_zeros <= _T_127 @[exu_div_ctl.scala 950:13] + node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 952:18] + node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 952:25] + when _T_129 : @[exu_div_ctl.scala 952:44] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 952:55] + skip @[exu_div_ctl.scala 952:44] + else : @[exu_div_ctl.scala 953:15] + node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 953:66] + node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 953:76] + node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 953:66] + node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 953:76] + node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 953:66] + node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 953:76] + node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 953:66] + node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 953:76] + node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 953:66] + node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 953:76] + node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 953:66] + node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 953:76] + node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 953:66] + node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 953:76] + node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 953:66] + node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 953:76] + node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 953:66] + node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 953:76] + node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 953:66] + node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 953:76] + node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 953:66] + node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 953:76] + node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 953:66] + node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 953:76] + node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 953:66] + node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 953:76] + node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 953:66] + node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 953:76] + node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 953:66] + node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 953:76] + node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 953:66] + node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 953:76] + node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 953:66] + node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 953:76] + node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 953:66] + node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 953:76] + node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 953:66] + node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 953:76] + node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 953:66] + node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 953:76] + node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 953:66] + node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 953:76] + node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 953:66] + node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 953:76] + node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 953:66] + node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 953:76] + node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 953:66] + node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 953:76] + node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 953:66] + node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 953:76] + node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 953:66] + node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 953:76] + node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 953:66] + node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 953:76] + node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 953:66] + node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 953:76] + node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 953:66] + node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 953:76] + node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 953:66] + node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 953:76] + node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 953:66] + node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 953:76] + node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 953:102] + node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_287 = mux(_T_148, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = mux(_T_153, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_289 = mux(_T_158, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_290 = mux(_T_163, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_291 = mux(_T_168, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_292 = mux(_T_173, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_293 = mux(_T_178, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_294 = mux(_T_183, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_295 = mux(_T_188, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_296 = mux(_T_193, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_297 = mux(_T_198, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_298 = mux(_T_203, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_299 = mux(_T_208, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_300 = mux(_T_213, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_301 = mux(_T_218, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_302 = mux(_T_223, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_303 = mux(_T_228, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_304 = mux(_T_233, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_305 = mux(_T_238, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_306 = mux(_T_243, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_307 = mux(_T_248, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_308 = mux(_T_253, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_309 = mux(_T_258, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_310 = mux(_T_263, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_311 = mux(_T_268, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_312 = mux(_T_273, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_278, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = mux(_T_283, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_315 = or(_T_284, _T_285) @[Mux.scala 27:72] + node _T_316 = or(_T_315, _T_286) @[Mux.scala 27:72] + node _T_317 = or(_T_316, _T_287) @[Mux.scala 27:72] + node _T_318 = or(_T_317, _T_288) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_289) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_290) @[Mux.scala 27:72] + node _T_321 = or(_T_320, _T_291) @[Mux.scala 27:72] + node _T_322 = or(_T_321, _T_292) @[Mux.scala 27:72] + node _T_323 = or(_T_322, _T_293) @[Mux.scala 27:72] + node _T_324 = or(_T_323, _T_294) @[Mux.scala 27:72] + node _T_325 = or(_T_324, _T_295) @[Mux.scala 27:72] + node _T_326 = or(_T_325, _T_296) @[Mux.scala 27:72] + node _T_327 = or(_T_326, _T_297) @[Mux.scala 27:72] + node _T_328 = or(_T_327, _T_298) @[Mux.scala 27:72] + node _T_329 = or(_T_328, _T_299) @[Mux.scala 27:72] + node _T_330 = or(_T_329, _T_300) @[Mux.scala 27:72] + node _T_331 = or(_T_330, _T_301) @[Mux.scala 27:72] + node _T_332 = or(_T_331, _T_302) @[Mux.scala 27:72] + node _T_333 = or(_T_332, _T_303) @[Mux.scala 27:72] + node _T_334 = or(_T_333, _T_304) @[Mux.scala 27:72] + node _T_335 = or(_T_334, _T_305) @[Mux.scala 27:72] + node _T_336 = or(_T_335, _T_306) @[Mux.scala 27:72] + node _T_337 = or(_T_336, _T_307) @[Mux.scala 27:72] + node _T_338 = or(_T_337, _T_308) @[Mux.scala 27:72] + node _T_339 = or(_T_338, _T_309) @[Mux.scala 27:72] + node _T_340 = or(_T_339, _T_310) @[Mux.scala 27:72] + node _T_341 = or(_T_340, _T_311) @[Mux.scala 27:72] + node _T_342 = or(_T_341, _T_312) @[Mux.scala 27:72] + node _T_343 = or(_T_342, _T_313) @[Mux.scala 27:72] + node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72] + wire _T_345 : UInt<5> @[Mux.scala 27:72] + _T_345 <= _T_344 @[Mux.scala 27:72] + cls_ones <= _T_345 @[exu_div_ctl.scala 953:25] + skip @[exu_div_ctl.scala 953:15] + node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 954:42] + node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 954:31] + io.cls <= _T_347 @[exu_div_ctl.scala 954:25] + + extmodule gated_latch_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_14 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_15 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_16 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_17 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_18 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_19 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_20 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_20 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_20 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_21 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_21 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_21 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_22 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_22 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_22 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_23 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_23 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_23 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_24 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_24 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_24 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module exu_div_new_4bit_fullshortq : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip cancel : UInt<1>, flip valid_in : UInt<1>, flip signed_in : UInt<1>, flip rem_in : UInt<1>, flip dividend_in : UInt<32>, flip divisor_in : UInt<32>, data_out : UInt<32>, valid_out : UInt<1>} + + wire valid_ff : UInt<1> + valid_ff <= UInt<1>("h00") + wire finish_ff : UInt<1> + finish_ff <= UInt<1>("h00") + wire control_ff : UInt<3> + control_ff <= UInt<3>("h00") + wire count_ff : UInt<7> + count_ff <= UInt<7>("h00") + wire smallnum : UInt<4> + smallnum <= UInt<4>("h00") + wire a_ff : UInt<32> + a_ff <= UInt<32>("h00") + wire b_ff1 : UInt<33> + b_ff1 <= UInt<33>("h00") + wire b_ff : UInt<38> + b_ff <= UInt<38>("h00") + wire q_ff : UInt<32> + q_ff <= UInt<32>("h00") + wire r_ff : UInt<33> + r_ff <= UInt<33>("h00") + wire quotient_raw : UInt<16> + quotient_raw <= UInt<16>("h00") + wire quotient_new : UInt<4> + quotient_new <= UInt<4>("h00") + wire shortq_enable : UInt<1> + shortq_enable <= UInt<1>("h00") + wire shortq_enable_ff : UInt<1> + shortq_enable_ff <= UInt<1>("h00") + wire by_zero_case_ff : UInt<1> + by_zero_case_ff <= UInt<1>("h00") + wire ar_shifted : UInt<65> + ar_shifted <= UInt<65>("h00") + wire shortq_shift : UInt<5> + shortq_shift <= UInt<5>("h00") + wire shortq_decode : UInt<5> + shortq_decode <= UInt<5>("h00") + wire shortq_shift_ff : UInt<5> + shortq_shift_ff <= UInt<5>("h00") + node _T = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 776:44] + node valid_ff_in = and(io.valid_in, _T) @[exu_div_ctl.scala 776:42] + node _T_1 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 777:35] + node _T_2 = bits(control_ff, 2, 2) @[exu_div_ctl.scala 777:60] + node _T_3 = and(_T_1, _T_2) @[exu_div_ctl.scala 777:48] + node _T_4 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 777:80] + node _T_5 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 777:112] + node _T_6 = and(_T_4, _T_5) @[exu_div_ctl.scala 777:96] + node _T_7 = or(_T_3, _T_6) @[exu_div_ctl.scala 777:65] + node _T_8 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 777:120] + node _T_9 = bits(control_ff, 1, 1) @[exu_div_ctl.scala 777:145] + node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 777:133] + node _T_11 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 777:165] + node _T_12 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 777:197] + node _T_13 = and(_T_11, _T_12) @[exu_div_ctl.scala 777:181] + node _T_14 = or(_T_10, _T_13) @[exu_div_ctl.scala 777:150] + node _T_15 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 777:205] + node _T_16 = bits(control_ff, 0, 0) @[exu_div_ctl.scala 777:230] + node _T_17 = and(_T_15, _T_16) @[exu_div_ctl.scala 777:218] + node _T_18 = and(io.valid_in, io.rem_in) @[exu_div_ctl.scala 777:250] + node _T_19 = or(_T_17, _T_18) @[exu_div_ctl.scala 777:235] + node _T_20 = cat(_T_7, _T_14) @[Cat.scala 29:58] + node control_in = cat(_T_20, _T_19) @[Cat.scala 29:58] + node dividend_sign_ff = bits(control_ff, 2, 2) @[exu_div_ctl.scala 778:40] + node divisor_sign_ff = bits(control_ff, 1, 1) @[exu_div_ctl.scala 779:40] + node rem_ff = bits(control_ff, 0, 0) @[exu_div_ctl.scala 780:40] + node _T_21 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 781:47] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[exu_div_ctl.scala 781:54] + node by_zero_case = and(valid_ff, _T_22) @[exu_div_ctl.scala 781:40] + node _T_23 = bits(a_ff, 31, 4) @[exu_div_ctl.scala 783:30] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[exu_div_ctl.scala 783:37] + node _T_25 = bits(b_ff, 31, 4) @[exu_div_ctl.scala 783:53] + node _T_26 = eq(_T_25, UInt<1>("h00")) @[exu_div_ctl.scala 783:60] + node _T_27 = and(_T_24, _T_26) @[exu_div_ctl.scala 783:46] + node _T_28 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 783:71] + node _T_29 = and(_T_27, _T_28) @[exu_div_ctl.scala 783:69] + node _T_30 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 783:87] + node _T_31 = and(_T_29, _T_30) @[exu_div_ctl.scala 783:85] + node _T_32 = and(_T_31, valid_ff) @[exu_div_ctl.scala 783:95] + node _T_33 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 783:108] + node _T_34 = and(_T_32, _T_33) @[exu_div_ctl.scala 783:106] + node _T_35 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 784:11] + node _T_36 = eq(_T_35, UInt<1>("h00")) @[exu_div_ctl.scala 784:18] + node _T_37 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 784:29] + node _T_38 = and(_T_36, _T_37) @[exu_div_ctl.scala 784:27] + node _T_39 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 784:45] + node _T_40 = and(_T_38, _T_39) @[exu_div_ctl.scala 784:43] + node _T_41 = and(_T_40, valid_ff) @[exu_div_ctl.scala 784:53] + node _T_42 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 784:66] + node _T_43 = and(_T_41, _T_42) @[exu_div_ctl.scala 784:64] + node smallnum_case = or(_T_34, _T_43) @[exu_div_ctl.scala 783:120] + node _T_44 = orr(count_ff) @[exu_div_ctl.scala 785:42] + node running_state = or(_T_44, shortq_enable_ff) @[exu_div_ctl.scala 785:45] + node _T_45 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 786:43] + node _T_46 = or(_T_45, io.cancel) @[exu_div_ctl.scala 786:54] + node _T_47 = or(_T_46, running_state) @[exu_div_ctl.scala 786:66] + node misc_enable = or(_T_47, finish_ff) @[exu_div_ctl.scala 786:82] + node _T_48 = or(smallnum_case, by_zero_case) @[exu_div_ctl.scala 787:45] + node _T_49 = eq(count_ff, UInt<6>("h020")) @[exu_div_ctl.scala 787:72] + node finish_raw = or(_T_48, _T_49) @[exu_div_ctl.scala 787:60] + node _T_50 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 788:43] + node finish = and(finish_raw, _T_50) @[exu_div_ctl.scala 788:41] + node _T_51 = or(valid_ff, running_state) @[exu_div_ctl.scala 789:40] + node _T_52 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 789:59] + node _T_53 = and(_T_51, _T_52) @[exu_div_ctl.scala 789:57] + node _T_54 = eq(finish_ff, UInt<1>("h00")) @[exu_div_ctl.scala 789:69] + node _T_55 = and(_T_53, _T_54) @[exu_div_ctl.scala 789:67] + node _T_56 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 789:82] + node _T_57 = and(_T_55, _T_56) @[exu_div_ctl.scala 789:80] + node _T_58 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 789:95] + node count_enable = and(_T_57, _T_58) @[exu_div_ctl.scala 789:93] + node _T_59 = bits(count_enable, 0, 0) @[Bitwise.scala 72:15] + node _T_60 = mux(_T_59, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_61 = add(count_ff, UInt<7>("h04")) @[exu_div_ctl.scala 790:63] + node _T_62 = tail(_T_61, 1) @[exu_div_ctl.scala 790:63] + node _T_63 = cat(UInt<2>("h00"), shortq_shift_ff) @[Cat.scala 29:58] + node _T_64 = add(_T_62, _T_63) @[exu_div_ctl.scala 790:74] + node _T_65 = tail(_T_64, 1) @[exu_div_ctl.scala 790:74] + node count_in = and(_T_60, _T_65) @[exu_div_ctl.scala 790:51] + node a_enable = or(io.valid_in, running_state) @[exu_div_ctl.scala 791:43] + node _T_66 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 792:47] + node a_shift = and(running_state, _T_66) @[exu_div_ctl.scala 792:45] + node _T_67 = bits(dividend_sign_ff, 0, 0) @[Bitwise.scala 72:15] + node _T_68 = mux(_T_67, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] + node _T_69 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 793:66] + node _T_70 = cat(_T_68, _T_69) @[Cat.scala 29:58] + node _T_71 = dshl(_T_70, shortq_shift_ff) @[exu_div_ctl.scala 793:74] + ar_shifted <= _T_71 @[exu_div_ctl.scala 793:28] + node _T_72 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 794:61] + node _T_73 = eq(_T_72, UInt<1>("h00")) @[exu_div_ctl.scala 794:42] + node b_twos_comp = and(valid_ff, _T_73) @[exu_div_ctl.scala 794:40] + node _T_74 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 795:62] + node _T_75 = eq(_T_74, UInt<1>("h00")) @[exu_div_ctl.scala 795:43] + node twos_comp_b_sel = and(valid_ff, _T_75) @[exu_div_ctl.scala 795:41] + node _T_76 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 796:30] + node _T_77 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 796:42] + node _T_78 = and(_T_76, _T_77) @[exu_div_ctl.scala 796:40] + node _T_79 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 796:71] + node _T_80 = and(_T_78, _T_79) @[exu_div_ctl.scala 796:50] + node _T_81 = eq(by_zero_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 796:92] + node twos_comp_q_sel = and(_T_80, _T_81) @[exu_div_ctl.scala 796:90] + node b_enable = or(io.valid_in, b_twos_comp) @[exu_div_ctl.scala 797:43] + node _T_82 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 798:43] + node rq_enable = or(_T_82, running_state) @[exu_div_ctl.scala 798:54] + node _T_83 = and(valid_ff, dividend_sign_ff) @[exu_div_ctl.scala 799:40] + node _T_84 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 799:61] + node r_sign_sel = and(_T_83, _T_84) @[exu_div_ctl.scala 799:59] + node _T_85 = eq(quotient_new, UInt<1>("h00")) @[exu_div_ctl.scala 800:80] + node _T_86 = and(running_state, _T_85) @[exu_div_ctl.scala 800:64] + node _T_87 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_0 = and(_T_86, _T_87) @[exu_div_ctl.scala 800:94] + node _T_88 = eq(quotient_new, UInt<1>("h01")) @[exu_div_ctl.scala 800:80] + node _T_89 = and(running_state, _T_88) @[exu_div_ctl.scala 800:64] + node _T_90 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_1 = and(_T_89, _T_90) @[exu_div_ctl.scala 800:94] + node _T_91 = eq(quotient_new, UInt<2>("h02")) @[exu_div_ctl.scala 800:80] + node _T_92 = and(running_state, _T_91) @[exu_div_ctl.scala 800:64] + node _T_93 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_2 = and(_T_92, _T_93) @[exu_div_ctl.scala 800:94] + node _T_94 = eq(quotient_new, UInt<2>("h03")) @[exu_div_ctl.scala 800:80] + node _T_95 = and(running_state, _T_94) @[exu_div_ctl.scala 800:64] + node _T_96 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_3 = and(_T_95, _T_96) @[exu_div_ctl.scala 800:94] + node _T_97 = eq(quotient_new, UInt<3>("h04")) @[exu_div_ctl.scala 800:80] + node _T_98 = and(running_state, _T_97) @[exu_div_ctl.scala 800:64] + node _T_99 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_4 = and(_T_98, _T_99) @[exu_div_ctl.scala 800:94] + node _T_100 = eq(quotient_new, UInt<3>("h05")) @[exu_div_ctl.scala 800:80] + node _T_101 = and(running_state, _T_100) @[exu_div_ctl.scala 800:64] + node _T_102 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_5 = and(_T_101, _T_102) @[exu_div_ctl.scala 800:94] + node _T_103 = eq(quotient_new, UInt<3>("h06")) @[exu_div_ctl.scala 800:80] + node _T_104 = and(running_state, _T_103) @[exu_div_ctl.scala 800:64] + node _T_105 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_6 = and(_T_104, _T_105) @[exu_div_ctl.scala 800:94] + node _T_106 = eq(quotient_new, UInt<3>("h07")) @[exu_div_ctl.scala 800:80] + node _T_107 = and(running_state, _T_106) @[exu_div_ctl.scala 800:64] + node _T_108 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_7 = and(_T_107, _T_108) @[exu_div_ctl.scala 800:94] + node _T_109 = eq(quotient_new, UInt<4>("h08")) @[exu_div_ctl.scala 800:80] + node _T_110 = and(running_state, _T_109) @[exu_div_ctl.scala 800:64] + node _T_111 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_8 = and(_T_110, _T_111) @[exu_div_ctl.scala 800:94] + node _T_112 = eq(quotient_new, UInt<4>("h09")) @[exu_div_ctl.scala 800:80] + node _T_113 = and(running_state, _T_112) @[exu_div_ctl.scala 800:64] + node _T_114 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_9 = and(_T_113, _T_114) @[exu_div_ctl.scala 800:94] + node _T_115 = eq(quotient_new, UInt<4>("h0a")) @[exu_div_ctl.scala 800:80] + node _T_116 = and(running_state, _T_115) @[exu_div_ctl.scala 800:64] + node _T_117 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_10 = and(_T_116, _T_117) @[exu_div_ctl.scala 800:94] + node _T_118 = eq(quotient_new, UInt<4>("h0b")) @[exu_div_ctl.scala 800:80] + node _T_119 = and(running_state, _T_118) @[exu_div_ctl.scala 800:64] + node _T_120 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_11 = and(_T_119, _T_120) @[exu_div_ctl.scala 800:94] + node _T_121 = eq(quotient_new, UInt<4>("h0c")) @[exu_div_ctl.scala 800:80] + node _T_122 = and(running_state, _T_121) @[exu_div_ctl.scala 800:64] + node _T_123 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_12 = and(_T_122, _T_123) @[exu_div_ctl.scala 800:94] + node _T_124 = eq(quotient_new, UInt<4>("h0d")) @[exu_div_ctl.scala 800:80] + node _T_125 = and(running_state, _T_124) @[exu_div_ctl.scala 800:64] + node _T_126 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_13 = and(_T_125, _T_126) @[exu_div_ctl.scala 800:94] + node _T_127 = eq(quotient_new, UInt<4>("h0e")) @[exu_div_ctl.scala 800:80] + node _T_128 = and(running_state, _T_127) @[exu_div_ctl.scala 800:64] + node _T_129 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_14 = and(_T_128, _T_129) @[exu_div_ctl.scala 800:94] + node _T_130 = eq(quotient_new, UInt<4>("h0f")) @[exu_div_ctl.scala 800:80] + node _T_131 = and(running_state, _T_130) @[exu_div_ctl.scala 800:64] + node _T_132 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 800:96] + node r_adder_sel_15 = and(_T_131, _T_132) @[exu_div_ctl.scala 800:94] + node _T_133 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 801:38] + node _T_134 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 801:49] + node _T_135 = cat(_T_133, _T_134) @[Cat.scala 29:58] + node _T_136 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 801:64] + node _T_137 = add(_T_135, _T_136) @[exu_div_ctl.scala 801:58] + node adder1_out = tail(_T_137, 1) @[exu_div_ctl.scala 801:58] + node _T_138 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 802:38] + node _T_139 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 802:49] + node _T_140 = cat(_T_138, _T_139) @[Cat.scala 29:58] + node _T_141 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 802:68] + node _T_142 = cat(_T_141, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_143 = add(_T_140, _T_142) @[exu_div_ctl.scala 802:58] + node adder2_out = tail(_T_143, 1) @[exu_div_ctl.scala 802:58] + node _T_144 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 803:38] + node _T_145 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 803:49] + node _T_146 = cat(_T_144, _T_145) @[Cat.scala 29:58] + node _T_147 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 803:68] + node _T_148 = cat(_T_147, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_149 = add(_T_146, _T_148) @[exu_div_ctl.scala 803:58] + node _T_150 = tail(_T_149, 1) @[exu_div_ctl.scala 803:58] + node _T_151 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 803:86] + node _T_152 = add(_T_150, _T_151) @[exu_div_ctl.scala 803:80] + node adder3_out = tail(_T_152, 1) @[exu_div_ctl.scala 803:80] + node _T_153 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 804:38] + node _T_154 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 804:47] + node _T_155 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 804:58] + node _T_156 = cat(_T_153, _T_154) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_155) @[Cat.scala 29:58] + node _T_158 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 804:77] + node _T_159 = cat(_T_158, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_160 = add(_T_157, _T_159) @[exu_div_ctl.scala 804:67] + node adder4_out = tail(_T_160, 1) @[exu_div_ctl.scala 804:67] + node _T_161 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 805:38] + node _T_162 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 805:47] + node _T_163 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 805:58] + node _T_164 = cat(_T_161, _T_162) @[Cat.scala 29:58] + node _T_165 = cat(_T_164, _T_163) @[Cat.scala 29:58] + node _T_166 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 805:77] + node _T_167 = cat(_T_166, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_168 = add(_T_165, _T_167) @[exu_div_ctl.scala 805:67] + node _T_169 = tail(_T_168, 1) @[exu_div_ctl.scala 805:67] + node _T_170 = add(_T_169, b_ff) @[exu_div_ctl.scala 805:94] + node adder5_out = tail(_T_170, 1) @[exu_div_ctl.scala 805:94] + node _T_171 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 806:38] + node _T_172 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 806:47] + node _T_173 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 806:58] + node _T_174 = cat(_T_171, _T_172) @[Cat.scala 29:58] + node _T_175 = cat(_T_174, _T_173) @[Cat.scala 29:58] + node _T_176 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 806:77] + node _T_177 = cat(_T_176, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_178 = add(_T_175, _T_177) @[exu_div_ctl.scala 806:67] + node _T_179 = tail(_T_178, 1) @[exu_div_ctl.scala 806:67] + node _T_180 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 806:104] + node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_182 = add(_T_179, _T_181) @[exu_div_ctl.scala 806:94] + node adder6_out = tail(_T_182, 1) @[exu_div_ctl.scala 806:94] + node _T_183 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 807:38] + node _T_184 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 807:47] + node _T_185 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 807:58] + node _T_186 = cat(_T_183, _T_184) @[Cat.scala 29:58] + node _T_187 = cat(_T_186, _T_185) @[Cat.scala 29:58] + node _T_188 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 807:77] + node _T_189 = cat(_T_188, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_190 = add(_T_187, _T_189) @[exu_div_ctl.scala 807:67] + node _T_191 = tail(_T_190, 1) @[exu_div_ctl.scala 807:67] + node _T_192 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 807:104] + node _T_193 = cat(_T_192, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_194 = add(_T_191, _T_193) @[exu_div_ctl.scala 807:94] + node _T_195 = tail(_T_194, 1) @[exu_div_ctl.scala 807:94] + node _T_196 = add(_T_195, b_ff) @[exu_div_ctl.scala 807:116] + node adder7_out = tail(_T_196, 1) @[exu_div_ctl.scala 807:116] + node _T_197 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 808:38] + node _T_198 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 808:47] + node _T_199 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 808:58] + node _T_200 = cat(_T_197, _T_198) @[Cat.scala 29:58] + node _T_201 = cat(_T_200, _T_199) @[Cat.scala 29:58] + node _T_202 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 808:77] + node _T_203 = cat(_T_202, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_204 = add(_T_201, _T_203) @[exu_div_ctl.scala 808:67] + node adder8_out = tail(_T_204, 1) @[exu_div_ctl.scala 808:67] + node _T_205 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 809:38] + node _T_206 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 809:47] + node _T_207 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 809:58] + node _T_208 = cat(_T_205, _T_206) @[Cat.scala 29:58] + node _T_209 = cat(_T_208, _T_207) @[Cat.scala 29:58] + node _T_210 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 809:77] + node _T_211 = cat(_T_210, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_212 = add(_T_209, _T_211) @[exu_div_ctl.scala 809:67] + node _T_213 = tail(_T_212, 1) @[exu_div_ctl.scala 809:67] + node _T_214 = add(_T_213, b_ff) @[exu_div_ctl.scala 809:94] + node adder9_out = tail(_T_214, 1) @[exu_div_ctl.scala 809:94] + node _T_215 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 810:38] + node _T_216 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 810:47] + node _T_217 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 810:58] + node _T_218 = cat(_T_215, _T_216) @[Cat.scala 29:58] + node _T_219 = cat(_T_218, _T_217) @[Cat.scala 29:58] + node _T_220 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 810:77] + node _T_221 = cat(_T_220, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_222 = add(_T_219, _T_221) @[exu_div_ctl.scala 810:67] + node _T_223 = tail(_T_222, 1) @[exu_div_ctl.scala 810:67] + node _T_224 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 810:104] + node _T_225 = cat(_T_224, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_226 = add(_T_223, _T_225) @[exu_div_ctl.scala 810:94] + node adder10_out = tail(_T_226, 1) @[exu_div_ctl.scala 810:94] + node _T_227 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 811:38] + node _T_228 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 811:47] + node _T_229 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 811:58] + node _T_230 = cat(_T_227, _T_228) @[Cat.scala 29:58] + node _T_231 = cat(_T_230, _T_229) @[Cat.scala 29:58] + node _T_232 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 811:77] + node _T_233 = cat(_T_232, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_234 = add(_T_231, _T_233) @[exu_div_ctl.scala 811:67] + node _T_235 = tail(_T_234, 1) @[exu_div_ctl.scala 811:67] + node _T_236 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 811:104] + node _T_237 = cat(_T_236, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_238 = add(_T_235, _T_237) @[exu_div_ctl.scala 811:94] + node _T_239 = tail(_T_238, 1) @[exu_div_ctl.scala 811:94] + node _T_240 = add(_T_239, b_ff) @[exu_div_ctl.scala 811:116] + node adder11_out = tail(_T_240, 1) @[exu_div_ctl.scala 811:116] + node _T_241 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 812:38] + node _T_242 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 812:47] + node _T_243 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 812:58] + node _T_244 = cat(_T_241, _T_242) @[Cat.scala 29:58] + node _T_245 = cat(_T_244, _T_243) @[Cat.scala 29:58] + node _T_246 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 812:77] + node _T_247 = cat(_T_246, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_248 = add(_T_245, _T_247) @[exu_div_ctl.scala 812:67] + node _T_249 = tail(_T_248, 1) @[exu_div_ctl.scala 812:67] + node _T_250 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 812:104] + node _T_251 = cat(_T_250, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_252 = add(_T_249, _T_251) @[exu_div_ctl.scala 812:94] + node adder12_out = tail(_T_252, 1) @[exu_div_ctl.scala 812:94] + node _T_253 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 813:38] + node _T_254 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 813:47] + node _T_255 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 813:58] + node _T_256 = cat(_T_253, _T_254) @[Cat.scala 29:58] + node _T_257 = cat(_T_256, _T_255) @[Cat.scala 29:58] + node _T_258 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 813:77] + node _T_259 = cat(_T_258, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_260 = add(_T_257, _T_259) @[exu_div_ctl.scala 813:67] + node _T_261 = tail(_T_260, 1) @[exu_div_ctl.scala 813:67] + node _T_262 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 813:104] + node _T_263 = cat(_T_262, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_264 = add(_T_261, _T_263) @[exu_div_ctl.scala 813:94] + node _T_265 = tail(_T_264, 1) @[exu_div_ctl.scala 813:94] + node _T_266 = add(_T_265, b_ff) @[exu_div_ctl.scala 813:121] + node adder13_out = tail(_T_266, 1) @[exu_div_ctl.scala 813:121] + node _T_267 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 814:38] + node _T_268 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 814:47] + node _T_269 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 814:58] + node _T_270 = cat(_T_267, _T_268) @[Cat.scala 29:58] + node _T_271 = cat(_T_270, _T_269) @[Cat.scala 29:58] + node _T_272 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 814:77] + node _T_273 = cat(_T_272, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_274 = add(_T_271, _T_273) @[exu_div_ctl.scala 814:67] + node _T_275 = tail(_T_274, 1) @[exu_div_ctl.scala 814:67] + node _T_276 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 814:104] + node _T_277 = cat(_T_276, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_278 = add(_T_275, _T_277) @[exu_div_ctl.scala 814:94] + node _T_279 = tail(_T_278, 1) @[exu_div_ctl.scala 814:94] + node _T_280 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 814:131] + node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_282 = add(_T_279, _T_281) @[exu_div_ctl.scala 814:121] + node adder14_out = tail(_T_282, 1) @[exu_div_ctl.scala 814:121] + node _T_283 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 815:38] + node _T_284 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 815:47] + node _T_285 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 815:58] + node _T_286 = cat(_T_283, _T_284) @[Cat.scala 29:58] + node _T_287 = cat(_T_286, _T_285) @[Cat.scala 29:58] + node _T_288 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 815:77] + node _T_289 = cat(_T_288, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_290 = add(_T_287, _T_289) @[exu_div_ctl.scala 815:67] + node _T_291 = tail(_T_290, 1) @[exu_div_ctl.scala 815:67] + node _T_292 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 815:104] + node _T_293 = cat(_T_292, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_294 = add(_T_291, _T_293) @[exu_div_ctl.scala 815:94] + node _T_295 = tail(_T_294, 1) @[exu_div_ctl.scala 815:94] + node _T_296 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 815:131] + node _T_297 = cat(_T_296, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_298 = add(_T_295, _T_297) @[exu_div_ctl.scala 815:121] + node _T_299 = tail(_T_298, 1) @[exu_div_ctl.scala 815:121] + node _T_300 = add(_T_299, b_ff) @[exu_div_ctl.scala 815:143] + node adder15_out = tail(_T_300, 1) @[exu_div_ctl.scala 815:143] + node _T_301 = bits(adder15_out, 37, 37) @[exu_div_ctl.scala 818:18] + node _T_302 = eq(_T_301, UInt<1>("h00")) @[exu_div_ctl.scala 818:6] + node _T_303 = xor(_T_302, dividend_sign_ff) @[exu_div_ctl.scala 818:23] + node _T_304 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 818:51] + node _T_305 = eq(_T_304, UInt<1>("h00")) @[exu_div_ctl.scala 818:58] + node _T_306 = eq(adder15_out, UInt<1>("h00")) @[exu_div_ctl.scala 818:82] + node _T_307 = and(_T_305, _T_306) @[exu_div_ctl.scala 818:67] + node _T_308 = or(_T_303, _T_307) @[exu_div_ctl.scala 818:43] + node _T_309 = bits(adder14_out, 37, 37) @[exu_div_ctl.scala 819:18] + node _T_310 = eq(_T_309, UInt<1>("h00")) @[exu_div_ctl.scala 819:6] + node _T_311 = xor(_T_310, dividend_sign_ff) @[exu_div_ctl.scala 819:23] + node _T_312 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 819:51] + node _T_313 = eq(_T_312, UInt<1>("h00")) @[exu_div_ctl.scala 819:58] + node _T_314 = eq(adder14_out, UInt<1>("h00")) @[exu_div_ctl.scala 819:82] + node _T_315 = and(_T_313, _T_314) @[exu_div_ctl.scala 819:67] + node _T_316 = or(_T_311, _T_315) @[exu_div_ctl.scala 819:43] + node _T_317 = bits(adder13_out, 37, 37) @[exu_div_ctl.scala 820:18] + node _T_318 = eq(_T_317, UInt<1>("h00")) @[exu_div_ctl.scala 820:6] + node _T_319 = xor(_T_318, dividend_sign_ff) @[exu_div_ctl.scala 820:23] + node _T_320 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 820:51] + node _T_321 = eq(_T_320, UInt<1>("h00")) @[exu_div_ctl.scala 820:58] + node _T_322 = eq(adder13_out, UInt<1>("h00")) @[exu_div_ctl.scala 820:82] + node _T_323 = and(_T_321, _T_322) @[exu_div_ctl.scala 820:67] + node _T_324 = or(_T_319, _T_323) @[exu_div_ctl.scala 820:43] + node _T_325 = bits(adder12_out, 37, 37) @[exu_div_ctl.scala 821:18] + node _T_326 = eq(_T_325, UInt<1>("h00")) @[exu_div_ctl.scala 821:6] + node _T_327 = xor(_T_326, dividend_sign_ff) @[exu_div_ctl.scala 821:23] + node _T_328 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 821:51] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[exu_div_ctl.scala 821:58] + node _T_330 = eq(adder12_out, UInt<1>("h00")) @[exu_div_ctl.scala 821:82] + node _T_331 = and(_T_329, _T_330) @[exu_div_ctl.scala 821:67] + node _T_332 = or(_T_327, _T_331) @[exu_div_ctl.scala 821:43] + node _T_333 = bits(adder11_out, 37, 37) @[exu_div_ctl.scala 822:18] + node _T_334 = eq(_T_333, UInt<1>("h00")) @[exu_div_ctl.scala 822:6] + node _T_335 = xor(_T_334, dividend_sign_ff) @[exu_div_ctl.scala 822:23] + node _T_336 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 822:51] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[exu_div_ctl.scala 822:58] + node _T_338 = eq(adder11_out, UInt<1>("h00")) @[exu_div_ctl.scala 822:82] + node _T_339 = and(_T_337, _T_338) @[exu_div_ctl.scala 822:67] + node _T_340 = or(_T_335, _T_339) @[exu_div_ctl.scala 822:43] + node _T_341 = bits(adder10_out, 37, 37) @[exu_div_ctl.scala 823:18] + node _T_342 = eq(_T_341, UInt<1>("h00")) @[exu_div_ctl.scala 823:6] + node _T_343 = xor(_T_342, dividend_sign_ff) @[exu_div_ctl.scala 823:23] + node _T_344 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 823:51] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[exu_div_ctl.scala 823:58] + node _T_346 = eq(adder10_out, UInt<1>("h00")) @[exu_div_ctl.scala 823:82] + node _T_347 = and(_T_345, _T_346) @[exu_div_ctl.scala 823:67] + node _T_348 = or(_T_343, _T_347) @[exu_div_ctl.scala 823:43] + node _T_349 = bits(adder9_out, 37, 37) @[exu_div_ctl.scala 824:17] + node _T_350 = eq(_T_349, UInt<1>("h00")) @[exu_div_ctl.scala 824:6] + node _T_351 = xor(_T_350, dividend_sign_ff) @[exu_div_ctl.scala 824:22] + node _T_352 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 824:50] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[exu_div_ctl.scala 824:57] + node _T_354 = eq(adder9_out, UInt<1>("h00")) @[exu_div_ctl.scala 824:80] + node _T_355 = and(_T_353, _T_354) @[exu_div_ctl.scala 824:66] + node _T_356 = or(_T_351, _T_355) @[exu_div_ctl.scala 824:42] + node _T_357 = bits(adder8_out, 37, 37) @[exu_div_ctl.scala 825:17] + node _T_358 = eq(_T_357, UInt<1>("h00")) @[exu_div_ctl.scala 825:6] + node _T_359 = xor(_T_358, dividend_sign_ff) @[exu_div_ctl.scala 825:22] + node _T_360 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 825:50] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[exu_div_ctl.scala 825:57] + node _T_362 = eq(adder8_out, UInt<1>("h00")) @[exu_div_ctl.scala 825:80] + node _T_363 = and(_T_361, _T_362) @[exu_div_ctl.scala 825:66] + node _T_364 = or(_T_359, _T_363) @[exu_div_ctl.scala 825:42] + node _T_365 = bits(adder7_out, 37, 37) @[exu_div_ctl.scala 826:17] + node _T_366 = eq(_T_365, UInt<1>("h00")) @[exu_div_ctl.scala 826:6] + node _T_367 = xor(_T_366, dividend_sign_ff) @[exu_div_ctl.scala 826:22] + node _T_368 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 826:50] + node _T_369 = eq(_T_368, UInt<1>("h00")) @[exu_div_ctl.scala 826:57] + node _T_370 = eq(adder7_out, UInt<1>("h00")) @[exu_div_ctl.scala 826:80] + node _T_371 = and(_T_369, _T_370) @[exu_div_ctl.scala 826:66] + node _T_372 = or(_T_367, _T_371) @[exu_div_ctl.scala 826:42] + node _T_373 = bits(adder6_out, 37, 37) @[exu_div_ctl.scala 827:17] + node _T_374 = eq(_T_373, UInt<1>("h00")) @[exu_div_ctl.scala 827:6] + node _T_375 = xor(_T_374, dividend_sign_ff) @[exu_div_ctl.scala 827:22] + node _T_376 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 827:50] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[exu_div_ctl.scala 827:57] + node _T_378 = eq(adder6_out, UInt<1>("h00")) @[exu_div_ctl.scala 827:80] + node _T_379 = and(_T_377, _T_378) @[exu_div_ctl.scala 827:66] + node _T_380 = or(_T_375, _T_379) @[exu_div_ctl.scala 827:42] + node _T_381 = bits(adder5_out, 37, 37) @[exu_div_ctl.scala 828:17] + node _T_382 = eq(_T_381, UInt<1>("h00")) @[exu_div_ctl.scala 828:6] + node _T_383 = xor(_T_382, dividend_sign_ff) @[exu_div_ctl.scala 828:22] + node _T_384 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 828:50] + node _T_385 = eq(_T_384, UInt<1>("h00")) @[exu_div_ctl.scala 828:57] + node _T_386 = eq(adder5_out, UInt<1>("h00")) @[exu_div_ctl.scala 828:80] + node _T_387 = and(_T_385, _T_386) @[exu_div_ctl.scala 828:66] + node _T_388 = or(_T_383, _T_387) @[exu_div_ctl.scala 828:42] + node _T_389 = bits(adder4_out, 37, 37) @[exu_div_ctl.scala 829:17] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[exu_div_ctl.scala 829:6] + node _T_391 = xor(_T_390, dividend_sign_ff) @[exu_div_ctl.scala 829:22] + node _T_392 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 829:50] + node _T_393 = eq(_T_392, UInt<1>("h00")) @[exu_div_ctl.scala 829:57] + node _T_394 = eq(adder4_out, UInt<1>("h00")) @[exu_div_ctl.scala 829:80] + node _T_395 = and(_T_393, _T_394) @[exu_div_ctl.scala 829:66] + node _T_396 = or(_T_391, _T_395) @[exu_div_ctl.scala 829:42] + node _T_397 = bits(adder3_out, 36, 36) @[exu_div_ctl.scala 830:17] + node _T_398 = eq(_T_397, UInt<1>("h00")) @[exu_div_ctl.scala 830:6] + node _T_399 = xor(_T_398, dividend_sign_ff) @[exu_div_ctl.scala 830:22] + node _T_400 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 830:50] + node _T_401 = eq(_T_400, UInt<1>("h00")) @[exu_div_ctl.scala 830:57] + node _T_402 = eq(adder3_out, UInt<1>("h00")) @[exu_div_ctl.scala 830:80] + node _T_403 = and(_T_401, _T_402) @[exu_div_ctl.scala 830:66] + node _T_404 = or(_T_399, _T_403) @[exu_div_ctl.scala 830:42] + node _T_405 = bits(adder2_out, 35, 35) @[exu_div_ctl.scala 831:17] + node _T_406 = eq(_T_405, UInt<1>("h00")) @[exu_div_ctl.scala 831:6] + node _T_407 = xor(_T_406, dividend_sign_ff) @[exu_div_ctl.scala 831:22] + node _T_408 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 831:50] + node _T_409 = eq(_T_408, UInt<1>("h00")) @[exu_div_ctl.scala 831:57] + node _T_410 = eq(adder2_out, UInt<1>("h00")) @[exu_div_ctl.scala 831:80] + node _T_411 = and(_T_409, _T_410) @[exu_div_ctl.scala 831:66] + node _T_412 = or(_T_407, _T_411) @[exu_div_ctl.scala 831:42] + node _T_413 = bits(adder1_out, 34, 34) @[exu_div_ctl.scala 832:17] + node _T_414 = eq(_T_413, UInt<1>("h00")) @[exu_div_ctl.scala 832:6] + node _T_415 = xor(_T_414, dividend_sign_ff) @[exu_div_ctl.scala 832:22] + node _T_416 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 832:50] + node _T_417 = eq(_T_416, UInt<1>("h00")) @[exu_div_ctl.scala 832:57] + node _T_418 = eq(adder1_out, UInt<1>("h00")) @[exu_div_ctl.scala 832:80] + node _T_419 = and(_T_417, _T_418) @[exu_div_ctl.scala 832:66] + node _T_420 = or(_T_415, _T_419) @[exu_div_ctl.scala 832:42] + node _T_421 = cat(_T_420, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_422 = cat(_T_404, _T_412) @[Cat.scala 29:58] + node _T_423 = cat(_T_422, _T_421) @[Cat.scala 29:58] + node _T_424 = cat(_T_388, _T_396) @[Cat.scala 29:58] + node _T_425 = cat(_T_372, _T_380) @[Cat.scala 29:58] + node _T_426 = cat(_T_425, _T_424) @[Cat.scala 29:58] + node _T_427 = cat(_T_426, _T_423) @[Cat.scala 29:58] + node _T_428 = cat(_T_356, _T_364) @[Cat.scala 29:58] + node _T_429 = cat(_T_340, _T_348) @[Cat.scala 29:58] + node _T_430 = cat(_T_429, _T_428) @[Cat.scala 29:58] + node _T_431 = cat(_T_324, _T_332) @[Cat.scala 29:58] + node _T_432 = cat(_T_308, _T_316) @[Cat.scala 29:58] + node _T_433 = cat(_T_432, _T_431) @[Cat.scala 29:58] + node _T_434 = cat(_T_433, _T_430) @[Cat.scala 29:58] + node _T_435 = cat(_T_434, _T_427) @[Cat.scala 29:58] + quotient_raw <= _T_435 @[exu_div_ctl.scala 817:16] + node _T_436 = bits(quotient_raw, 15, 8) @[exu_div_ctl.scala 835:43] + node _T_437 = mux(UInt<1>("h00"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_438 = cat(_T_437, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_439 = eq(_T_436, _T_438) @[exu_div_ctl.scala 835:49] + node _T_440 = bits(_T_439, 0, 0) @[exu_div_ctl.scala 835:78] + node _T_441 = bits(quotient_raw, 15, 9) @[exu_div_ctl.scala 835:43] + node _T_442 = mux(UInt<1>("h00"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_443 = cat(_T_442, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_444 = eq(_T_441, _T_443) @[exu_div_ctl.scala 835:49] + node _T_445 = bits(_T_444, 0, 0) @[exu_div_ctl.scala 835:78] + node _T_446 = bits(quotient_raw, 15, 10) @[exu_div_ctl.scala 835:43] + node _T_447 = mux(UInt<1>("h00"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_448 = cat(_T_447, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_449 = eq(_T_446, _T_448) @[exu_div_ctl.scala 835:49] + node _T_450 = bits(_T_449, 0, 0) @[exu_div_ctl.scala 835:78] + node _T_451 = bits(quotient_raw, 15, 11) @[exu_div_ctl.scala 835:43] + node _T_452 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_453 = cat(_T_452, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_454 = eq(_T_451, _T_453) @[exu_div_ctl.scala 835:49] + node _T_455 = bits(_T_454, 0, 0) @[exu_div_ctl.scala 835:78] + node _T_456 = bits(quotient_raw, 15, 12) @[exu_div_ctl.scala 835:43] + node _T_457 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_458 = cat(_T_457, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_459 = eq(_T_456, _T_458) @[exu_div_ctl.scala 835:49] + node _T_460 = bits(_T_459, 0, 0) @[exu_div_ctl.scala 835:78] + node _T_461 = bits(quotient_raw, 15, 13) @[exu_div_ctl.scala 835:43] + node _T_462 = mux(UInt<1>("h00"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_463 = cat(_T_462, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_464 = eq(_T_461, _T_463) @[exu_div_ctl.scala 835:49] + node _T_465 = bits(_T_464, 0, 0) @[exu_div_ctl.scala 835:78] + node _T_466 = bits(quotient_raw, 15, 14) @[exu_div_ctl.scala 835:43] + node _T_467 = cat(UInt<1>("h00"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_468 = eq(_T_466, _T_467) @[exu_div_ctl.scala 835:49] + node _T_469 = bits(_T_468, 0, 0) @[exu_div_ctl.scala 835:78] + node _T_470 = mux(_T_440, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_471 = mux(_T_445, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_472 = mux(_T_450, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_473 = mux(_T_455, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_474 = mux(_T_460, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_475 = mux(_T_465, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_476 = mux(_T_469, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_477 = or(_T_470, _T_471) @[Mux.scala 27:72] + node _T_478 = or(_T_477, _T_472) @[Mux.scala 27:72] + node _T_479 = or(_T_478, _T_473) @[Mux.scala 27:72] + node _T_480 = or(_T_479, _T_474) @[Mux.scala 27:72] + node _T_481 = or(_T_480, _T_475) @[Mux.scala 27:72] + node _T_482 = or(_T_481, _T_476) @[Mux.scala 27:72] + wire _T_483 : UInt<1> @[Mux.scala 27:72] + _T_483 <= _T_482 @[Mux.scala 27:72] + node _T_484 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 835:109] + node _T_485 = eq(_T_484, UInt<1>("h01")) @[exu_div_ctl.scala 835:113] + node _T_486 = or(_T_483, _T_485) @[exu_div_ctl.scala 835:94] + node _T_487 = bits(quotient_raw, 15, 4) @[exu_div_ctl.scala 836:31] + node _T_488 = eq(_T_487, UInt<12>("h01")) @[exu_div_ctl.scala 836:40] + node _T_489 = bits(quotient_raw, 15, 5) @[exu_div_ctl.scala 836:91] + node _T_490 = eq(_T_489, UInt<11>("h01")) @[exu_div_ctl.scala 836:98] + node _T_491 = bits(quotient_raw, 15, 6) @[exu_div_ctl.scala 836:148] + node _T_492 = eq(_T_491, UInt<10>("h01")) @[exu_div_ctl.scala 836:155] + node _T_493 = bits(quotient_raw, 15, 7) @[exu_div_ctl.scala 836:204] + node _T_494 = eq(_T_493, UInt<9>("h01")) @[exu_div_ctl.scala 836:211] + node _T_495 = bits(quotient_raw, 15, 12) @[exu_div_ctl.scala 837:21] + node _T_496 = eq(_T_495, UInt<4>("h01")) @[exu_div_ctl.scala 837:28] + node _T_497 = bits(quotient_raw, 15, 13) @[exu_div_ctl.scala 837:70] + node _T_498 = eq(_T_497, UInt<3>("h01")) @[exu_div_ctl.scala 837:77] + node _T_499 = bits(quotient_raw, 15, 14) @[exu_div_ctl.scala 837:118] + node _T_500 = eq(_T_499, UInt<2>("h01")) @[exu_div_ctl.scala 837:125] + node _T_501 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 837:165] + node _T_502 = eq(_T_501, UInt<1>("h01")) @[exu_div_ctl.scala 837:172] + node _T_503 = mux(_T_488, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_504 = mux(_T_490, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_505 = mux(_T_492, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_506 = mux(_T_494, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_507 = mux(_T_496, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_508 = mux(_T_498, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_509 = mux(_T_500, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_510 = mux(_T_502, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_511 = or(_T_503, _T_504) @[Mux.scala 27:72] + node _T_512 = or(_T_511, _T_505) @[Mux.scala 27:72] + node _T_513 = or(_T_512, _T_506) @[Mux.scala 27:72] + node _T_514 = or(_T_513, _T_507) @[Mux.scala 27:72] + node _T_515 = or(_T_514, _T_508) @[Mux.scala 27:72] + node _T_516 = or(_T_515, _T_509) @[Mux.scala 27:72] + node _T_517 = or(_T_516, _T_510) @[Mux.scala 27:72] + wire _T_518 : UInt<1> @[Mux.scala 27:72] + _T_518 <= _T_517 @[Mux.scala 27:72] + node _T_519 = bits(quotient_raw, 15, 2) @[exu_div_ctl.scala 838:30] + node _T_520 = eq(_T_519, UInt<14>("h01")) @[exu_div_ctl.scala 838:37] + node _T_521 = bits(quotient_raw, 15, 3) @[exu_div_ctl.scala 838:90] + node _T_522 = eq(_T_521, UInt<13>("h01")) @[exu_div_ctl.scala 838:97] + node _T_523 = bits(quotient_raw, 15, 6) @[exu_div_ctl.scala 838:149] + node _T_524 = eq(_T_523, UInt<10>("h01")) @[exu_div_ctl.scala 838:156] + node _T_525 = bits(quotient_raw, 15, 7) @[exu_div_ctl.scala 838:205] + node _T_526 = eq(_T_525, UInt<9>("h01")) @[exu_div_ctl.scala 838:212] + node _T_527 = bits(quotient_raw, 15, 10) @[exu_div_ctl.scala 839:23] + node _T_528 = eq(_T_527, UInt<6>("h01")) @[exu_div_ctl.scala 839:30] + node _T_529 = bits(quotient_raw, 15, 11) @[exu_div_ctl.scala 839:74] + node _T_530 = eq(_T_529, UInt<5>("h01")) @[exu_div_ctl.scala 839:81] + node _T_531 = bits(quotient_raw, 15, 14) @[exu_div_ctl.scala 839:124] + node _T_532 = eq(_T_531, UInt<2>("h01")) @[exu_div_ctl.scala 839:131] + node _T_533 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 839:171] + node _T_534 = eq(_T_533, UInt<1>("h01")) @[exu_div_ctl.scala 839:178] + node _T_535 = mux(_T_520, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_536 = mux(_T_522, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_537 = mux(_T_524, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_538 = mux(_T_526, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_539 = mux(_T_528, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_540 = mux(_T_530, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_541 = mux(_T_532, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_542 = mux(_T_534, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_543 = or(_T_535, _T_536) @[Mux.scala 27:72] + node _T_544 = or(_T_543, _T_537) @[Mux.scala 27:72] + node _T_545 = or(_T_544, _T_538) @[Mux.scala 27:72] + node _T_546 = or(_T_545, _T_539) @[Mux.scala 27:72] + node _T_547 = or(_T_546, _T_540) @[Mux.scala 27:72] + node _T_548 = or(_T_547, _T_541) @[Mux.scala 27:72] + node _T_549 = or(_T_548, _T_542) @[Mux.scala 27:72] + wire _T_550 : UInt<1> @[Mux.scala 27:72] + _T_550 <= _T_549 @[Mux.scala 27:72] + node _T_551 = bits(quotient_raw, 15, 1) @[exu_div_ctl.scala 840:48] + node _T_552 = mux(UInt<1>("h00"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_553 = cat(_T_552, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_554 = eq(_T_551, _T_553) @[exu_div_ctl.scala 840:54] + node _T_555 = bits(_T_554, 0, 0) @[exu_div_ctl.scala 840:83] + node _T_556 = bits(quotient_raw, 15, 3) @[exu_div_ctl.scala 840:48] + node _T_557 = mux(UInt<1>("h00"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_558 = cat(_T_557, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_559 = eq(_T_556, _T_558) @[exu_div_ctl.scala 840:54] + node _T_560 = bits(_T_559, 0, 0) @[exu_div_ctl.scala 840:83] + node _T_561 = bits(quotient_raw, 15, 5) @[exu_div_ctl.scala 840:48] + node _T_562 = mux(UInt<1>("h00"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_563 = cat(_T_562, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_564 = eq(_T_561, _T_563) @[exu_div_ctl.scala 840:54] + node _T_565 = bits(_T_564, 0, 0) @[exu_div_ctl.scala 840:83] + node _T_566 = bits(quotient_raw, 15, 7) @[exu_div_ctl.scala 840:48] + node _T_567 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_568 = cat(_T_567, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_569 = eq(_T_566, _T_568) @[exu_div_ctl.scala 840:54] + node _T_570 = bits(_T_569, 0, 0) @[exu_div_ctl.scala 840:83] + node _T_571 = bits(quotient_raw, 15, 9) @[exu_div_ctl.scala 840:48] + node _T_572 = mux(UInt<1>("h00"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_573 = cat(_T_572, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_574 = eq(_T_571, _T_573) @[exu_div_ctl.scala 840:54] + node _T_575 = bits(_T_574, 0, 0) @[exu_div_ctl.scala 840:83] + node _T_576 = bits(quotient_raw, 15, 11) @[exu_div_ctl.scala 840:48] + node _T_577 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_578 = cat(_T_577, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_579 = eq(_T_576, _T_578) @[exu_div_ctl.scala 840:54] + node _T_580 = bits(_T_579, 0, 0) @[exu_div_ctl.scala 840:83] + node _T_581 = bits(quotient_raw, 15, 13) @[exu_div_ctl.scala 840:48] + node _T_582 = mux(UInt<1>("h00"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_583 = cat(_T_582, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_584 = eq(_T_581, _T_583) @[exu_div_ctl.scala 840:54] + node _T_585 = bits(_T_584, 0, 0) @[exu_div_ctl.scala 840:83] + node _T_586 = mux(_T_555, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_587 = mux(_T_560, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_588 = mux(_T_565, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_589 = mux(_T_570, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_590 = mux(_T_575, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_591 = mux(_T_580, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_592 = mux(_T_585, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_593 = or(_T_586, _T_587) @[Mux.scala 27:72] + node _T_594 = or(_T_593, _T_588) @[Mux.scala 27:72] + node _T_595 = or(_T_594, _T_589) @[Mux.scala 27:72] + node _T_596 = or(_T_595, _T_590) @[Mux.scala 27:72] + node _T_597 = or(_T_596, _T_591) @[Mux.scala 27:72] + node _T_598 = or(_T_597, _T_592) @[Mux.scala 27:72] + wire _T_599 : UInt<1> @[Mux.scala 27:72] + _T_599 <= _T_598 @[Mux.scala 27:72] + node _T_600 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 840:114] + node _T_601 = eq(_T_600, UInt<1>("h01")) @[exu_div_ctl.scala 840:118] + node _T_602 = or(_T_599, _T_601) @[exu_div_ctl.scala 840:99] + node _T_603 = cat(_T_550, _T_602) @[Cat.scala 29:58] + node _T_604 = cat(_T_486, _T_518) @[Cat.scala 29:58] + node _T_605 = cat(_T_604, _T_603) @[Cat.scala 29:58] + quotient_new <= _T_605 @[exu_div_ctl.scala 834:16] + node _T_606 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 843:50] + node _T_607 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_608 = mux(twos_comp_b_sel, _T_606, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_609 = or(_T_607, _T_608) @[Mux.scala 27:72] + wire twos_comp_in : UInt<32> @[Mux.scala 27:72] + twos_comp_in <= _T_609 @[Mux.scala 27:72] + wire _T_610 : UInt<1>[31] @[lib.scala 647:20] + node _T_611 = bits(twos_comp_in, 0, 0) @[lib.scala 649:27] + node _T_612 = orr(_T_611) @[lib.scala 649:35] + node _T_613 = bits(twos_comp_in, 1, 1) @[lib.scala 649:44] + node _T_614 = not(_T_613) @[lib.scala 649:40] + node _T_615 = bits(twos_comp_in, 1, 1) @[lib.scala 649:51] + node _T_616 = mux(_T_612, _T_614, _T_615) @[lib.scala 649:23] + _T_610[0] <= _T_616 @[lib.scala 649:17] + node _T_617 = bits(twos_comp_in, 1, 0) @[lib.scala 649:27] + node _T_618 = orr(_T_617) @[lib.scala 649:35] + node _T_619 = bits(twos_comp_in, 2, 2) @[lib.scala 649:44] + node _T_620 = not(_T_619) @[lib.scala 649:40] + node _T_621 = bits(twos_comp_in, 2, 2) @[lib.scala 649:51] + node _T_622 = mux(_T_618, _T_620, _T_621) @[lib.scala 649:23] + _T_610[1] <= _T_622 @[lib.scala 649:17] + node _T_623 = bits(twos_comp_in, 2, 0) @[lib.scala 649:27] + node _T_624 = orr(_T_623) @[lib.scala 649:35] + node _T_625 = bits(twos_comp_in, 3, 3) @[lib.scala 649:44] + node _T_626 = not(_T_625) @[lib.scala 649:40] + node _T_627 = bits(twos_comp_in, 3, 3) @[lib.scala 649:51] + node _T_628 = mux(_T_624, _T_626, _T_627) @[lib.scala 649:23] + _T_610[2] <= _T_628 @[lib.scala 649:17] + node _T_629 = bits(twos_comp_in, 3, 0) @[lib.scala 649:27] + node _T_630 = orr(_T_629) @[lib.scala 649:35] + node _T_631 = bits(twos_comp_in, 4, 4) @[lib.scala 649:44] + node _T_632 = not(_T_631) @[lib.scala 649:40] + node _T_633 = bits(twos_comp_in, 4, 4) @[lib.scala 649:51] + node _T_634 = mux(_T_630, _T_632, _T_633) @[lib.scala 649:23] + _T_610[3] <= _T_634 @[lib.scala 649:17] + node _T_635 = bits(twos_comp_in, 4, 0) @[lib.scala 649:27] + node _T_636 = orr(_T_635) @[lib.scala 649:35] + node _T_637 = bits(twos_comp_in, 5, 5) @[lib.scala 649:44] + node _T_638 = not(_T_637) @[lib.scala 649:40] + node _T_639 = bits(twos_comp_in, 5, 5) @[lib.scala 649:51] + node _T_640 = mux(_T_636, _T_638, _T_639) @[lib.scala 649:23] + _T_610[4] <= _T_640 @[lib.scala 649:17] + node _T_641 = bits(twos_comp_in, 5, 0) @[lib.scala 649:27] + node _T_642 = orr(_T_641) @[lib.scala 649:35] + node _T_643 = bits(twos_comp_in, 6, 6) @[lib.scala 649:44] + node _T_644 = not(_T_643) @[lib.scala 649:40] + node _T_645 = bits(twos_comp_in, 6, 6) @[lib.scala 649:51] + node _T_646 = mux(_T_642, _T_644, _T_645) @[lib.scala 649:23] + _T_610[5] <= _T_646 @[lib.scala 649:17] + node _T_647 = bits(twos_comp_in, 6, 0) @[lib.scala 649:27] + node _T_648 = orr(_T_647) @[lib.scala 649:35] + node _T_649 = bits(twos_comp_in, 7, 7) @[lib.scala 649:44] + node _T_650 = not(_T_649) @[lib.scala 649:40] + node _T_651 = bits(twos_comp_in, 7, 7) @[lib.scala 649:51] + node _T_652 = mux(_T_648, _T_650, _T_651) @[lib.scala 649:23] + _T_610[6] <= _T_652 @[lib.scala 649:17] + node _T_653 = bits(twos_comp_in, 7, 0) @[lib.scala 649:27] + node _T_654 = orr(_T_653) @[lib.scala 649:35] + node _T_655 = bits(twos_comp_in, 8, 8) @[lib.scala 649:44] + node _T_656 = not(_T_655) @[lib.scala 649:40] + node _T_657 = bits(twos_comp_in, 8, 8) @[lib.scala 649:51] + node _T_658 = mux(_T_654, _T_656, _T_657) @[lib.scala 649:23] + _T_610[7] <= _T_658 @[lib.scala 649:17] + node _T_659 = bits(twos_comp_in, 8, 0) @[lib.scala 649:27] + node _T_660 = orr(_T_659) @[lib.scala 649:35] + node _T_661 = bits(twos_comp_in, 9, 9) @[lib.scala 649:44] + node _T_662 = not(_T_661) @[lib.scala 649:40] + node _T_663 = bits(twos_comp_in, 9, 9) @[lib.scala 649:51] + node _T_664 = mux(_T_660, _T_662, _T_663) @[lib.scala 649:23] + _T_610[8] <= _T_664 @[lib.scala 649:17] + node _T_665 = bits(twos_comp_in, 9, 0) @[lib.scala 649:27] + node _T_666 = orr(_T_665) @[lib.scala 649:35] + node _T_667 = bits(twos_comp_in, 10, 10) @[lib.scala 649:44] + node _T_668 = not(_T_667) @[lib.scala 649:40] + node _T_669 = bits(twos_comp_in, 10, 10) @[lib.scala 649:51] + node _T_670 = mux(_T_666, _T_668, _T_669) @[lib.scala 649:23] + _T_610[9] <= _T_670 @[lib.scala 649:17] + node _T_671 = bits(twos_comp_in, 10, 0) @[lib.scala 649:27] + node _T_672 = orr(_T_671) @[lib.scala 649:35] + node _T_673 = bits(twos_comp_in, 11, 11) @[lib.scala 649:44] + node _T_674 = not(_T_673) @[lib.scala 649:40] + node _T_675 = bits(twos_comp_in, 11, 11) @[lib.scala 649:51] + node _T_676 = mux(_T_672, _T_674, _T_675) @[lib.scala 649:23] + _T_610[10] <= _T_676 @[lib.scala 649:17] + node _T_677 = bits(twos_comp_in, 11, 0) @[lib.scala 649:27] + node _T_678 = orr(_T_677) @[lib.scala 649:35] + node _T_679 = bits(twos_comp_in, 12, 12) @[lib.scala 649:44] + node _T_680 = not(_T_679) @[lib.scala 649:40] + node _T_681 = bits(twos_comp_in, 12, 12) @[lib.scala 649:51] + node _T_682 = mux(_T_678, _T_680, _T_681) @[lib.scala 649:23] + _T_610[11] <= _T_682 @[lib.scala 649:17] + node _T_683 = bits(twos_comp_in, 12, 0) @[lib.scala 649:27] + node _T_684 = orr(_T_683) @[lib.scala 649:35] + node _T_685 = bits(twos_comp_in, 13, 13) @[lib.scala 649:44] + node _T_686 = not(_T_685) @[lib.scala 649:40] + node _T_687 = bits(twos_comp_in, 13, 13) @[lib.scala 649:51] + node _T_688 = mux(_T_684, _T_686, _T_687) @[lib.scala 649:23] + _T_610[12] <= _T_688 @[lib.scala 649:17] + node _T_689 = bits(twos_comp_in, 13, 0) @[lib.scala 649:27] + node _T_690 = orr(_T_689) @[lib.scala 649:35] + node _T_691 = bits(twos_comp_in, 14, 14) @[lib.scala 649:44] + node _T_692 = not(_T_691) @[lib.scala 649:40] + node _T_693 = bits(twos_comp_in, 14, 14) @[lib.scala 649:51] + node _T_694 = mux(_T_690, _T_692, _T_693) @[lib.scala 649:23] + _T_610[13] <= _T_694 @[lib.scala 649:17] + node _T_695 = bits(twos_comp_in, 14, 0) @[lib.scala 649:27] + node _T_696 = orr(_T_695) @[lib.scala 649:35] + node _T_697 = bits(twos_comp_in, 15, 15) @[lib.scala 649:44] + node _T_698 = not(_T_697) @[lib.scala 649:40] + node _T_699 = bits(twos_comp_in, 15, 15) @[lib.scala 649:51] + node _T_700 = mux(_T_696, _T_698, _T_699) @[lib.scala 649:23] + _T_610[14] <= _T_700 @[lib.scala 649:17] + node _T_701 = bits(twos_comp_in, 15, 0) @[lib.scala 649:27] + node _T_702 = orr(_T_701) @[lib.scala 649:35] + node _T_703 = bits(twos_comp_in, 16, 16) @[lib.scala 649:44] + node _T_704 = not(_T_703) @[lib.scala 649:40] + node _T_705 = bits(twos_comp_in, 16, 16) @[lib.scala 649:51] + node _T_706 = mux(_T_702, _T_704, _T_705) @[lib.scala 649:23] + _T_610[15] <= _T_706 @[lib.scala 649:17] + node _T_707 = bits(twos_comp_in, 16, 0) @[lib.scala 649:27] + node _T_708 = orr(_T_707) @[lib.scala 649:35] + node _T_709 = bits(twos_comp_in, 17, 17) @[lib.scala 649:44] + node _T_710 = not(_T_709) @[lib.scala 649:40] + node _T_711 = bits(twos_comp_in, 17, 17) @[lib.scala 649:51] + node _T_712 = mux(_T_708, _T_710, _T_711) @[lib.scala 649:23] + _T_610[16] <= _T_712 @[lib.scala 649:17] + node _T_713 = bits(twos_comp_in, 17, 0) @[lib.scala 649:27] + node _T_714 = orr(_T_713) @[lib.scala 649:35] + node _T_715 = bits(twos_comp_in, 18, 18) @[lib.scala 649:44] + node _T_716 = not(_T_715) @[lib.scala 649:40] + node _T_717 = bits(twos_comp_in, 18, 18) @[lib.scala 649:51] + node _T_718 = mux(_T_714, _T_716, _T_717) @[lib.scala 649:23] + _T_610[17] <= _T_718 @[lib.scala 649:17] + node _T_719 = bits(twos_comp_in, 18, 0) @[lib.scala 649:27] + node _T_720 = orr(_T_719) @[lib.scala 649:35] + node _T_721 = bits(twos_comp_in, 19, 19) @[lib.scala 649:44] + node _T_722 = not(_T_721) @[lib.scala 649:40] + node _T_723 = bits(twos_comp_in, 19, 19) @[lib.scala 649:51] + node _T_724 = mux(_T_720, _T_722, _T_723) @[lib.scala 649:23] + _T_610[18] <= _T_724 @[lib.scala 649:17] + node _T_725 = bits(twos_comp_in, 19, 0) @[lib.scala 649:27] + node _T_726 = orr(_T_725) @[lib.scala 649:35] + node _T_727 = bits(twos_comp_in, 20, 20) @[lib.scala 649:44] + node _T_728 = not(_T_727) @[lib.scala 649:40] + node _T_729 = bits(twos_comp_in, 20, 20) @[lib.scala 649:51] + node _T_730 = mux(_T_726, _T_728, _T_729) @[lib.scala 649:23] + _T_610[19] <= _T_730 @[lib.scala 649:17] + node _T_731 = bits(twos_comp_in, 20, 0) @[lib.scala 649:27] + node _T_732 = orr(_T_731) @[lib.scala 649:35] + node _T_733 = bits(twos_comp_in, 21, 21) @[lib.scala 649:44] + node _T_734 = not(_T_733) @[lib.scala 649:40] + node _T_735 = bits(twos_comp_in, 21, 21) @[lib.scala 649:51] + node _T_736 = mux(_T_732, _T_734, _T_735) @[lib.scala 649:23] + _T_610[20] <= _T_736 @[lib.scala 649:17] + node _T_737 = bits(twos_comp_in, 21, 0) @[lib.scala 649:27] + node _T_738 = orr(_T_737) @[lib.scala 649:35] + node _T_739 = bits(twos_comp_in, 22, 22) @[lib.scala 649:44] + node _T_740 = not(_T_739) @[lib.scala 649:40] + node _T_741 = bits(twos_comp_in, 22, 22) @[lib.scala 649:51] + node _T_742 = mux(_T_738, _T_740, _T_741) @[lib.scala 649:23] + _T_610[21] <= _T_742 @[lib.scala 649:17] + node _T_743 = bits(twos_comp_in, 22, 0) @[lib.scala 649:27] + node _T_744 = orr(_T_743) @[lib.scala 649:35] + node _T_745 = bits(twos_comp_in, 23, 23) @[lib.scala 649:44] + node _T_746 = not(_T_745) @[lib.scala 649:40] + node _T_747 = bits(twos_comp_in, 23, 23) @[lib.scala 649:51] + node _T_748 = mux(_T_744, _T_746, _T_747) @[lib.scala 649:23] + _T_610[22] <= _T_748 @[lib.scala 649:17] + node _T_749 = bits(twos_comp_in, 23, 0) @[lib.scala 649:27] + node _T_750 = orr(_T_749) @[lib.scala 649:35] + node _T_751 = bits(twos_comp_in, 24, 24) @[lib.scala 649:44] + node _T_752 = not(_T_751) @[lib.scala 649:40] + node _T_753 = bits(twos_comp_in, 24, 24) @[lib.scala 649:51] + node _T_754 = mux(_T_750, _T_752, _T_753) @[lib.scala 649:23] + _T_610[23] <= _T_754 @[lib.scala 649:17] + node _T_755 = bits(twos_comp_in, 24, 0) @[lib.scala 649:27] + node _T_756 = orr(_T_755) @[lib.scala 649:35] + node _T_757 = bits(twos_comp_in, 25, 25) @[lib.scala 649:44] + node _T_758 = not(_T_757) @[lib.scala 649:40] + node _T_759 = bits(twos_comp_in, 25, 25) @[lib.scala 649:51] + node _T_760 = mux(_T_756, _T_758, _T_759) @[lib.scala 649:23] + _T_610[24] <= _T_760 @[lib.scala 649:17] + node _T_761 = bits(twos_comp_in, 25, 0) @[lib.scala 649:27] + node _T_762 = orr(_T_761) @[lib.scala 649:35] + node _T_763 = bits(twos_comp_in, 26, 26) @[lib.scala 649:44] + node _T_764 = not(_T_763) @[lib.scala 649:40] + node _T_765 = bits(twos_comp_in, 26, 26) @[lib.scala 649:51] + node _T_766 = mux(_T_762, _T_764, _T_765) @[lib.scala 649:23] + _T_610[25] <= _T_766 @[lib.scala 649:17] + node _T_767 = bits(twos_comp_in, 26, 0) @[lib.scala 649:27] + node _T_768 = orr(_T_767) @[lib.scala 649:35] + node _T_769 = bits(twos_comp_in, 27, 27) @[lib.scala 649:44] + node _T_770 = not(_T_769) @[lib.scala 649:40] + node _T_771 = bits(twos_comp_in, 27, 27) @[lib.scala 649:51] + node _T_772 = mux(_T_768, _T_770, _T_771) @[lib.scala 649:23] + _T_610[26] <= _T_772 @[lib.scala 649:17] + node _T_773 = bits(twos_comp_in, 27, 0) @[lib.scala 649:27] + node _T_774 = orr(_T_773) @[lib.scala 649:35] + node _T_775 = bits(twos_comp_in, 28, 28) @[lib.scala 649:44] + node _T_776 = not(_T_775) @[lib.scala 649:40] + node _T_777 = bits(twos_comp_in, 28, 28) @[lib.scala 649:51] + node _T_778 = mux(_T_774, _T_776, _T_777) @[lib.scala 649:23] + _T_610[27] <= _T_778 @[lib.scala 649:17] + node _T_779 = bits(twos_comp_in, 28, 0) @[lib.scala 649:27] + node _T_780 = orr(_T_779) @[lib.scala 649:35] + node _T_781 = bits(twos_comp_in, 29, 29) @[lib.scala 649:44] + node _T_782 = not(_T_781) @[lib.scala 649:40] + node _T_783 = bits(twos_comp_in, 29, 29) @[lib.scala 649:51] + node _T_784 = mux(_T_780, _T_782, _T_783) @[lib.scala 649:23] + _T_610[28] <= _T_784 @[lib.scala 649:17] + node _T_785 = bits(twos_comp_in, 29, 0) @[lib.scala 649:27] + node _T_786 = orr(_T_785) @[lib.scala 649:35] + node _T_787 = bits(twos_comp_in, 30, 30) @[lib.scala 649:44] + node _T_788 = not(_T_787) @[lib.scala 649:40] + node _T_789 = bits(twos_comp_in, 30, 30) @[lib.scala 649:51] + node _T_790 = mux(_T_786, _T_788, _T_789) @[lib.scala 649:23] + _T_610[29] <= _T_790 @[lib.scala 649:17] + node _T_791 = bits(twos_comp_in, 30, 0) @[lib.scala 649:27] + node _T_792 = orr(_T_791) @[lib.scala 649:35] + node _T_793 = bits(twos_comp_in, 31, 31) @[lib.scala 649:44] + node _T_794 = not(_T_793) @[lib.scala 649:40] + node _T_795 = bits(twos_comp_in, 31, 31) @[lib.scala 649:51] + node _T_796 = mux(_T_792, _T_794, _T_795) @[lib.scala 649:23] + _T_610[30] <= _T_796 @[lib.scala 649:17] + node _T_797 = cat(_T_610[2], _T_610[1]) @[lib.scala 651:14] + node _T_798 = cat(_T_797, _T_610[0]) @[lib.scala 651:14] + node _T_799 = cat(_T_610[4], _T_610[3]) @[lib.scala 651:14] + node _T_800 = cat(_T_610[6], _T_610[5]) @[lib.scala 651:14] + node _T_801 = cat(_T_800, _T_799) @[lib.scala 651:14] + node _T_802 = cat(_T_801, _T_798) @[lib.scala 651:14] + node _T_803 = cat(_T_610[8], _T_610[7]) @[lib.scala 651:14] + node _T_804 = cat(_T_610[10], _T_610[9]) @[lib.scala 651:14] + node _T_805 = cat(_T_804, _T_803) @[lib.scala 651:14] + node _T_806 = cat(_T_610[12], _T_610[11]) @[lib.scala 651:14] + node _T_807 = cat(_T_610[14], _T_610[13]) @[lib.scala 651:14] + node _T_808 = cat(_T_807, _T_806) @[lib.scala 651:14] + node _T_809 = cat(_T_808, _T_805) @[lib.scala 651:14] + node _T_810 = cat(_T_809, _T_802) @[lib.scala 651:14] + node _T_811 = cat(_T_610[16], _T_610[15]) @[lib.scala 651:14] + node _T_812 = cat(_T_610[18], _T_610[17]) @[lib.scala 651:14] + node _T_813 = cat(_T_812, _T_811) @[lib.scala 651:14] + node _T_814 = cat(_T_610[20], _T_610[19]) @[lib.scala 651:14] + node _T_815 = cat(_T_610[22], _T_610[21]) @[lib.scala 651:14] + node _T_816 = cat(_T_815, _T_814) @[lib.scala 651:14] + node _T_817 = cat(_T_816, _T_813) @[lib.scala 651:14] + node _T_818 = cat(_T_610[24], _T_610[23]) @[lib.scala 651:14] + node _T_819 = cat(_T_610[26], _T_610[25]) @[lib.scala 651:14] + node _T_820 = cat(_T_819, _T_818) @[lib.scala 651:14] + node _T_821 = cat(_T_610[28], _T_610[27]) @[lib.scala 651:14] + node _T_822 = cat(_T_610[30], _T_610[29]) @[lib.scala 651:14] + node _T_823 = cat(_T_822, _T_821) @[lib.scala 651:14] + node _T_824 = cat(_T_823, _T_820) @[lib.scala 651:14] + node _T_825 = cat(_T_824, _T_817) @[lib.scala 651:14] + node _T_826 = cat(_T_825, _T_810) @[lib.scala 651:14] + node _T_827 = bits(twos_comp_in, 0, 0) @[lib.scala 651:24] + node twos_comp_out = cat(_T_826, _T_827) @[Cat.scala 29:58] + node _T_828 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 847:6] + node _T_829 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 847:17] + node _T_830 = and(_T_828, _T_829) @[exu_div_ctl.scala 847:15] + node _T_831 = bits(_T_830, 0, 0) @[exu_div_ctl.scala 847:36] + node _T_832 = bits(io.dividend_in, 31, 0) @[exu_div_ctl.scala 847:60] + node _T_833 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 848:54] + node _T_834 = cat(_T_833, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_835 = bits(ar_shifted, 31, 0) @[exu_div_ctl.scala 849:56] + node _T_836 = mux(_T_831, _T_832, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_837 = mux(a_shift, _T_834, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_838 = mux(shortq_enable_ff, _T_835, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_839 = or(_T_836, _T_837) @[Mux.scala 27:72] + node _T_840 = or(_T_839, _T_838) @[Mux.scala 27:72] + wire a_in : UInt<32> @[Mux.scala 27:72] + a_in <= _T_840 @[Mux.scala 27:72] + node _T_841 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 852:5] + node _T_842 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 852:78] + node _T_843 = and(io.signed_in, _T_842) @[exu_div_ctl.scala 852:63] + node _T_844 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 852:96] + node _T_845 = cat(_T_843, _T_844) @[Cat.scala 29:58] + node _T_846 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 853:50] + node _T_847 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 853:80] + node _T_848 = cat(_T_846, _T_847) @[Cat.scala 29:58] + node _T_849 = mux(_T_841, _T_845, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_850 = mux(b_twos_comp, _T_848, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_851 = or(_T_849, _T_850) @[Mux.scala 27:72] + wire b_in : UInt<33> @[Mux.scala 27:72] + b_in <= _T_851 @[Mux.scala 27:72] + node _T_852 = mux(UInt<1>("h01"), UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] + node _T_853 = bits(r_ff, 28, 0) @[exu_div_ctl.scala 857:54] + node _T_854 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 857:65] + node _T_855 = cat(_T_853, _T_854) @[Cat.scala 29:58] + node _T_856 = bits(adder1_out, 32, 0) @[exu_div_ctl.scala 858:56] + node _T_857 = bits(adder2_out, 32, 0) @[exu_div_ctl.scala 859:56] + node _T_858 = bits(adder3_out, 32, 0) @[exu_div_ctl.scala 860:56] + node _T_859 = bits(adder4_out, 32, 0) @[exu_div_ctl.scala 861:56] + node _T_860 = bits(adder5_out, 32, 0) @[exu_div_ctl.scala 862:56] + node _T_861 = bits(adder6_out, 32, 0) @[exu_div_ctl.scala 863:56] + node _T_862 = bits(adder7_out, 32, 0) @[exu_div_ctl.scala 864:56] + node _T_863 = bits(adder8_out, 32, 0) @[exu_div_ctl.scala 865:56] + node _T_864 = bits(adder9_out, 32, 0) @[exu_div_ctl.scala 866:56] + node _T_865 = bits(adder10_out, 32, 0) @[exu_div_ctl.scala 867:57] + node _T_866 = bits(adder11_out, 32, 0) @[exu_div_ctl.scala 868:57] + node _T_867 = bits(adder12_out, 32, 0) @[exu_div_ctl.scala 869:57] + node _T_868 = bits(adder13_out, 32, 0) @[exu_div_ctl.scala 870:57] + node _T_869 = bits(adder14_out, 32, 0) @[exu_div_ctl.scala 871:57] + node _T_870 = bits(adder15_out, 32, 0) @[exu_div_ctl.scala 872:57] + node _T_871 = bits(ar_shifted, 64, 32) @[exu_div_ctl.scala 873:56] + node _T_872 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 874:58] + node _T_873 = cat(UInt<1>("h00"), _T_872) @[Cat.scala 29:58] + node _T_874 = mux(r_sign_sel, _T_852, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_875 = mux(r_adder_sel_0, _T_855, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_876 = mux(r_adder_sel_1, _T_856, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_877 = mux(r_adder_sel_2, _T_857, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_878 = mux(r_adder_sel_3, _T_858, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_879 = mux(r_adder_sel_4, _T_859, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_880 = mux(r_adder_sel_5, _T_860, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_881 = mux(r_adder_sel_6, _T_861, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_882 = mux(r_adder_sel_7, _T_862, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_883 = mux(r_adder_sel_8, _T_863, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_884 = mux(r_adder_sel_9, _T_864, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_885 = mux(r_adder_sel_10, _T_865, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_886 = mux(r_adder_sel_11, _T_866, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_887 = mux(r_adder_sel_12, _T_867, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_888 = mux(r_adder_sel_13, _T_868, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_889 = mux(r_adder_sel_14, _T_869, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_890 = mux(r_adder_sel_15, _T_870, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_891 = mux(shortq_enable_ff, _T_871, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_892 = mux(by_zero_case, _T_873, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_893 = or(_T_874, _T_875) @[Mux.scala 27:72] + node _T_894 = or(_T_893, _T_876) @[Mux.scala 27:72] + node _T_895 = or(_T_894, _T_877) @[Mux.scala 27:72] + node _T_896 = or(_T_895, _T_878) @[Mux.scala 27:72] + node _T_897 = or(_T_896, _T_879) @[Mux.scala 27:72] + node _T_898 = or(_T_897, _T_880) @[Mux.scala 27:72] + node _T_899 = or(_T_898, _T_881) @[Mux.scala 27:72] + node _T_900 = or(_T_899, _T_882) @[Mux.scala 27:72] + node _T_901 = or(_T_900, _T_883) @[Mux.scala 27:72] + node _T_902 = or(_T_901, _T_884) @[Mux.scala 27:72] + node _T_903 = or(_T_902, _T_885) @[Mux.scala 27:72] + node _T_904 = or(_T_903, _T_886) @[Mux.scala 27:72] + node _T_905 = or(_T_904, _T_887) @[Mux.scala 27:72] + node _T_906 = or(_T_905, _T_888) @[Mux.scala 27:72] + node _T_907 = or(_T_906, _T_889) @[Mux.scala 27:72] + node _T_908 = or(_T_907, _T_890) @[Mux.scala 27:72] + node _T_909 = or(_T_908, _T_891) @[Mux.scala 27:72] + node _T_910 = or(_T_909, _T_892) @[Mux.scala 27:72] + wire r_in : UInt<33> @[Mux.scala 27:72] + r_in <= _T_910 @[Mux.scala 27:72] + node _T_911 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 877:5] + node _T_912 = bits(q_ff, 27, 0) @[exu_div_ctl.scala 877:54] + node _T_913 = cat(_T_912, quotient_new) @[Cat.scala 29:58] + node _T_914 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58] + node _T_915 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_916 = mux(_T_911, _T_913, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_917 = mux(smallnum_case, _T_914, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_918 = mux(by_zero_case, _T_915, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_919 = or(_T_916, _T_917) @[Mux.scala 27:72] + node _T_920 = or(_T_919, _T_918) @[Mux.scala 27:72] + wire q_in : UInt<32> @[Mux.scala 27:72] + q_in <= _T_920 @[Mux.scala 27:72] + node _T_921 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 881:31] + node _T_922 = and(finish_ff, _T_921) @[exu_div_ctl.scala 881:29] + io.valid_out <= _T_922 @[exu_div_ctl.scala 881:16] + node _T_923 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 883:6] + node _T_924 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 883:16] + node _T_925 = and(_T_923, _T_924) @[exu_div_ctl.scala 883:14] + node _T_926 = bits(_T_925, 0, 0) @[exu_div_ctl.scala 883:40] + node _T_927 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 884:50] + node _T_928 = mux(_T_926, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_929 = mux(rem_ff, _T_927, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_930 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_931 = or(_T_928, _T_929) @[Mux.scala 27:72] + node _T_932 = or(_T_931, _T_930) @[Mux.scala 27:72] + wire _T_933 : UInt<32> @[Mux.scala 27:72] + _T_933 <= _T_932 @[Mux.scala 27:72] + io.data_out <= _T_933 @[exu_div_ctl.scala 882:15] + node _T_934 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_935 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_936 = eq(_T_935, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_937 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_938 = eq(_T_937, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_939 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_940 = eq(_T_939, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_941 = and(_T_936, _T_938) @[exu_div_ctl.scala 889:95] + node _T_942 = and(_T_941, _T_940) @[exu_div_ctl.scala 889:95] + node _T_943 = and(_T_934, _T_942) @[exu_div_ctl.scala 890:11] + node _T_944 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_945 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_946 = eq(_T_945, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_947 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_948 = eq(_T_947, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_949 = and(_T_946, _T_948) @[exu_div_ctl.scala 889:95] + node _T_950 = and(_T_944, _T_949) @[exu_div_ctl.scala 890:11] + node _T_951 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 895:38] + node _T_952 = eq(_T_951, UInt<1>("h00")) @[exu_div_ctl.scala 895:33] + node _T_953 = and(_T_950, _T_952) @[exu_div_ctl.scala 895:31] + node _T_954 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_955 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_956 = eq(_T_955, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_957 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_958 = eq(_T_957, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_959 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_960 = eq(_T_959, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_961 = and(_T_956, _T_958) @[exu_div_ctl.scala 889:95] + node _T_962 = and(_T_961, _T_960) @[exu_div_ctl.scala 889:95] + node _T_963 = and(_T_954, _T_962) @[exu_div_ctl.scala 890:11] + node _T_964 = or(_T_953, _T_963) @[exu_div_ctl.scala 895:42] + node _T_965 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_966 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_967 = and(_T_965, _T_966) @[exu_div_ctl.scala 888:95] + node _T_968 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_969 = eq(_T_968, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_970 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_971 = eq(_T_970, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_972 = and(_T_969, _T_971) @[exu_div_ctl.scala 889:95] + node _T_973 = and(_T_967, _T_972) @[exu_div_ctl.scala 890:11] + node _T_974 = or(_T_964, _T_973) @[exu_div_ctl.scala 895:75] + node _T_975 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_976 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_977 = eq(_T_976, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_978 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_979 = eq(_T_978, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_980 = and(_T_977, _T_979) @[exu_div_ctl.scala 889:95] + node _T_981 = and(_T_975, _T_980) @[exu_div_ctl.scala 890:11] + node _T_982 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 897:38] + node _T_983 = eq(_T_982, UInt<1>("h00")) @[exu_div_ctl.scala 897:33] + node _T_984 = and(_T_981, _T_983) @[exu_div_ctl.scala 897:31] + node _T_985 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_986 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_987 = eq(_T_986, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_988 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_989 = eq(_T_988, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_990 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_991 = eq(_T_990, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_992 = and(_T_987, _T_989) @[exu_div_ctl.scala 889:95] + node _T_993 = and(_T_992, _T_991) @[exu_div_ctl.scala 889:95] + node _T_994 = and(_T_985, _T_993) @[exu_div_ctl.scala 890:11] + node _T_995 = or(_T_984, _T_994) @[exu_div_ctl.scala 897:42] + node _T_996 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_997 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_998 = eq(_T_997, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_999 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1000 = eq(_T_999, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1001 = and(_T_998, _T_1000) @[exu_div_ctl.scala 889:95] + node _T_1002 = and(_T_996, _T_1001) @[exu_div_ctl.scala 890:11] + node _T_1003 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 897:113] + node _T_1004 = eq(_T_1003, UInt<1>("h00")) @[exu_div_ctl.scala 897:108] + node _T_1005 = and(_T_1002, _T_1004) @[exu_div_ctl.scala 897:106] + node _T_1006 = or(_T_995, _T_1005) @[exu_div_ctl.scala 897:78] + node _T_1007 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1008 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:75] + node _T_1009 = eq(_T_1008, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1010 = and(_T_1007, _T_1009) @[exu_div_ctl.scala 888:95] + node _T_1011 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1012 = eq(_T_1011, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1013 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1014 = eq(_T_1013, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1015 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:58] + node _T_1016 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 889:58] + node _T_1017 = and(_T_1012, _T_1014) @[exu_div_ctl.scala 889:95] + node _T_1018 = and(_T_1017, _T_1015) @[exu_div_ctl.scala 889:95] + node _T_1019 = and(_T_1018, _T_1016) @[exu_div_ctl.scala 889:95] + node _T_1020 = and(_T_1010, _T_1019) @[exu_div_ctl.scala 890:11] + node _T_1021 = or(_T_1006, _T_1020) @[exu_div_ctl.scala 897:117] + node _T_1022 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:75] + node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1024 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1025 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1026 = and(_T_1023, _T_1024) @[exu_div_ctl.scala 888:95] + node _T_1027 = and(_T_1026, _T_1025) @[exu_div_ctl.scala 888:95] + node _T_1028 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1030 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1032 = and(_T_1029, _T_1031) @[exu_div_ctl.scala 889:95] + node _T_1033 = and(_T_1027, _T_1032) @[exu_div_ctl.scala 890:11] + node _T_1034 = or(_T_1021, _T_1033) @[exu_div_ctl.scala 898:44] + node _T_1035 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1036 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1037 = and(_T_1035, _T_1036) @[exu_div_ctl.scala 888:95] + node _T_1038 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1039 = eq(_T_1038, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1040 = and(_T_1037, _T_1039) @[exu_div_ctl.scala 890:11] + node _T_1041 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 898:114] + node _T_1042 = eq(_T_1041, UInt<1>("h00")) @[exu_div_ctl.scala 898:109] + node _T_1043 = and(_T_1040, _T_1042) @[exu_div_ctl.scala 898:107] + node _T_1044 = or(_T_1034, _T_1043) @[exu_div_ctl.scala 898:80] + node _T_1045 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1046 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1047 = and(_T_1045, _T_1046) @[exu_div_ctl.scala 888:95] + node _T_1048 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1049 = eq(_T_1048, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1050 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:58] + node _T_1051 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1052 = eq(_T_1051, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1053 = and(_T_1049, _T_1050) @[exu_div_ctl.scala 889:95] + node _T_1054 = and(_T_1053, _T_1052) @[exu_div_ctl.scala 889:95] + node _T_1055 = and(_T_1047, _T_1054) @[exu_div_ctl.scala 890:11] + node _T_1056 = or(_T_1044, _T_1055) @[exu_div_ctl.scala 898:119] + node _T_1057 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1058 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1059 = and(_T_1057, _T_1058) @[exu_div_ctl.scala 888:95] + node _T_1060 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1061 = eq(_T_1060, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1062 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1063 = eq(_T_1062, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1064 = and(_T_1061, _T_1063) @[exu_div_ctl.scala 889:95] + node _T_1065 = and(_T_1059, _T_1064) @[exu_div_ctl.scala 890:11] + node _T_1066 = or(_T_1056, _T_1065) @[exu_div_ctl.scala 899:44] + node _T_1067 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1068 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1069 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1070 = and(_T_1067, _T_1068) @[exu_div_ctl.scala 888:95] + node _T_1071 = and(_T_1070, _T_1069) @[exu_div_ctl.scala 888:95] + node _T_1072 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1074 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:58] + node _T_1075 = and(_T_1073, _T_1074) @[exu_div_ctl.scala 889:95] + node _T_1076 = and(_T_1071, _T_1075) @[exu_div_ctl.scala 890:11] + node _T_1077 = or(_T_1066, _T_1076) @[exu_div_ctl.scala 899:79] + node _T_1078 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1079 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1080 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 888:58] + node _T_1081 = and(_T_1078, _T_1079) @[exu_div_ctl.scala 888:95] + node _T_1082 = and(_T_1081, _T_1080) @[exu_div_ctl.scala 888:95] + node _T_1083 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1084 = eq(_T_1083, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1085 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1087 = and(_T_1084, _T_1086) @[exu_div_ctl.scala 889:95] + node _T_1088 = and(_T_1082, _T_1087) @[exu_div_ctl.scala 890:11] + node _T_1089 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1090 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:75] + node _T_1091 = eq(_T_1090, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1092 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 888:58] + node _T_1093 = and(_T_1089, _T_1091) @[exu_div_ctl.scala 888:95] + node _T_1094 = and(_T_1093, _T_1092) @[exu_div_ctl.scala 888:95] + node _T_1095 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1096 = eq(_T_1095, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1097 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:58] + node _T_1098 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 889:58] + node _T_1099 = and(_T_1096, _T_1097) @[exu_div_ctl.scala 889:95] + node _T_1100 = and(_T_1099, _T_1098) @[exu_div_ctl.scala 889:95] + node _T_1101 = and(_T_1094, _T_1100) @[exu_div_ctl.scala 890:11] + node _T_1102 = or(_T_1088, _T_1101) @[exu_div_ctl.scala 901:45] + node _T_1103 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1104 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1105 = eq(_T_1104, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1106 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1107 = eq(_T_1106, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1108 = and(_T_1105, _T_1107) @[exu_div_ctl.scala 889:95] + node _T_1109 = and(_T_1103, _T_1108) @[exu_div_ctl.scala 890:11] + node _T_1110 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 901:121] + node _T_1111 = eq(_T_1110, UInt<1>("h00")) @[exu_div_ctl.scala 901:116] + node _T_1112 = and(_T_1109, _T_1111) @[exu_div_ctl.scala 901:114] + node _T_1113 = or(_T_1102, _T_1112) @[exu_div_ctl.scala 901:86] + node _T_1114 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1115 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1116 = eq(_T_1115, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1117 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1118 = eq(_T_1117, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1119 = and(_T_1116, _T_1118) @[exu_div_ctl.scala 889:95] + node _T_1120 = and(_T_1114, _T_1119) @[exu_div_ctl.scala 890:11] + node _T_1121 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 902:40] + node _T_1122 = eq(_T_1121, UInt<1>("h00")) @[exu_div_ctl.scala 902:35] + node _T_1123 = and(_T_1120, _T_1122) @[exu_div_ctl.scala 902:33] + node _T_1124 = or(_T_1113, _T_1123) @[exu_div_ctl.scala 901:129] + node _T_1125 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 888:58] + node _T_1126 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1127 = eq(_T_1126, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1128 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1129 = eq(_T_1128, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1130 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1131 = eq(_T_1130, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1132 = and(_T_1127, _T_1129) @[exu_div_ctl.scala 889:95] + node _T_1133 = and(_T_1132, _T_1131) @[exu_div_ctl.scala 889:95] + node _T_1134 = and(_T_1125, _T_1133) @[exu_div_ctl.scala 890:11] + node _T_1135 = or(_T_1124, _T_1134) @[exu_div_ctl.scala 902:47] + node _T_1136 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:75] + node _T_1137 = eq(_T_1136, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1138 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1139 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:75] + node _T_1140 = eq(_T_1139, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1141 = and(_T_1137, _T_1138) @[exu_div_ctl.scala 888:95] + node _T_1142 = and(_T_1141, _T_1140) @[exu_div_ctl.scala 888:95] + node _T_1143 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1144 = eq(_T_1143, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1145 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1146 = eq(_T_1145, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1147 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:58] + node _T_1148 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 889:58] + node _T_1149 = and(_T_1144, _T_1146) @[exu_div_ctl.scala 889:95] + node _T_1150 = and(_T_1149, _T_1147) @[exu_div_ctl.scala 889:95] + node _T_1151 = and(_T_1150, _T_1148) @[exu_div_ctl.scala 889:95] + node _T_1152 = and(_T_1142, _T_1151) @[exu_div_ctl.scala 890:11] + node _T_1153 = or(_T_1135, _T_1152) @[exu_div_ctl.scala 902:88] + node _T_1154 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:75] + node _T_1155 = eq(_T_1154, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1156 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1157 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1158 = and(_T_1155, _T_1156) @[exu_div_ctl.scala 888:95] + node _T_1159 = and(_T_1158, _T_1157) @[exu_div_ctl.scala 888:95] + node _T_1160 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1161 = eq(_T_1160, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1162 = and(_T_1159, _T_1161) @[exu_div_ctl.scala 890:11] + node _T_1163 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 903:43] + node _T_1164 = eq(_T_1163, UInt<1>("h00")) @[exu_div_ctl.scala 903:38] + node _T_1165 = and(_T_1162, _T_1164) @[exu_div_ctl.scala 903:36] + node _T_1166 = or(_T_1153, _T_1165) @[exu_div_ctl.scala 902:131] + node _T_1167 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1168 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1169 = eq(_T_1168, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1170 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1172 = and(_T_1169, _T_1171) @[exu_div_ctl.scala 889:95] + node _T_1173 = and(_T_1167, _T_1172) @[exu_div_ctl.scala 890:11] + node _T_1174 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 903:83] + node _T_1175 = eq(_T_1174, UInt<1>("h00")) @[exu_div_ctl.scala 903:78] + node _T_1176 = and(_T_1173, _T_1175) @[exu_div_ctl.scala 903:76] + node _T_1177 = or(_T_1166, _T_1176) @[exu_div_ctl.scala 903:47] + node _T_1178 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1179 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:75] + node _T_1180 = eq(_T_1179, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1181 = and(_T_1178, _T_1180) @[exu_div_ctl.scala 888:95] + node _T_1182 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1183 = eq(_T_1182, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1184 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:58] + node _T_1185 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:58] + node _T_1186 = and(_T_1183, _T_1184) @[exu_div_ctl.scala 889:95] + node _T_1187 = and(_T_1186, _T_1185) @[exu_div_ctl.scala 889:95] + node _T_1188 = and(_T_1181, _T_1187) @[exu_div_ctl.scala 890:11] + node _T_1189 = or(_T_1177, _T_1188) @[exu_div_ctl.scala 903:88] + node _T_1190 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:75] + node _T_1191 = eq(_T_1190, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1192 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1193 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1194 = and(_T_1191, _T_1192) @[exu_div_ctl.scala 888:95] + node _T_1195 = and(_T_1194, _T_1193) @[exu_div_ctl.scala 888:95] + node _T_1196 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1197 = eq(_T_1196, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1198 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:58] + node _T_1199 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1200 = eq(_T_1199, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1201 = and(_T_1197, _T_1198) @[exu_div_ctl.scala 889:95] + node _T_1202 = and(_T_1201, _T_1200) @[exu_div_ctl.scala 889:95] + node _T_1203 = and(_T_1195, _T_1202) @[exu_div_ctl.scala 890:11] + node _T_1204 = or(_T_1189, _T_1203) @[exu_div_ctl.scala 903:131] + node _T_1205 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:75] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1207 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1208 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 888:58] + node _T_1209 = and(_T_1206, _T_1207) @[exu_div_ctl.scala 888:95] + node _T_1210 = and(_T_1209, _T_1208) @[exu_div_ctl.scala 888:95] + node _T_1211 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1212 = eq(_T_1211, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1213 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1214 = eq(_T_1213, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1215 = and(_T_1212, _T_1214) @[exu_div_ctl.scala 889:95] + node _T_1216 = and(_T_1210, _T_1215) @[exu_div_ctl.scala 890:11] + node _T_1217 = or(_T_1204, _T_1216) @[exu_div_ctl.scala 904:47] + node _T_1218 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1219 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:75] + node _T_1220 = eq(_T_1219, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1221 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:75] + node _T_1222 = eq(_T_1221, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1223 = and(_T_1218, _T_1220) @[exu_div_ctl.scala 888:95] + node _T_1224 = and(_T_1223, _T_1222) @[exu_div_ctl.scala 888:95] + node _T_1225 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1226 = eq(_T_1225, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1227 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:58] + node _T_1228 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 889:58] + node _T_1229 = and(_T_1226, _T_1227) @[exu_div_ctl.scala 889:95] + node _T_1230 = and(_T_1229, _T_1228) @[exu_div_ctl.scala 889:95] + node _T_1231 = and(_T_1224, _T_1230) @[exu_div_ctl.scala 890:11] + node _T_1232 = or(_T_1217, _T_1231) @[exu_div_ctl.scala 904:88] + node _T_1233 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:75] + node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1235 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1236 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 888:58] + node _T_1237 = and(_T_1234, _T_1235) @[exu_div_ctl.scala 888:95] + node _T_1238 = and(_T_1237, _T_1236) @[exu_div_ctl.scala 888:95] + node _T_1239 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1241 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1242 = eq(_T_1241, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1243 = and(_T_1240, _T_1242) @[exu_div_ctl.scala 889:95] + node _T_1244 = and(_T_1238, _T_1243) @[exu_div_ctl.scala 890:11] + node _T_1245 = or(_T_1232, _T_1244) @[exu_div_ctl.scala 904:131] + node _T_1246 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1247 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1248 = and(_T_1246, _T_1247) @[exu_div_ctl.scala 888:95] + node _T_1249 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1250 = eq(_T_1249, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1251 = and(_T_1248, _T_1250) @[exu_div_ctl.scala 890:11] + node _T_1252 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 905:82] + node _T_1253 = eq(_T_1252, UInt<1>("h00")) @[exu_div_ctl.scala 905:77] + node _T_1254 = and(_T_1251, _T_1253) @[exu_div_ctl.scala 905:75] + node _T_1255 = or(_T_1245, _T_1254) @[exu_div_ctl.scala 905:47] + node _T_1256 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:75] + node _T_1257 = eq(_T_1256, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1258 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1259 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1260 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 888:58] + node _T_1261 = and(_T_1257, _T_1258) @[exu_div_ctl.scala 888:95] + node _T_1262 = and(_T_1261, _T_1259) @[exu_div_ctl.scala 888:95] + node _T_1263 = and(_T_1262, _T_1260) @[exu_div_ctl.scala 888:95] + node _T_1264 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1266 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:58] + node _T_1267 = and(_T_1265, _T_1266) @[exu_div_ctl.scala 889:95] + node _T_1268 = and(_T_1263, _T_1267) @[exu_div_ctl.scala 890:11] + node _T_1269 = or(_T_1255, _T_1268) @[exu_div_ctl.scala 905:88] + node _T_1270 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1271 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1272 = and(_T_1270, _T_1271) @[exu_div_ctl.scala 888:95] + node _T_1273 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:58] + node _T_1274 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1275 = eq(_T_1274, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1276 = and(_T_1273, _T_1275) @[exu_div_ctl.scala 889:95] + node _T_1277 = and(_T_1272, _T_1276) @[exu_div_ctl.scala 890:11] + node _T_1278 = or(_T_1269, _T_1277) @[exu_div_ctl.scala 905:131] + node _T_1279 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1280 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1281 = and(_T_1279, _T_1280) @[exu_div_ctl.scala 888:95] + node _T_1282 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:58] + node _T_1283 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1284 = eq(_T_1283, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1285 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1286 = eq(_T_1285, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1287 = and(_T_1282, _T_1284) @[exu_div_ctl.scala 889:95] + node _T_1288 = and(_T_1287, _T_1286) @[exu_div_ctl.scala 889:95] + node _T_1289 = and(_T_1281, _T_1288) @[exu_div_ctl.scala 890:11] + node _T_1290 = or(_T_1278, _T_1289) @[exu_div_ctl.scala 906:47] + node _T_1291 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1292 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 888:58] + node _T_1293 = and(_T_1291, _T_1292) @[exu_div_ctl.scala 888:95] + node _T_1294 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1295 = eq(_T_1294, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1296 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1297 = eq(_T_1296, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1298 = and(_T_1295, _T_1297) @[exu_div_ctl.scala 889:95] + node _T_1299 = and(_T_1293, _T_1298) @[exu_div_ctl.scala 890:11] + node _T_1300 = or(_T_1290, _T_1299) @[exu_div_ctl.scala 906:88] + node _T_1301 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1302 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:75] + node _T_1303 = eq(_T_1302, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1304 = and(_T_1301, _T_1303) @[exu_div_ctl.scala 888:95] + node _T_1305 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1307 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:58] + node _T_1308 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:58] + node _T_1309 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 889:58] + node _T_1310 = and(_T_1306, _T_1307) @[exu_div_ctl.scala 889:95] + node _T_1311 = and(_T_1310, _T_1308) @[exu_div_ctl.scala 889:95] + node _T_1312 = and(_T_1311, _T_1309) @[exu_div_ctl.scala 889:95] + node _T_1313 = and(_T_1304, _T_1312) @[exu_div_ctl.scala 890:11] + node _T_1314 = or(_T_1300, _T_1313) @[exu_div_ctl.scala 906:131] + node _T_1315 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1316 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1317 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1318 = and(_T_1315, _T_1316) @[exu_div_ctl.scala 888:95] + node _T_1319 = and(_T_1318, _T_1317) @[exu_div_ctl.scala 888:95] + node _T_1320 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:58] + node _T_1321 = and(_T_1319, _T_1320) @[exu_div_ctl.scala 890:11] + node _T_1322 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 907:84] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[exu_div_ctl.scala 907:79] + node _T_1324 = and(_T_1321, _T_1323) @[exu_div_ctl.scala 907:77] + node _T_1325 = or(_T_1314, _T_1324) @[exu_div_ctl.scala 907:47] + node _T_1326 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1327 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1328 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1329 = and(_T_1326, _T_1327) @[exu_div_ctl.scala 888:95] + node _T_1330 = and(_T_1329, _T_1328) @[exu_div_ctl.scala 888:95] + node _T_1331 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:58] + node _T_1332 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1334 = and(_T_1331, _T_1333) @[exu_div_ctl.scala 889:95] + node _T_1335 = and(_T_1330, _T_1334) @[exu_div_ctl.scala 890:11] + node _T_1336 = or(_T_1325, _T_1335) @[exu_div_ctl.scala 907:88] + node _T_1337 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1338 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1339 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 888:58] + node _T_1340 = and(_T_1337, _T_1338) @[exu_div_ctl.scala 888:95] + node _T_1341 = and(_T_1340, _T_1339) @[exu_div_ctl.scala 888:95] + node _T_1342 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:58] + node _T_1343 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:75] + node _T_1344 = eq(_T_1343, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1345 = and(_T_1342, _T_1344) @[exu_div_ctl.scala 889:95] + node _T_1346 = and(_T_1341, _T_1345) @[exu_div_ctl.scala 890:11] + node _T_1347 = or(_T_1336, _T_1346) @[exu_div_ctl.scala 907:131] + node _T_1348 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1349 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:75] + node _T_1350 = eq(_T_1349, UInt<1>("h00")) @[exu_div_ctl.scala 888:70] + node _T_1351 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1352 = and(_T_1348, _T_1350) @[exu_div_ctl.scala 888:95] + node _T_1353 = and(_T_1352, _T_1351) @[exu_div_ctl.scala 888:95] + node _T_1354 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:75] + node _T_1355 = eq(_T_1354, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1356 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 889:58] + node _T_1357 = and(_T_1355, _T_1356) @[exu_div_ctl.scala 889:95] + node _T_1358 = and(_T_1353, _T_1357) @[exu_div_ctl.scala 890:11] + node _T_1359 = or(_T_1347, _T_1358) @[exu_div_ctl.scala 908:47] + node _T_1360 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1361 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1362 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 888:58] + node _T_1363 = and(_T_1360, _T_1361) @[exu_div_ctl.scala 888:95] + node _T_1364 = and(_T_1363, _T_1362) @[exu_div_ctl.scala 888:95] + node _T_1365 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1366 = eq(_T_1365, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1367 = and(_T_1364, _T_1366) @[exu_div_ctl.scala 890:11] + node _T_1368 = or(_T_1359, _T_1367) @[exu_div_ctl.scala 908:88] + node _T_1369 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1370 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 888:58] + node _T_1371 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1372 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 888:58] + node _T_1373 = and(_T_1369, _T_1370) @[exu_div_ctl.scala 888:95] + node _T_1374 = and(_T_1373, _T_1371) @[exu_div_ctl.scala 888:95] + node _T_1375 = and(_T_1374, _T_1372) @[exu_div_ctl.scala 888:95] + node _T_1376 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 889:58] + node _T_1377 = and(_T_1375, _T_1376) @[exu_div_ctl.scala 890:11] + node _T_1378 = or(_T_1368, _T_1377) @[exu_div_ctl.scala 908:131] + node _T_1379 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 888:58] + node _T_1380 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 888:58] + node _T_1381 = and(_T_1379, _T_1380) @[exu_div_ctl.scala 888:95] + node _T_1382 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 889:75] + node _T_1383 = eq(_T_1382, UInt<1>("h00")) @[exu_div_ctl.scala 889:70] + node _T_1384 = and(_T_1381, _T_1383) @[exu_div_ctl.scala 890:11] + node _T_1385 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 909:81] + node _T_1386 = eq(_T_1385, UInt<1>("h00")) @[exu_div_ctl.scala 909:76] + node _T_1387 = and(_T_1384, _T_1386) @[exu_div_ctl.scala 909:74] + node _T_1388 = or(_T_1378, _T_1387) @[exu_div_ctl.scala 909:47] + node _T_1389 = cat(_T_1077, _T_1388) @[Cat.scala 29:58] + node _T_1390 = cat(_T_943, _T_974) @[Cat.scala 29:58] + node _T_1391 = cat(_T_1390, _T_1389) @[Cat.scala 29:58] + smallnum <= _T_1391 @[exu_div_ctl.scala 892:12] + node _T_1392 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 912:50] + node shortq_dividend = cat(dividend_sign_ff, _T_1392) @[Cat.scala 29:58] + inst a_enc of exu_div_cls @[exu_div_ctl.scala 913:31] + a_enc.clock <= clock + a_enc.reset <= reset + a_enc.io.operand <= shortq_dividend @[exu_div_ctl.scala 914:23] + inst b_enc of exu_div_cls_1 @[exu_div_ctl.scala 916:31] + b_enc.clock <= clock + b_enc.reset <= reset + node _T_1393 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 917:30] + b_enc.io.operand <= _T_1393 @[exu_div_ctl.scala 917:23] + node dw_a_enc = cat(UInt<1>("h00"), a_enc.io.cls) @[Cat.scala 29:58] + node dw_b_enc = cat(UInt<1>("h00"), b_enc.io.cls) @[Cat.scala 29:58] + node _T_1394 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58] + node _T_1395 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58] + node _T_1396 = sub(_T_1394, _T_1395) @[exu_div_ctl.scala 921:43] + node _T_1397 = tail(_T_1396, 1) @[exu_div_ctl.scala 921:43] + node _T_1398 = add(_T_1397, UInt<7>("h01")) @[exu_div_ctl.scala 921:63] + node dw_shortq_raw = tail(_T_1398, 1) @[exu_div_ctl.scala 921:63] + node _T_1399 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 922:42] + node _T_1400 = bits(_T_1399, 0, 0) @[exu_div_ctl.scala 922:52] + node _T_1401 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 922:72] + node shortq = mux(_T_1400, UInt<1>("h00"), _T_1401) @[exu_div_ctl.scala 922:28] + node _T_1402 = bits(shortq, 5, 5) @[exu_div_ctl.scala 923:44] + node _T_1403 = eq(_T_1402, UInt<1>("h00")) @[exu_div_ctl.scala 923:37] + node _T_1404 = and(valid_ff, _T_1403) @[exu_div_ctl.scala 923:35] + node _T_1405 = bits(shortq, 4, 2) @[exu_div_ctl.scala 923:58] + node _T_1406 = eq(_T_1405, UInt<3>("h07")) @[exu_div_ctl.scala 923:64] + node _T_1407 = eq(_T_1406, UInt<1>("h00")) @[exu_div_ctl.scala 923:50] + node _T_1408 = and(_T_1404, _T_1407) @[exu_div_ctl.scala 923:48] + node _T_1409 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 923:81] + node _T_1410 = and(_T_1408, _T_1409) @[exu_div_ctl.scala 923:79] + shortq_enable <= _T_1410 @[exu_div_ctl.scala 923:23] + node _T_1411 = eq(shortq, UInt<5>("h01f")) @[exu_div_ctl.scala 925:64] + node _T_1412 = eq(shortq, UInt<5>("h01e")) @[exu_div_ctl.scala 925:64] + node _T_1413 = eq(shortq, UInt<5>("h01d")) @[exu_div_ctl.scala 925:64] + node _T_1414 = eq(shortq, UInt<5>("h01c")) @[exu_div_ctl.scala 925:64] + node _T_1415 = eq(shortq, UInt<5>("h01b")) @[exu_div_ctl.scala 925:64] + node _T_1416 = eq(shortq, UInt<5>("h01a")) @[exu_div_ctl.scala 925:64] + node _T_1417 = eq(shortq, UInt<5>("h019")) @[exu_div_ctl.scala 925:64] + node _T_1418 = eq(shortq, UInt<5>("h018")) @[exu_div_ctl.scala 925:64] + node _T_1419 = eq(shortq, UInt<5>("h017")) @[exu_div_ctl.scala 925:64] + node _T_1420 = eq(shortq, UInt<5>("h016")) @[exu_div_ctl.scala 925:64] + node _T_1421 = eq(shortq, UInt<5>("h015")) @[exu_div_ctl.scala 925:64] + node _T_1422 = eq(shortq, UInt<5>("h014")) @[exu_div_ctl.scala 925:64] + node _T_1423 = eq(shortq, UInt<5>("h013")) @[exu_div_ctl.scala 925:64] + node _T_1424 = eq(shortq, UInt<5>("h012")) @[exu_div_ctl.scala 925:64] + node _T_1425 = eq(shortq, UInt<5>("h011")) @[exu_div_ctl.scala 925:64] + node _T_1426 = eq(shortq, UInt<5>("h010")) @[exu_div_ctl.scala 925:64] + node _T_1427 = eq(shortq, UInt<4>("h0f")) @[exu_div_ctl.scala 925:64] + node _T_1428 = eq(shortq, UInt<4>("h0e")) @[exu_div_ctl.scala 925:64] + node _T_1429 = eq(shortq, UInt<4>("h0d")) @[exu_div_ctl.scala 925:64] + node _T_1430 = eq(shortq, UInt<4>("h0c")) @[exu_div_ctl.scala 925:64] + node _T_1431 = eq(shortq, UInt<4>("h0b")) @[exu_div_ctl.scala 925:64] + node _T_1432 = eq(shortq, UInt<4>("h0a")) @[exu_div_ctl.scala 925:64] + node _T_1433 = eq(shortq, UInt<4>("h09")) @[exu_div_ctl.scala 925:64] + node _T_1434 = eq(shortq, UInt<4>("h08")) @[exu_div_ctl.scala 925:64] + node _T_1435 = eq(shortq, UInt<3>("h07")) @[exu_div_ctl.scala 925:64] + node _T_1436 = eq(shortq, UInt<3>("h06")) @[exu_div_ctl.scala 925:64] + node _T_1437 = eq(shortq, UInt<3>("h05")) @[exu_div_ctl.scala 925:64] + node _T_1438 = eq(shortq, UInt<3>("h04")) @[exu_div_ctl.scala 925:64] + node _T_1439 = eq(shortq, UInt<2>("h03")) @[exu_div_ctl.scala 925:64] + node _T_1440 = eq(shortq, UInt<2>("h02")) @[exu_div_ctl.scala 925:64] + node _T_1441 = eq(shortq, UInt<1>("h01")) @[exu_div_ctl.scala 925:64] + node _T_1442 = eq(shortq, UInt<1>("h00")) @[exu_div_ctl.scala 925:64] + node _T_1443 = mux(_T_1411, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1444 = mux(_T_1412, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1445 = mux(_T_1413, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1446 = mux(_T_1414, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1447 = mux(_T_1415, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1448 = mux(_T_1416, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1449 = mux(_T_1417, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1450 = mux(_T_1418, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1451 = mux(_T_1419, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1452 = mux(_T_1420, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1453 = mux(_T_1421, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1454 = mux(_T_1422, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1455 = mux(_T_1423, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1456 = mux(_T_1424, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = mux(_T_1425, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1458 = mux(_T_1426, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1459 = mux(_T_1427, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1460 = mux(_T_1428, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1461 = mux(_T_1429, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1462 = mux(_T_1430, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1463 = mux(_T_1431, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1464 = mux(_T_1432, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1465 = mux(_T_1433, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1466 = mux(_T_1434, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1467 = mux(_T_1435, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1468 = mux(_T_1436, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1469 = mux(_T_1437, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1438, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = mux(_T_1439, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1472 = mux(_T_1440, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1473 = mux(_T_1441, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1474 = mux(_T_1442, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1475 = or(_T_1443, _T_1444) @[Mux.scala 27:72] + node _T_1476 = or(_T_1475, _T_1445) @[Mux.scala 27:72] + node _T_1477 = or(_T_1476, _T_1446) @[Mux.scala 27:72] + node _T_1478 = or(_T_1477, _T_1447) @[Mux.scala 27:72] + node _T_1479 = or(_T_1478, _T_1448) @[Mux.scala 27:72] + node _T_1480 = or(_T_1479, _T_1449) @[Mux.scala 27:72] + node _T_1481 = or(_T_1480, _T_1450) @[Mux.scala 27:72] + node _T_1482 = or(_T_1481, _T_1451) @[Mux.scala 27:72] + node _T_1483 = or(_T_1482, _T_1452) @[Mux.scala 27:72] + node _T_1484 = or(_T_1483, _T_1453) @[Mux.scala 27:72] + node _T_1485 = or(_T_1484, _T_1454) @[Mux.scala 27:72] + node _T_1486 = or(_T_1485, _T_1455) @[Mux.scala 27:72] + node _T_1487 = or(_T_1486, _T_1456) @[Mux.scala 27:72] + node _T_1488 = or(_T_1487, _T_1457) @[Mux.scala 27:72] + node _T_1489 = or(_T_1488, _T_1458) @[Mux.scala 27:72] + node _T_1490 = or(_T_1489, _T_1459) @[Mux.scala 27:72] + node _T_1491 = or(_T_1490, _T_1460) @[Mux.scala 27:72] + node _T_1492 = or(_T_1491, _T_1461) @[Mux.scala 27:72] + node _T_1493 = or(_T_1492, _T_1462) @[Mux.scala 27:72] + node _T_1494 = or(_T_1493, _T_1463) @[Mux.scala 27:72] + node _T_1495 = or(_T_1494, _T_1464) @[Mux.scala 27:72] + node _T_1496 = or(_T_1495, _T_1465) @[Mux.scala 27:72] + node _T_1497 = or(_T_1496, _T_1466) @[Mux.scala 27:72] + node _T_1498 = or(_T_1497, _T_1467) @[Mux.scala 27:72] + node _T_1499 = or(_T_1498, _T_1468) @[Mux.scala 27:72] + node _T_1500 = or(_T_1499, _T_1469) @[Mux.scala 27:72] + node _T_1501 = or(_T_1500, _T_1470) @[Mux.scala 27:72] + node _T_1502 = or(_T_1501, _T_1471) @[Mux.scala 27:72] + node _T_1503 = or(_T_1502, _T_1472) @[Mux.scala 27:72] + node _T_1504 = or(_T_1503, _T_1473) @[Mux.scala 27:72] + node _T_1505 = or(_T_1504, _T_1474) @[Mux.scala 27:72] + wire _T_1506 : UInt<5> @[Mux.scala 27:72] + _T_1506 <= _T_1505 @[Mux.scala 27:72] + shortq_decode <= _T_1506 @[exu_div_ctl.scala 925:23] + node _T_1507 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 926:30] + node _T_1508 = mux(_T_1507, UInt<1>("h00"), shortq_decode) @[exu_div_ctl.scala 926:29] + shortq_shift <= _T_1508 @[exu_div_ctl.scala 926:23] + node _T_1509 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 927:35] + node _T_1510 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 927:45] + node _T_1511 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 927:55] + node _T_1512 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 927:65] + node _T_1513 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 927:75] + node _T_1514 = cat(_T_1512, _T_1513) @[Cat.scala 29:58] + node _T_1515 = cat(_T_1514, b_ff1) @[Cat.scala 29:58] + node _T_1516 = cat(_T_1509, _T_1510) @[Cat.scala 29:58] + node _T_1517 = cat(_T_1516, _T_1511) @[Cat.scala 29:58] + node _T_1518 = cat(_T_1517, _T_1515) @[Cat.scala 29:58] + b_ff <= _T_1518 @[exu_div_ctl.scala 927:23] + inst rvclkhdr of rvclkhdr_14 @[lib.scala 399:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 401:18] + rvclkhdr.io.en <= misc_enable @[lib.scala 402:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1519 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_1519 <= valid_ff_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + valid_ff <= _T_1519 @[exu_div_ctl.scala 928:23] + inst rvclkhdr_1 of rvclkhdr_15 @[lib.scala 399:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_1.io.en <= misc_enable @[lib.scala 402:17] + rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1520 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_1520 <= control_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + control_ff <= _T_1520 @[exu_div_ctl.scala 929:23] + inst rvclkhdr_2 of rvclkhdr_16 @[lib.scala 399:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_2.io.en <= misc_enable @[lib.scala 402:17] + rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1521 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_1521 <= by_zero_case @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + by_zero_case_ff <= _T_1521 @[exu_div_ctl.scala 930:23] + inst rvclkhdr_3 of rvclkhdr_17 @[lib.scala 399:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_3.io.en <= misc_enable @[lib.scala 402:17] + rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1522 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_1522 <= shortq_enable @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_enable_ff <= _T_1522 @[exu_div_ctl.scala 931:23] + inst rvclkhdr_4 of rvclkhdr_18 @[lib.scala 399:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_4.io.en <= misc_enable @[lib.scala 402:17] + rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1523 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_1523 <= shortq_shift @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_shift_ff <= _T_1523 @[exu_div_ctl.scala 932:23] + inst rvclkhdr_5 of rvclkhdr_19 @[lib.scala 399:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_5.io.en <= misc_enable @[lib.scala 402:17] + rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1524 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_1524 <= finish @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + finish_ff <= _T_1524 @[exu_div_ctl.scala 933:23] + inst rvclkhdr_6 of rvclkhdr_20 @[lib.scala 399:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_6.io.en <= misc_enable @[lib.scala 402:17] + rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1525 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_1525 <= count_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + count_ff <= _T_1525 @[exu_div_ctl.scala 934:23] + inst rvclkhdr_7 of rvclkhdr_21 @[lib.scala 399:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_7.io.en <= a_enable @[lib.scala 402:17] + rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1526 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when a_enable : @[Reg.scala 28:19] + _T_1526 <= a_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + a_ff <= _T_1526 @[exu_div_ctl.scala 936:23] + node _T_1527 = bits(b_in, 32, 0) @[exu_div_ctl.scala 937:37] + inst rvclkhdr_8 of rvclkhdr_22 @[lib.scala 399:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_8.io.en <= b_enable @[lib.scala 402:17] + rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1528 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when b_enable : @[Reg.scala 28:19] + _T_1528 <= _T_1527 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + b_ff1 <= _T_1528 @[exu_div_ctl.scala 937:23] + inst rvclkhdr_9 of rvclkhdr_23 @[lib.scala 399:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_9.io.en <= rq_enable @[lib.scala 402:17] + rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1529 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when rq_enable : @[Reg.scala 28:19] + _T_1529 <= r_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + r_ff <= _T_1529 @[exu_div_ctl.scala 938:23] + inst rvclkhdr_10 of rvclkhdr_24 @[lib.scala 399:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_10.io.en <= rq_enable @[lib.scala 402:17] + rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_1530 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when rq_enable : @[Reg.scala 28:19] + _T_1530 <= q_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + q_ff <= _T_1530 @[exu_div_ctl.scala 939:23] + + module exu_div_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip dividend : UInt<32>, flip divisor : UInt<32>, exu_div_result : UInt<32>, exu_div_wren : UInt<1>, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}} + + wire out_raw : UInt<32> + out_raw <= UInt<32>("h00") + node _T = bits(io.exu_div_wren, 0, 0) @[Bitwise.scala 72:15] + node _T_1 = mux(_T, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_2 = and(_T_1, out_raw) @[exu_div_ctl.scala 21:49] + io.exu_div_result <= _T_2 @[exu_div_ctl.scala 21:21] + inst exu_div_new_4bit_fullshortq of exu_div_new_4bit_fullshortq @[exu_div_ctl.scala 71:30] + exu_div_new_4bit_fullshortq.clock <= clock + exu_div_new_4bit_fullshortq.reset <= reset + exu_div_new_4bit_fullshortq.io.scan_mode <= io.scan_mode @[exu_div_ctl.scala 72:34] + exu_div_new_4bit_fullshortq.io.cancel <= io.dec_div.dec_div_cancel @[exu_div_ctl.scala 73:34] + exu_div_new_4bit_fullshortq.io.valid_in <= io.dec_div.div_p.valid @[exu_div_ctl.scala 74:34] + node _T_3 = not(io.dec_div.div_p.bits.unsign) @[exu_div_ctl.scala 75:37] + exu_div_new_4bit_fullshortq.io.signed_in <= _T_3 @[exu_div_ctl.scala 75:34] + exu_div_new_4bit_fullshortq.io.rem_in <= io.dec_div.div_p.bits.rem @[exu_div_ctl.scala 76:34] + exu_div_new_4bit_fullshortq.io.dividend_in <= io.dividend @[exu_div_ctl.scala 77:34] + exu_div_new_4bit_fullshortq.io.divisor_in <= io.divisor @[exu_div_ctl.scala 78:34] + out_raw <= exu_div_new_4bit_fullshortq.io.data_out @[exu_div_ctl.scala 79:29] + io.exu_div_wren <= exu_div_new_4bit_fullshortq.io.valid_out @[exu_div_ctl.scala 80:29] + + module exu : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, dec_exu : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_csr_rddata_d : UInt<32>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {clz : UInt<1>, ctz : UInt<1>, pcnt : UInt<1>, sext_b : UInt<1>, sext_h : UInt<1>, slo : UInt<1>, sro : UInt<1>, min : UInt<1>, max : UInt<1>, pack : UInt<1>, packu : UInt<1>, packh : UInt<1>, rol : UInt<1>, ror : UInt<1>, grev : UInt<1>, gorc : UInt<1>, zbb : UInt<1>, sbset : UInt<1>, sbclr : UInt<1>, sbinv : UInt<1>, sbext : UInt<1>, sh1add : UInt<1>, sh2add : UInt<1>, sh3add : UInt<1>, zba : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_branch_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_result_r : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<4>, flip dec_i0_rs2_bypass_en_d : UInt<4>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, gorc : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, ib_exu : {flip dec_i0_pc_d : UInt<31>, flip dec_debug_wdata_rs1_d : UInt<1>}, gpr_exu : {flip gpr_i0_rs1_d : UInt<32>, flip gpr_i0_rs2_d : UInt<32>}}, exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}, exu_flush_final : UInt<1>, exu_div_result : UInt<32>, exu_div_wren : UInt<1>, flip dbg_cmd_wrdata : UInt<32>, flip lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>, lsu_result_m : UInt<32>, lsu_nonblock_load_data : UInt<32>}, exu_flush_path_final : UInt<31>, flip dec_qual_lsu_d : UInt<1>} + + wire ghr_x_ns : UInt<8> @[exu.scala 33:57] + wire ghr_d_ns : UInt<8> @[exu.scala 34:57] + wire ghr_d : UInt<8> @[exu.scala 35:67] + wire i0_taken_d : UInt<1> @[exu.scala 36:63] + wire mul_valid_x : UInt<1> @[exu.scala 37:63] + wire i0_valid_d : UInt<1> @[exu.scala 38:63] + wire i0_branch_x : UInt<1> @[exu.scala 39:39] + wire i0_predict_newp_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}} @[exu.scala 40:51] + wire i0_flush_path_d : UInt<31> @[exu.scala 41:53] + wire i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}} @[exu.scala 42:53] + wire i0_pp_r : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}} @[exu.scala 43:65] + wire i0_predict_p_x : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}} @[exu.scala 44:53] + wire final_predict_mp : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}} @[exu.scala 45:45] + wire pred_correct_npc_r : UInt<32> @[exu.scala 46:51] + wire i0_pred_correct_upper_d : UInt<1> @[exu.scala 47:41] + wire i0_flush_upper_d : UInt<1> @[exu.scala 48:45] + io.exu_bp.exu_mp_pkt.bits.prett <= UInt<1>("h00") @[exu.scala 49:57] + io.exu_bp.exu_mp_pkt.bits.br_start_error <= UInt<1>("h00") @[exu.scala 50:44] + io.exu_bp.exu_mp_pkt.bits.br_error <= UInt<1>("h00") @[exu.scala 51:39] + io.exu_bp.exu_mp_pkt.valid <= UInt<1>("h00") @[exu.scala 52:53] + i0_pp_r.bits.toffset <= UInt<1>("h00") @[exu.scala 53:39] + node x_data_en = bits(io.dec_exu.decode_exu.dec_data_en, 1, 1) @[exu.scala 54:69] + node _T = bits(io.dec_exu.decode_exu.dec_data_en, 1, 1) @[exu.scala 55:69] + node x_data_en_q1 = and(_T, io.dec_exu.dec_alu.dec_csr_ren_d) @[exu.scala 55:73] + node _T_1 = bits(io.dec_exu.decode_exu.dec_data_en, 1, 1) @[exu.scala 56:69] + node x_data_en_q2 = and(_T_1, io.dec_exu.decode_exu.dec_i0_branch_d) @[exu.scala 56:73] + node r_data_en = bits(io.dec_exu.decode_exu.dec_data_en, 0, 0) @[exu.scala 57:69] + node _T_2 = bits(io.dec_exu.decode_exu.dec_data_en, 0, 0) @[exu.scala 58:69] + node r_data_en_q2 = and(_T_2, i0_branch_x) @[exu.scala 58:73] + node x_ctl_en = bits(io.dec_exu.decode_exu.dec_ctl_en, 1, 1) @[exu.scala 59:68] + node r_ctl_en = bits(io.dec_exu.decode_exu.dec_ctl_en, 0, 0) @[exu.scala 60:68] + node _T_3 = cat(io.dec_exu.decode_exu.i0_predict_fghr_d, io.dec_exu.decode_exu.i0_predict_index_d) @[Cat.scala 29:58] + node predpipe_d = cat(_T_3, io.dec_exu.decode_exu.i0_predict_btag_d) @[Cat.scala 29:58] + node _T_4 = bits(x_data_en, 0, 0) @[exu.scala 63:68] + wire _T_5 : UInt<31> @[lib.scala 636:38] + _T_5 <= UInt<1>("h00") @[lib.scala 636:38] + reg i0_flush_path_x : UInt, clock with : (reset => (reset, _T_5)) @[Reg.scala 27:20] + when _T_4 : @[Reg.scala 28:19] + i0_flush_path_x <= i0_flush_path_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_6 = bits(x_data_en, 0, 0) @[exu.scala 64:116] + node _T_7 = bits(io.exu_bp.exu_mp_pkt.bits.pret, 0, 0) @[lib.scala 8:44] + wire _T_8 : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}} @[lib.scala 586:37] + _T_8.bits.prett <= UInt<31>("h00") @[lib.scala 586:37] + _T_8.bits.pret <= UInt<1>("h00") @[lib.scala 586:37] + _T_8.bits.way <= UInt<1>("h00") @[lib.scala 586:37] + _T_8.bits.pja <= UInt<1>("h00") @[lib.scala 586:37] + _T_8.bits.pcall <= UInt<1>("h00") @[lib.scala 586:37] + _T_8.bits.br_start_error <= UInt<1>("h00") @[lib.scala 586:37] + _T_8.bits.br_error <= UInt<1>("h00") @[lib.scala 586:37] + _T_8.bits.toffset <= UInt<12>("h00") @[lib.scala 586:37] + _T_8.bits.hist <= UInt<2>("h00") @[lib.scala 586:37] + _T_8.bits.pc4 <= UInt<1>("h00") @[lib.scala 586:37] + _T_8.bits.boffset <= UInt<1>("h00") @[lib.scala 586:37] + _T_8.bits.ataken <= UInt<1>("h00") @[lib.scala 586:37] + _T_8.bits.misp <= UInt<1>("h00") @[lib.scala 586:37] + _T_8.valid <= UInt<1>("h00") @[lib.scala 586:37] + reg _T_9 : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}}, clock with : (reset => (reset, _T_8)) @[Reg.scala 27:20] + when _T_6 : @[Reg.scala 28:19] + _T_9.bits.prett <= i0_predict_p_d.bits.prett @[Reg.scala 28:23] + _T_9.bits.pret <= i0_predict_p_d.bits.pret @[Reg.scala 28:23] + _T_9.bits.way <= i0_predict_p_d.bits.way @[Reg.scala 28:23] + _T_9.bits.pja <= i0_predict_p_d.bits.pja @[Reg.scala 28:23] + _T_9.bits.pcall <= i0_predict_p_d.bits.pcall @[Reg.scala 28:23] + _T_9.bits.br_start_error <= i0_predict_p_d.bits.br_start_error @[Reg.scala 28:23] + _T_9.bits.br_error <= i0_predict_p_d.bits.br_error @[Reg.scala 28:23] + _T_9.bits.toffset <= i0_predict_p_d.bits.toffset @[Reg.scala 28:23] + _T_9.bits.hist <= i0_predict_p_d.bits.hist @[Reg.scala 28:23] + _T_9.bits.pc4 <= i0_predict_p_d.bits.pc4 @[Reg.scala 28:23] + _T_9.bits.boffset <= i0_predict_p_d.bits.boffset @[Reg.scala 28:23] + _T_9.bits.ataken <= i0_predict_p_d.bits.ataken @[Reg.scala 28:23] + _T_9.bits.misp <= i0_predict_p_d.bits.misp @[Reg.scala 28:23] + _T_9.valid <= i0_predict_p_d.valid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + i0_predict_p_x.bits.prett <= _T_9.bits.prett @[exu.scala 64:55] + i0_predict_p_x.bits.pret <= _T_9.bits.pret @[exu.scala 64:55] + i0_predict_p_x.bits.way <= _T_9.bits.way @[exu.scala 64:55] + i0_predict_p_x.bits.pja <= _T_9.bits.pja @[exu.scala 64:55] + i0_predict_p_x.bits.pcall <= _T_9.bits.pcall @[exu.scala 64:55] + i0_predict_p_x.bits.br_start_error <= _T_9.bits.br_start_error @[exu.scala 64:55] + i0_predict_p_x.bits.br_error <= _T_9.bits.br_error @[exu.scala 64:55] + i0_predict_p_x.bits.toffset <= _T_9.bits.toffset @[exu.scala 64:55] + i0_predict_p_x.bits.hist <= _T_9.bits.hist @[exu.scala 64:55] + i0_predict_p_x.bits.pc4 <= _T_9.bits.pc4 @[exu.scala 64:55] + i0_predict_p_x.bits.boffset <= _T_9.bits.boffset @[exu.scala 64:55] + i0_predict_p_x.bits.ataken <= _T_9.bits.ataken @[exu.scala 64:55] + i0_predict_p_x.bits.misp <= _T_9.bits.misp @[exu.scala 64:55] + i0_predict_p_x.valid <= _T_9.valid @[exu.scala 64:55] + node _T_10 = bits(x_data_en_q2, 0, 0) @[exu.scala 65:79] + inst rvclkhdr of rvclkhdr @[lib.scala 399:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 401:18] + rvclkhdr.io.en <= _T_10 @[lib.scala 402:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg predpipe_x : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_10 : @[Reg.scala 28:19] + predpipe_x <= predpipe_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_11 = bits(r_data_en_q2, 0, 0) @[exu.scala 66:88] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 399:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_1.io.en <= _T_11 @[lib.scala 402:17] + rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg predpipe_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_11 : @[Reg.scala 28:19] + predpipe_r <= predpipe_x @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_12 = bits(x_ctl_en, 0, 0) @[exu.scala 67:86] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 399:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_2.io.en <= _T_12 @[lib.scala 402:17] + rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg ghr_x : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_12 : @[Reg.scala 28:19] + ghr_x <= ghr_x_ns @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_13 = bits(x_ctl_en, 0, 0) @[exu.scala 68:75] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 399:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_3.io.en <= _T_13 @[lib.scala 402:17] + rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg i0_pred_correct_upper_x : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_13 : @[Reg.scala 28:19] + i0_pred_correct_upper_x <= i0_pred_correct_upper_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_14 = bits(x_ctl_en, 0, 0) @[exu.scala 69:66] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 399:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_4.io.en <= _T_14 @[lib.scala 402:17] + rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg i0_flush_upper_x : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_14 : @[Reg.scala 28:19] + i0_flush_upper_x <= i0_flush_upper_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_15 = bits(x_ctl_en, 0, 0) @[exu.scala 70:84] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 399:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_5.io.en <= _T_15 @[lib.scala 402:17] + rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg i0_taken_x : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_15 : @[Reg.scala 28:19] + i0_taken_x <= i0_taken_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_16 = bits(x_ctl_en, 0, 0) @[exu.scala 71:84] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 399:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_6.io.en <= _T_16 @[lib.scala 402:17] + rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg i0_valid_x : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_16 : @[Reg.scala 28:19] + i0_valid_x <= i0_valid_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_17 = bits(r_ctl_en, 0, 0) @[exu.scala 72:93] + node _T_18 = bits(io.exu_bp.exu_mp_pkt.bits.pret, 0, 0) @[lib.scala 8:44] + wire _T_19 : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}} @[lib.scala 586:37] + _T_19.bits.prett <= UInt<31>("h00") @[lib.scala 586:37] + _T_19.bits.pret <= UInt<1>("h00") @[lib.scala 586:37] + _T_19.bits.way <= UInt<1>("h00") @[lib.scala 586:37] + _T_19.bits.pja <= UInt<1>("h00") @[lib.scala 586:37] + _T_19.bits.pcall <= UInt<1>("h00") @[lib.scala 586:37] + _T_19.bits.br_start_error <= UInt<1>("h00") @[lib.scala 586:37] + _T_19.bits.br_error <= UInt<1>("h00") @[lib.scala 586:37] + _T_19.bits.toffset <= UInt<12>("h00") @[lib.scala 586:37] + _T_19.bits.hist <= UInt<2>("h00") @[lib.scala 586:37] + _T_19.bits.pc4 <= UInt<1>("h00") @[lib.scala 586:37] + _T_19.bits.boffset <= UInt<1>("h00") @[lib.scala 586:37] + _T_19.bits.ataken <= UInt<1>("h00") @[lib.scala 586:37] + _T_19.bits.misp <= UInt<1>("h00") @[lib.scala 586:37] + _T_19.valid <= UInt<1>("h00") @[lib.scala 586:37] + reg _T_20 : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}}, clock with : (reset => (reset, _T_19)) @[Reg.scala 27:20] + when _T_17 : @[Reg.scala 28:19] + _T_20.bits.prett <= i0_predict_p_x.bits.prett @[Reg.scala 28:23] + _T_20.bits.pret <= i0_predict_p_x.bits.pret @[Reg.scala 28:23] + _T_20.bits.way <= i0_predict_p_x.bits.way @[Reg.scala 28:23] + _T_20.bits.pja <= i0_predict_p_x.bits.pja @[Reg.scala 28:23] + _T_20.bits.pcall <= i0_predict_p_x.bits.pcall @[Reg.scala 28:23] + _T_20.bits.br_start_error <= i0_predict_p_x.bits.br_start_error @[Reg.scala 28:23] + _T_20.bits.br_error <= i0_predict_p_x.bits.br_error @[Reg.scala 28:23] + _T_20.bits.toffset <= i0_predict_p_x.bits.toffset @[Reg.scala 28:23] + _T_20.bits.hist <= i0_predict_p_x.bits.hist @[Reg.scala 28:23] + _T_20.bits.pc4 <= i0_predict_p_x.bits.pc4 @[Reg.scala 28:23] + _T_20.bits.boffset <= i0_predict_p_x.bits.boffset @[Reg.scala 28:23] + _T_20.bits.ataken <= i0_predict_p_x.bits.ataken @[Reg.scala 28:23] + _T_20.bits.misp <= i0_predict_p_x.bits.misp @[Reg.scala 28:23] + _T_20.valid <= i0_predict_p_x.valid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + i0_pp_r.bits.prett <= _T_20.bits.prett @[exu.scala 72:31] + i0_pp_r.bits.pret <= _T_20.bits.pret @[exu.scala 72:31] + i0_pp_r.bits.way <= _T_20.bits.way @[exu.scala 72:31] + i0_pp_r.bits.pja <= _T_20.bits.pja @[exu.scala 72:31] + i0_pp_r.bits.pcall <= _T_20.bits.pcall @[exu.scala 72:31] + i0_pp_r.bits.br_start_error <= _T_20.bits.br_start_error @[exu.scala 72:31] + i0_pp_r.bits.br_error <= _T_20.bits.br_error @[exu.scala 72:31] + i0_pp_r.bits.toffset <= _T_20.bits.toffset @[exu.scala 72:31] + i0_pp_r.bits.hist <= _T_20.bits.hist @[exu.scala 72:31] + i0_pp_r.bits.pc4 <= _T_20.bits.pc4 @[exu.scala 72:31] + i0_pp_r.bits.boffset <= _T_20.bits.boffset @[exu.scala 72:31] + i0_pp_r.bits.ataken <= _T_20.bits.ataken @[exu.scala 72:31] + i0_pp_r.bits.misp <= _T_20.bits.misp @[exu.scala 72:31] + i0_pp_r.valid <= _T_20.valid @[exu.scala 72:31] + node _T_21 = bits(io.dec_exu.decode_exu.pred_correct_npc_x, 5, 0) @[exu.scala 73:94] + node _T_22 = bits(r_data_en, 0, 0) @[exu.scala 73:111] + wire _T_23 : UInt<6> @[lib.scala 636:38] + _T_23 <= UInt<1>("h00") @[lib.scala 636:38] + reg pred_temp1 : UInt, clock with : (reset => (reset, _T_23)) @[Reg.scala 27:20] + when _T_22 : @[Reg.scala 28:19] + pred_temp1 <= _T_21 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_24 = bits(r_ctl_en, 0, 0) @[exu.scala 74:109] + wire _T_25 : UInt @[lib.scala 576:35] + _T_25 <= UInt<1>("h00") @[lib.scala 576:35] + reg i0_pred_correct_upper_r : UInt, clock with : (reset => (reset, _T_25)) @[Reg.scala 27:20] + when _T_24 : @[Reg.scala 28:19] + i0_pred_correct_upper_r <= i0_pred_correct_upper_x @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_26 = bits(r_data_en, 0, 0) @[exu.scala 75:73] + wire _T_27 : UInt @[lib.scala 636:38] + _T_27 <= UInt<1>("h00") @[lib.scala 636:38] + reg i0_flush_path_upper_r : UInt, clock with : (reset => (reset, _T_27)) @[Reg.scala 27:20] + when _T_26 : @[Reg.scala 28:19] + i0_flush_path_upper_r <= i0_flush_path_x @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_28 = bits(io.dec_exu.decode_exu.pred_correct_npc_x, 30, 6) @[exu.scala 76:106] + node _T_29 = bits(r_data_en, 0, 0) @[exu.scala 76:124] + wire _T_30 : UInt<25> @[lib.scala 636:38] + _T_30 <= UInt<1>("h00") @[lib.scala 636:38] + reg pred_temp2 : UInt, clock with : (reset => (reset, _T_30)) @[Reg.scala 27:20] + when _T_29 : @[Reg.scala 28:19] + pred_temp2 <= _T_28 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_31 = cat(pred_temp2, pred_temp1) @[Cat.scala 29:58] + pred_correct_npc_r <= _T_31 @[exu.scala 77:45] + wire _T_32 : UInt + _T_32 <= UInt<1>("h00") + node _T_33 = xor(ghr_d_ns, _T_32) @[lib.scala 436:21] + node _T_34 = orr(_T_33) @[lib.scala 436:29] + reg _T_35 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_34 : @[Reg.scala 28:19] + _T_35 <= ghr_d_ns @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + _T_32 <= _T_35 @[lib.scala 439:16] + ghr_d <= _T_32 @[exu.scala 78:43] + wire _T_36 : UInt<1> + _T_36 <= UInt<1>("h00") + node _T_37 = xor(io.dec_exu.decode_exu.mul_p.valid, _T_36) @[lib.scala 458:21] + node _T_38 = orr(_T_37) @[lib.scala 458:29] + reg _T_39 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_38 : @[Reg.scala 28:19] + _T_39 <= io.dec_exu.decode_exu.mul_p.valid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + _T_36 <= _T_39 @[lib.scala 461:16] + mul_valid_x <= _T_36 @[exu.scala 79:39] + wire _T_40 : UInt + _T_40 <= UInt<1>("h00") + node _T_41 = xor(io.dec_exu.decode_exu.dec_i0_branch_d, _T_40) @[lib.scala 436:21] + node _T_42 = orr(_T_41) @[lib.scala 436:29] + reg _T_43 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_42 : @[Reg.scala 28:19] + _T_43 <= io.dec_exu.decode_exu.dec_i0_branch_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + _T_40 <= _T_43 @[lib.scala 439:16] + i0_branch_x <= _T_40 @[exu.scala 80:39] + node _T_44 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 0, 0) @[exu.scala 82:80] + node _T_45 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 1, 1) @[exu.scala 82:130] + node _T_46 = or(_T_44, _T_45) @[exu.scala 82:84] + node _T_47 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 2, 2) @[exu.scala 82:180] + node _T_48 = or(_T_46, _T_47) @[exu.scala 82:134] + node _T_49 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 3, 3) @[exu.scala 82:230] + node i0_rs1_bypass_en_d = or(_T_48, _T_49) @[exu.scala 82:184] + node _T_50 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 0, 0) @[exu.scala 83:80] + node _T_51 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 1, 1) @[exu.scala 83:130] + node _T_52 = or(_T_50, _T_51) @[exu.scala 83:84] + node _T_53 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 2, 2) @[exu.scala 83:180] + node _T_54 = or(_T_52, _T_53) @[exu.scala 83:134] + node _T_55 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 3, 3) @[exu.scala 83:230] + node i0_rs2_bypass_en_d = or(_T_54, _T_55) @[exu.scala 83:184] + node _T_56 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 0, 0) @[exu.scala 86:49] + node _T_57 = bits(_T_56, 0, 0) @[exu.scala 86:53] + node _T_58 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 1, 1) @[exu.scala 87:49] + node _T_59 = bits(_T_58, 0, 0) @[exu.scala 87:53] + node _T_60 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 2, 2) @[exu.scala 88:49] + node _T_61 = bits(_T_60, 0, 0) @[exu.scala 88:53] + node _T_62 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 3, 3) @[exu.scala 89:49] + node _T_63 = bits(_T_62, 0, 0) @[exu.scala 89:53] + node _T_64 = mux(_T_57, io.dec_exu.decode_exu.dec_i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_65 = mux(_T_59, io.lsu_exu.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_66 = mux(_T_61, io.dec_exu.decode_exu.exu_i0_result_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_67 = mux(_T_63, io.lsu_exu.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_68 = or(_T_64, _T_65) @[Mux.scala 27:72] + node _T_69 = or(_T_68, _T_66) @[Mux.scala 27:72] + node _T_70 = or(_T_69, _T_67) @[Mux.scala 27:72] + wire i0_rs1_bypass_data_d : UInt<32> @[Mux.scala 27:72] + i0_rs1_bypass_data_d <= _T_70 @[Mux.scala 27:72] + node _T_71 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 0, 0) @[exu.scala 92:49] + node _T_72 = bits(_T_71, 0, 0) @[exu.scala 92:53] + node _T_73 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 1, 1) @[exu.scala 93:49] + node _T_74 = bits(_T_73, 0, 0) @[exu.scala 93:53] + node _T_75 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 2, 2) @[exu.scala 94:49] + node _T_76 = bits(_T_75, 0, 0) @[exu.scala 94:53] + node _T_77 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 3, 3) @[exu.scala 95:49] + node _T_78 = bits(_T_77, 0, 0) @[exu.scala 95:53] + node _T_79 = mux(_T_72, io.dec_exu.decode_exu.dec_i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_80 = mux(_T_74, io.lsu_exu.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_76, io.dec_exu.decode_exu.exu_i0_result_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_78, io.lsu_exu.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = or(_T_79, _T_80) @[Mux.scala 27:72] + node _T_84 = or(_T_83, _T_81) @[Mux.scala 27:72] + node _T_85 = or(_T_84, _T_82) @[Mux.scala 27:72] + wire i0_rs2_bypass_data_d : UInt<32> @[Mux.scala 27:72] + i0_rs2_bypass_data_d <= _T_85 @[Mux.scala 27:72] + node _T_86 = bits(i0_rs1_bypass_en_d, 0, 0) @[exu.scala 99:24] + node _T_87 = eq(i0_rs1_bypass_en_d, UInt<1>("h00")) @[exu.scala 100:6] + node _T_88 = and(_T_87, io.dec_exu.decode_exu.dec_i0_select_pc_d) @[exu.scala 100:26] + node _T_89 = bits(_T_88, 0, 0) @[exu.scala 100:71] + node _T_90 = cat(io.dec_exu.ib_exu.dec_i0_pc_d, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_91 = eq(i0_rs1_bypass_en_d, UInt<1>("h00")) @[exu.scala 101:6] + node _T_92 = and(_T_91, io.dec_exu.ib_exu.dec_debug_wdata_rs1_d) @[exu.scala 101:26] + node _T_93 = bits(_T_92, 0, 0) @[exu.scala 101:70] + node _T_94 = eq(i0_rs1_bypass_en_d, UInt<1>("h00")) @[exu.scala 102:6] + node _T_95 = eq(io.dec_exu.ib_exu.dec_debug_wdata_rs1_d, UInt<1>("h00")) @[exu.scala 102:28] + node _T_96 = and(_T_94, _T_95) @[exu.scala 102:26] + node _T_97 = and(_T_96, io.dec_exu.decode_exu.dec_i0_rs1_en_d) @[exu.scala 102:69] + node _T_98 = bits(_T_97, 0, 0) @[exu.scala 102:110] + node _T_99 = mux(_T_86, i0_rs1_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_100 = mux(_T_89, _T_90, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_101 = mux(_T_93, io.dbg_cmd_wrdata, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_102 = mux(_T_98, io.dec_exu.gpr_exu.gpr_i0_rs1_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_103 = or(_T_99, _T_100) @[Mux.scala 27:72] + node _T_104 = or(_T_103, _T_101) @[Mux.scala 27:72] + node _T_105 = or(_T_104, _T_102) @[Mux.scala 27:72] + wire i0_rs1_d : UInt<32> @[Mux.scala 27:72] + i0_rs1_d <= _T_105 @[Mux.scala 27:72] + node _T_106 = bits(x_data_en_q1, 0, 0) @[exu.scala 104:88] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 399:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_7.io.en <= _T_106 @[lib.scala 402:17] + rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_107 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_106 : @[Reg.scala 28:19] + _T_107 <= i0_rs1_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + io.dec_exu.decode_exu.exu_csr_rs1_x <= _T_107 @[exu.scala 104:57] + node _T_108 = eq(i0_rs2_bypass_en_d, UInt<1>("h00")) @[exu.scala 107:6] + node _T_109 = and(_T_108, io.dec_exu.decode_exu.dec_i0_rs2_en_d) @[exu.scala 107:26] + node _T_110 = bits(_T_109, 0, 0) @[exu.scala 107:67] + node _T_111 = eq(i0_rs2_bypass_en_d, UInt<1>("h00")) @[exu.scala 108:6] + node _T_112 = bits(_T_111, 0, 0) @[exu.scala 108:27] + node _T_113 = bits(i0_rs2_bypass_en_d, 0, 0) @[exu.scala 109:26] + node _T_114 = mux(_T_110, io.dec_exu.gpr_exu.gpr_i0_rs2_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_115 = mux(_T_112, io.dec_exu.decode_exu.dec_i0_immed_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_116 = mux(_T_113, i0_rs2_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_117 = or(_T_114, _T_115) @[Mux.scala 27:72] + node _T_118 = or(_T_117, _T_116) @[Mux.scala 27:72] + wire i0_rs2_d : UInt<32> @[Mux.scala 27:72] + i0_rs2_d <= _T_118 @[Mux.scala 27:72] + node _T_119 = eq(i0_rs1_bypass_en_d, UInt<1>("h00")) @[exu.scala 114:6] + node _T_120 = eq(io.dec_exu.decode_exu.dec_extint_stall, UInt<1>("h00")) @[exu.scala 114:28] + node _T_121 = and(_T_119, _T_120) @[exu.scala 114:26] + node _T_122 = and(_T_121, io.dec_exu.decode_exu.dec_i0_rs1_en_d) @[exu.scala 114:68] + node _T_123 = and(_T_122, io.dec_qual_lsu_d) @[exu.scala 114:108] + node _T_124 = bits(_T_123, 0, 0) @[exu.scala 114:129] + node _T_125 = eq(io.dec_exu.decode_exu.dec_extint_stall, UInt<1>("h00")) @[exu.scala 115:27] + node _T_126 = and(i0_rs1_bypass_en_d, _T_125) @[exu.scala 115:25] + node _T_127 = and(_T_126, io.dec_qual_lsu_d) @[exu.scala 115:67] + node _T_128 = bits(_T_127, 0, 0) @[exu.scala 115:88] + node _T_129 = and(io.dec_exu.decode_exu.dec_extint_stall, io.dec_qual_lsu_d) @[exu.scala 116:45] + node _T_130 = bits(_T_129, 0, 0) @[exu.scala 116:66] + node _T_131 = cat(io.dec_exu.tlu_exu.dec_tlu_meihap, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_132 = mux(_T_124, io.dec_exu.gpr_exu.gpr_i0_rs1_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_133 = mux(_T_128, i0_rs1_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_134 = mux(_T_130, _T_131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_135 = or(_T_132, _T_133) @[Mux.scala 27:72] + node _T_136 = or(_T_135, _T_134) @[Mux.scala 27:72] + wire _T_137 : UInt<32> @[Mux.scala 27:72] + _T_137 <= _T_136 @[Mux.scala 27:72] + io.lsu_exu.exu_lsu_rs1_d <= _T_137 @[exu.scala 113:27] + node _T_138 = eq(i0_rs2_bypass_en_d, UInt<1>("h00")) @[exu.scala 120:6] + node _T_139 = eq(io.dec_exu.decode_exu.dec_extint_stall, UInt<1>("h00")) @[exu.scala 120:28] + node _T_140 = and(_T_138, _T_139) @[exu.scala 120:26] + node _T_141 = and(_T_140, io.dec_exu.decode_exu.dec_i0_rs2_en_d) @[exu.scala 120:68] + node _T_142 = and(_T_141, io.dec_qual_lsu_d) @[exu.scala 120:108] + node _T_143 = bits(_T_142, 0, 0) @[exu.scala 120:129] + node _T_144 = eq(io.dec_exu.decode_exu.dec_extint_stall, UInt<1>("h00")) @[exu.scala 121:27] + node _T_145 = and(i0_rs2_bypass_en_d, _T_144) @[exu.scala 121:25] + node _T_146 = and(_T_145, io.dec_qual_lsu_d) @[exu.scala 121:67] + node _T_147 = bits(_T_146, 0, 0) @[exu.scala 121:88] + node _T_148 = mux(_T_143, io.dec_exu.gpr_exu.gpr_i0_rs2_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_149 = mux(_T_147, i0_rs2_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_150 = or(_T_148, _T_149) @[Mux.scala 27:72] + wire _T_151 : UInt<32> @[Mux.scala 27:72] + _T_151 <= _T_150 @[Mux.scala 27:72] + io.lsu_exu.exu_lsu_rs2_d <= _T_151 @[exu.scala 119:27] + node _T_152 = eq(i0_rs1_bypass_en_d, UInt<1>("h00")) @[exu.scala 125:6] + node _T_153 = and(_T_152, io.dec_exu.decode_exu.dec_i0_rs1_en_d) @[exu.scala 125:26] + node _T_154 = bits(_T_153, 0, 0) @[exu.scala 125:67] + node _T_155 = bits(i0_rs1_bypass_en_d, 0, 0) @[exu.scala 126:26] + node _T_156 = mux(_T_154, io.dec_exu.gpr_exu.gpr_i0_rs1_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_157 = mux(_T_155, i0_rs1_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_158 = or(_T_156, _T_157) @[Mux.scala 27:72] + wire muldiv_rs1_d : UInt<32> @[Mux.scala 27:72] + muldiv_rs1_d <= _T_158 @[Mux.scala 27:72] + inst i_alu of exu_alu_ctl @[exu.scala 129:19] + i_alu.clock <= clock + i_alu.reset <= reset + io.dec_exu.dec_alu.exu_i0_pc_x <= i_alu.io.dec_alu.exu_i0_pc_x @[exu.scala 130:20] + i_alu.io.dec_alu.dec_i0_br_immed_d <= io.dec_exu.dec_alu.dec_i0_br_immed_d @[exu.scala 130:20] + i_alu.io.dec_alu.dec_csr_rddata_d <= io.dec_exu.dec_alu.dec_csr_rddata_d @[exu.scala 130:20] + i_alu.io.dec_alu.dec_csr_ren_d <= io.dec_exu.dec_alu.dec_csr_ren_d @[exu.scala 130:20] + i_alu.io.dec_alu.dec_i0_alu_decode_d <= io.dec_exu.dec_alu.dec_i0_alu_decode_d @[exu.scala 130:20] + i_alu.io.scan_mode <= io.scan_mode @[exu.scala 131:35] + i_alu.io.enable <= x_data_en @[exu.scala 132:45] + i_alu.io.pp_in.bits.prett <= i0_predict_newp_d.bits.prett @[exu.scala 133:45] + i_alu.io.pp_in.bits.pret <= i0_predict_newp_d.bits.pret @[exu.scala 133:45] + i_alu.io.pp_in.bits.way <= i0_predict_newp_d.bits.way @[exu.scala 133:45] + i_alu.io.pp_in.bits.pja <= i0_predict_newp_d.bits.pja @[exu.scala 133:45] + i_alu.io.pp_in.bits.pcall <= i0_predict_newp_d.bits.pcall @[exu.scala 133:45] + i_alu.io.pp_in.bits.br_start_error <= i0_predict_newp_d.bits.br_start_error @[exu.scala 133:45] + i_alu.io.pp_in.bits.br_error <= i0_predict_newp_d.bits.br_error @[exu.scala 133:45] + i_alu.io.pp_in.bits.toffset <= i0_predict_newp_d.bits.toffset @[exu.scala 133:45] + i_alu.io.pp_in.bits.hist <= i0_predict_newp_d.bits.hist @[exu.scala 133:45] + i_alu.io.pp_in.bits.pc4 <= i0_predict_newp_d.bits.pc4 @[exu.scala 133:45] + i_alu.io.pp_in.bits.boffset <= i0_predict_newp_d.bits.boffset @[exu.scala 133:45] + i_alu.io.pp_in.bits.ataken <= i0_predict_newp_d.bits.ataken @[exu.scala 133:45] + i_alu.io.pp_in.bits.misp <= i0_predict_newp_d.bits.misp @[exu.scala 133:45] + i_alu.io.pp_in.valid <= i0_predict_newp_d.valid @[exu.scala 133:45] + i_alu.io.flush_upper_x <= i0_flush_upper_x @[exu.scala 134:33] + i_alu.io.dec_tlu_flush_lower_r <= io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[exu.scala 135:41] + node _T_159 = asSInt(i0_rs1_d) @[exu.scala 136:50] + i_alu.io.a_in <= _T_159 @[exu.scala 136:39] + i_alu.io.b_in <= i0_rs2_d @[exu.scala 137:39] + i_alu.io.dec_i0_pc_d <= io.dec_exu.ib_exu.dec_i0_pc_d @[exu.scala 138:33] + i_alu.io.i0_ap.csr_imm <= io.dec_exu.decode_exu.i0_ap.csr_imm @[exu.scala 139:51] + i_alu.io.i0_ap.csr_write <= io.dec_exu.decode_exu.i0_ap.csr_write @[exu.scala 139:51] + i_alu.io.i0_ap.predict_nt <= io.dec_exu.decode_exu.i0_ap.predict_nt @[exu.scala 139:51] + i_alu.io.i0_ap.predict_t <= io.dec_exu.decode_exu.i0_ap.predict_t @[exu.scala 139:51] + i_alu.io.i0_ap.jal <= io.dec_exu.decode_exu.i0_ap.jal @[exu.scala 139:51] + i_alu.io.i0_ap.unsign <= io.dec_exu.decode_exu.i0_ap.unsign @[exu.scala 139:51] + i_alu.io.i0_ap.slt <= io.dec_exu.decode_exu.i0_ap.slt @[exu.scala 139:51] + i_alu.io.i0_ap.sub <= io.dec_exu.decode_exu.i0_ap.sub @[exu.scala 139:51] + i_alu.io.i0_ap.add <= io.dec_exu.decode_exu.i0_ap.add @[exu.scala 139:51] + i_alu.io.i0_ap.bge <= io.dec_exu.decode_exu.i0_ap.bge @[exu.scala 139:51] + i_alu.io.i0_ap.blt <= io.dec_exu.decode_exu.i0_ap.blt @[exu.scala 139:51] + i_alu.io.i0_ap.bne <= io.dec_exu.decode_exu.i0_ap.bne @[exu.scala 139:51] + i_alu.io.i0_ap.beq <= io.dec_exu.decode_exu.i0_ap.beq @[exu.scala 139:51] + i_alu.io.i0_ap.sra <= io.dec_exu.decode_exu.i0_ap.sra @[exu.scala 139:51] + i_alu.io.i0_ap.srl <= io.dec_exu.decode_exu.i0_ap.srl @[exu.scala 139:51] + i_alu.io.i0_ap.sll <= io.dec_exu.decode_exu.i0_ap.sll @[exu.scala 139:51] + i_alu.io.i0_ap.lxor <= io.dec_exu.decode_exu.i0_ap.lxor @[exu.scala 139:51] + i_alu.io.i0_ap.lor <= io.dec_exu.decode_exu.i0_ap.lor @[exu.scala 139:51] + i_alu.io.i0_ap.land <= io.dec_exu.decode_exu.i0_ap.land @[exu.scala 139:51] + i_alu.io.i0_ap.zba <= io.dec_exu.decode_exu.i0_ap.zba @[exu.scala 139:51] + i_alu.io.i0_ap.sh3add <= io.dec_exu.decode_exu.i0_ap.sh3add @[exu.scala 139:51] + i_alu.io.i0_ap.sh2add <= io.dec_exu.decode_exu.i0_ap.sh2add @[exu.scala 139:51] + i_alu.io.i0_ap.sh1add <= io.dec_exu.decode_exu.i0_ap.sh1add @[exu.scala 139:51] + i_alu.io.i0_ap.sbext <= io.dec_exu.decode_exu.i0_ap.sbext @[exu.scala 139:51] + i_alu.io.i0_ap.sbinv <= io.dec_exu.decode_exu.i0_ap.sbinv @[exu.scala 139:51] + i_alu.io.i0_ap.sbclr <= io.dec_exu.decode_exu.i0_ap.sbclr @[exu.scala 139:51] + i_alu.io.i0_ap.sbset <= io.dec_exu.decode_exu.i0_ap.sbset @[exu.scala 139:51] + i_alu.io.i0_ap.zbb <= io.dec_exu.decode_exu.i0_ap.zbb @[exu.scala 139:51] + i_alu.io.i0_ap.gorc <= io.dec_exu.decode_exu.i0_ap.gorc @[exu.scala 139:51] + i_alu.io.i0_ap.grev <= io.dec_exu.decode_exu.i0_ap.grev @[exu.scala 139:51] + i_alu.io.i0_ap.ror <= io.dec_exu.decode_exu.i0_ap.ror @[exu.scala 139:51] + i_alu.io.i0_ap.rol <= io.dec_exu.decode_exu.i0_ap.rol @[exu.scala 139:51] + i_alu.io.i0_ap.packh <= io.dec_exu.decode_exu.i0_ap.packh @[exu.scala 139:51] + i_alu.io.i0_ap.packu <= io.dec_exu.decode_exu.i0_ap.packu @[exu.scala 139:51] + i_alu.io.i0_ap.pack <= io.dec_exu.decode_exu.i0_ap.pack @[exu.scala 139:51] + i_alu.io.i0_ap.max <= io.dec_exu.decode_exu.i0_ap.max @[exu.scala 139:51] + i_alu.io.i0_ap.min <= io.dec_exu.decode_exu.i0_ap.min @[exu.scala 139:51] + i_alu.io.i0_ap.sro <= io.dec_exu.decode_exu.i0_ap.sro @[exu.scala 139:51] + i_alu.io.i0_ap.slo <= io.dec_exu.decode_exu.i0_ap.slo @[exu.scala 139:51] + i_alu.io.i0_ap.sext_h <= io.dec_exu.decode_exu.i0_ap.sext_h @[exu.scala 139:51] + i_alu.io.i0_ap.sext_b <= io.dec_exu.decode_exu.i0_ap.sext_b @[exu.scala 139:51] + i_alu.io.i0_ap.pcnt <= io.dec_exu.decode_exu.i0_ap.pcnt @[exu.scala 139:51] + i_alu.io.i0_ap.ctz <= io.dec_exu.decode_exu.i0_ap.ctz @[exu.scala 139:51] + i_alu.io.i0_ap.clz <= io.dec_exu.decode_exu.i0_ap.clz @[exu.scala 139:51] + i0_flush_upper_d <= i_alu.io.flush_upper_out @[exu.scala 141:35] + i0_flush_path_d <= i_alu.io.flush_path_out @[exu.scala 142:45] + io.exu_flush_final <= i_alu.io.flush_final_out @[exu.scala 143:27] + i0_predict_p_d.bits.prett <= i_alu.io.predict_p_out.bits.prett @[exu.scala 144:45] + i0_predict_p_d.bits.pret <= i_alu.io.predict_p_out.bits.pret @[exu.scala 144:45] + i0_predict_p_d.bits.way <= i_alu.io.predict_p_out.bits.way @[exu.scala 144:45] + i0_predict_p_d.bits.pja <= i_alu.io.predict_p_out.bits.pja @[exu.scala 144:45] + i0_predict_p_d.bits.pcall <= i_alu.io.predict_p_out.bits.pcall @[exu.scala 144:45] + i0_predict_p_d.bits.br_start_error <= i_alu.io.predict_p_out.bits.br_start_error @[exu.scala 144:45] + i0_predict_p_d.bits.br_error <= i_alu.io.predict_p_out.bits.br_error @[exu.scala 144:45] + i0_predict_p_d.bits.toffset <= i_alu.io.predict_p_out.bits.toffset @[exu.scala 144:45] + i0_predict_p_d.bits.hist <= i_alu.io.predict_p_out.bits.hist @[exu.scala 144:45] + i0_predict_p_d.bits.pc4 <= i_alu.io.predict_p_out.bits.pc4 @[exu.scala 144:45] + i0_predict_p_d.bits.boffset <= i_alu.io.predict_p_out.bits.boffset @[exu.scala 144:45] + i0_predict_p_d.bits.ataken <= i_alu.io.predict_p_out.bits.ataken @[exu.scala 144:45] + i0_predict_p_d.bits.misp <= i_alu.io.predict_p_out.bits.misp @[exu.scala 144:45] + i0_predict_p_d.valid <= i_alu.io.predict_p_out.valid @[exu.scala 144:45] + i0_pred_correct_upper_d <= i_alu.io.pred_correct_out @[exu.scala 145:27] + inst i_mul of exu_mul_ctl @[exu.scala 147:21] + i_mul.clock <= clock + i_mul.reset <= reset + i_mul.io.scan_mode <= io.scan_mode @[exu.scala 148:25] + i_mul.io.mul_p.bits.bfp <= io.dec_exu.decode_exu.mul_p.bits.bfp @[exu.scala 149:41] + i_mul.io.mul_p.bits.crc32c_w <= io.dec_exu.decode_exu.mul_p.bits.crc32c_w @[exu.scala 149:41] + i_mul.io.mul_p.bits.crc32c_h <= io.dec_exu.decode_exu.mul_p.bits.crc32c_h @[exu.scala 149:41] + i_mul.io.mul_p.bits.crc32c_b <= io.dec_exu.decode_exu.mul_p.bits.crc32c_b @[exu.scala 149:41] + i_mul.io.mul_p.bits.crc32_w <= io.dec_exu.decode_exu.mul_p.bits.crc32_w @[exu.scala 149:41] + i_mul.io.mul_p.bits.crc32_h <= io.dec_exu.decode_exu.mul_p.bits.crc32_h @[exu.scala 149:41] + i_mul.io.mul_p.bits.crc32_b <= io.dec_exu.decode_exu.mul_p.bits.crc32_b @[exu.scala 149:41] + i_mul.io.mul_p.bits.unshfl <= io.dec_exu.decode_exu.mul_p.bits.unshfl @[exu.scala 149:41] + i_mul.io.mul_p.bits.shfl <= io.dec_exu.decode_exu.mul_p.bits.shfl @[exu.scala 149:41] + i_mul.io.mul_p.bits.gorc <= io.dec_exu.decode_exu.mul_p.bits.gorc @[exu.scala 149:41] + i_mul.io.mul_p.bits.grev <= io.dec_exu.decode_exu.mul_p.bits.grev @[exu.scala 149:41] + i_mul.io.mul_p.bits.clmulr <= io.dec_exu.decode_exu.mul_p.bits.clmulr @[exu.scala 149:41] + i_mul.io.mul_p.bits.clmulh <= io.dec_exu.decode_exu.mul_p.bits.clmulh @[exu.scala 149:41] + i_mul.io.mul_p.bits.clmul <= io.dec_exu.decode_exu.mul_p.bits.clmul @[exu.scala 149:41] + i_mul.io.mul_p.bits.bdep <= io.dec_exu.decode_exu.mul_p.bits.bdep @[exu.scala 149:41] + i_mul.io.mul_p.bits.bext <= io.dec_exu.decode_exu.mul_p.bits.bext @[exu.scala 149:41] + i_mul.io.mul_p.bits.low <= io.dec_exu.decode_exu.mul_p.bits.low @[exu.scala 149:41] + i_mul.io.mul_p.bits.rs2_sign <= io.dec_exu.decode_exu.mul_p.bits.rs2_sign @[exu.scala 149:41] + i_mul.io.mul_p.bits.rs1_sign <= io.dec_exu.decode_exu.mul_p.bits.rs1_sign @[exu.scala 149:41] + i_mul.io.mul_p.valid <= io.dec_exu.decode_exu.mul_p.valid @[exu.scala 149:41] + node _T_160 = bits(io.dec_exu.decode_exu.mul_p.valid, 0, 0) @[Bitwise.scala 72:15] + node _T_161 = mux(_T_160, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_162 = and(muldiv_rs1_d, _T_161) @[exu.scala 150:57] + i_mul.io.rs1_in <= _T_162 @[exu.scala 150:41] + node _T_163 = bits(io.dec_exu.decode_exu.mul_p.valid, 0, 0) @[Bitwise.scala 72:15] + node _T_164 = mux(_T_163, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_165 = and(i0_rs2_d, _T_164) @[exu.scala 151:54] + i_mul.io.rs2_in <= _T_165 @[exu.scala 151:41] + inst i_div of exu_div_ctl @[exu.scala 154:21] + i_div.clock <= clock + i_div.reset <= reset + i_div.io.dec_div.dec_div_cancel <= io.dec_exu.dec_div.dec_div_cancel @[exu.scala 155:20] + i_div.io.dec_div.div_p.bits.rem <= io.dec_exu.dec_div.div_p.bits.rem @[exu.scala 155:20] + i_div.io.dec_div.div_p.bits.unsign <= io.dec_exu.dec_div.div_p.bits.unsign @[exu.scala 155:20] + i_div.io.dec_div.div_p.valid <= io.dec_exu.dec_div.div_p.valid @[exu.scala 155:20] + i_div.io.scan_mode <= io.scan_mode @[exu.scala 156:25] + i_div.io.dividend <= muldiv_rs1_d @[exu.scala 157:33] + i_div.io.divisor <= i0_rs2_d @[exu.scala 158:33] + io.exu_div_wren <= i_div.io.exu_div_wren @[exu.scala 159:41] + io.exu_div_result <= i_div.io.exu_div_result @[exu.scala 160:33] + node _T_166 = bits(mul_valid_x, 0, 0) @[exu.scala 162:76] + node _T_167 = mux(_T_166, i_mul.io.result_x, i_alu.io.result_ff) @[exu.scala 162:63] + io.dec_exu.decode_exu.exu_i0_result_x <= _T_167 @[exu.scala 162:57] + i0_predict_newp_d.bits.prett <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett @[exu.scala 163:47] + i0_predict_newp_d.bits.pret <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret @[exu.scala 163:47] + i0_predict_newp_d.bits.way <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way @[exu.scala 163:47] + i0_predict_newp_d.bits.pja <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja @[exu.scala 163:47] + i0_predict_newp_d.bits.pcall <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall @[exu.scala 163:47] + i0_predict_newp_d.bits.br_start_error <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error @[exu.scala 163:47] + i0_predict_newp_d.bits.br_error <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error @[exu.scala 163:47] + i0_predict_newp_d.bits.toffset <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset @[exu.scala 163:47] + i0_predict_newp_d.bits.hist <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist @[exu.scala 163:47] + i0_predict_newp_d.bits.pc4 <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 @[exu.scala 163:47] + i0_predict_newp_d.bits.boffset <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset @[exu.scala 163:47] + i0_predict_newp_d.bits.ataken <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken @[exu.scala 163:47] + i0_predict_newp_d.bits.misp <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp @[exu.scala 163:47] + i0_predict_newp_d.valid <= io.dec_exu.decode_exu.dec_i0_predict_p_d.valid @[exu.scala 163:47] + node _T_168 = bits(io.dec_exu.ib_exu.dec_i0_pc_d, 0, 0) @[exu.scala 164:80] + i0_predict_newp_d.bits.boffset <= _T_168 @[exu.scala 164:47] + io.dec_exu.tlu_exu.exu_pmu_i0_br_misp <= i0_pp_r.bits.misp @[exu.scala 166:47] + io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken <= i0_pp_r.bits.ataken @[exu.scala 167:47] + io.dec_exu.tlu_exu.exu_pmu_i0_pc4 <= i0_pp_r.bits.pc4 @[exu.scala 168:47] + node _T_169 = and(i0_predict_p_d.valid, io.dec_exu.dec_alu.dec_i0_alu_decode_d) @[exu.scala 171:54] + node _T_170 = eq(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu.scala 171:97] + node _T_171 = and(_T_169, _T_170) @[exu.scala 171:95] + i0_valid_d <= _T_171 @[exu.scala 171:28] + node _T_172 = and(i0_predict_p_d.bits.ataken, io.dec_exu.dec_alu.dec_i0_alu_decode_d) @[exu.scala 172:59] + i0_taken_d <= _T_172 @[exu.scala 172:28] + node _T_173 = eq(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu.scala 178:8] + node _T_174 = and(_T_173, i0_valid_d) @[exu.scala 178:50] + node _T_175 = bits(_T_174, 0, 0) @[exu.scala 178:64] + node _T_176 = bits(ghr_d, 6, 0) @[exu.scala 178:85] + node _T_177 = cat(_T_176, i0_taken_d) @[Cat.scala 29:58] + node _T_178 = eq(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu.scala 179:8] + node _T_179 = eq(i0_valid_d, UInt<1>("h00")) @[exu.scala 179:52] + node _T_180 = and(_T_178, _T_179) @[exu.scala 179:50] + node _T_181 = bits(_T_180, 0, 0) @[exu.scala 179:65] + node _T_182 = bits(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, 0, 0) @[exu.scala 180:50] + node _T_183 = mux(_T_175, _T_177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_184 = mux(_T_181, ghr_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_185 = mux(_T_182, ghr_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_186 = or(_T_183, _T_184) @[Mux.scala 27:72] + node _T_187 = or(_T_186, _T_185) @[Mux.scala 27:72] + wire _T_188 : UInt @[Mux.scala 27:72] + _T_188 <= _T_187 @[Mux.scala 27:72] + ghr_d_ns <= _T_188 @[exu.scala 177:14] + node _T_189 = eq(i0_valid_x, UInt<1>("h01")) @[exu.scala 184:32] + node _T_190 = bits(ghr_x, 6, 0) @[exu.scala 184:50] + node _T_191 = cat(_T_190, i0_taken_x) @[Cat.scala 29:58] + node _T_192 = mux(_T_189, _T_191, ghr_x) @[exu.scala 184:20] + ghr_x_ns <= _T_192 @[exu.scala 184:14] + io.dec_exu.tlu_exu.exu_i0_br_valid_r <= i0_pp_r.valid @[exu.scala 186:43] + io.dec_exu.tlu_exu.exu_i0_br_mp_r <= i0_pp_r.bits.misp @[exu.scala 187:43] + io.exu_bp.exu_i0_br_way_r <= i0_pp_r.bits.way @[exu.scala 188:43] + node _T_193 = bits(i0_pp_r.valid, 0, 0) @[Bitwise.scala 72:15] + node _T_194 = mux(_T_193, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_195 = and(_T_194, i0_pp_r.bits.hist) @[exu.scala 189:69] + io.dec_exu.tlu_exu.exu_i0_br_hist_r <= _T_195 @[exu.scala 189:43] + io.dec_exu.tlu_exu.exu_i0_br_error_r <= i0_pp_r.bits.br_error @[exu.scala 190:43] + node _T_196 = xor(i0_pp_r.bits.pc4, i0_pp_r.bits.boffset) @[exu.scala 191:63] + io.dec_exu.tlu_exu.exu_i0_br_middle_r <= _T_196 @[exu.scala 191:43] + io.dec_exu.tlu_exu.exu_i0_br_start_error_r <= i0_pp_r.bits.br_start_error @[exu.scala 192:48] + node _T_197 = bits(predpipe_r, 20, 13) @[exu.scala 193:56] + io.exu_bp.exu_i0_br_fghr_r <= _T_197 @[exu.scala 193:43] + node _T_198 = bits(predpipe_r, 12, 5) @[exu.scala 194:56] + io.dec_exu.tlu_exu.exu_i0_br_index_r <= _T_198 @[exu.scala 194:43] + io.exu_bp.exu_i0_br_index_r <= io.dec_exu.tlu_exu.exu_i0_br_index_r @[exu.scala 195:43] + node _T_199 = eq(i0_flush_upper_x, UInt<1>("h01")) @[exu.scala 196:67] + wire _T_200 : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, pcall : UInt<1>, pja : UInt<1>, way : UInt<1>, pret : UInt<1>, prett : UInt<31>}} @[exu.scala 196:104] + _T_200.bits.prett <= UInt<31>("h00") @[exu.scala 196:104] + _T_200.bits.pret <= UInt<1>("h00") @[exu.scala 196:104] + _T_200.bits.way <= UInt<1>("h00") @[exu.scala 196:104] + _T_200.bits.pja <= UInt<1>("h00") @[exu.scala 196:104] + _T_200.bits.pcall <= UInt<1>("h00") @[exu.scala 196:104] + _T_200.bits.br_start_error <= UInt<1>("h00") @[exu.scala 196:104] + _T_200.bits.br_error <= UInt<1>("h00") @[exu.scala 196:104] + _T_200.bits.toffset <= UInt<12>("h00") @[exu.scala 196:104] + _T_200.bits.hist <= UInt<2>("h00") @[exu.scala 196:104] + _T_200.bits.pc4 <= UInt<1>("h00") @[exu.scala 196:104] + _T_200.bits.boffset <= UInt<1>("h00") @[exu.scala 196:104] + _T_200.bits.ataken <= UInt<1>("h00") @[exu.scala 196:104] + _T_200.bits.misp <= UInt<1>("h00") @[exu.scala 196:104] + _T_200.valid <= UInt<1>("h00") @[exu.scala 196:104] + node _T_201 = mux(_T_199, i0_predict_p_x, _T_200) @[exu.scala 196:49] + final_predict_mp.bits.prett <= _T_201.bits.prett @[exu.scala 196:43] + final_predict_mp.bits.pret <= _T_201.bits.pret @[exu.scala 196:43] + final_predict_mp.bits.way <= _T_201.bits.way @[exu.scala 196:43] + final_predict_mp.bits.pja <= _T_201.bits.pja @[exu.scala 196:43] + final_predict_mp.bits.pcall <= _T_201.bits.pcall @[exu.scala 196:43] + final_predict_mp.bits.br_start_error <= _T_201.bits.br_start_error @[exu.scala 196:43] + final_predict_mp.bits.br_error <= _T_201.bits.br_error @[exu.scala 196:43] + final_predict_mp.bits.toffset <= _T_201.bits.toffset @[exu.scala 196:43] + final_predict_mp.bits.hist <= _T_201.bits.hist @[exu.scala 196:43] + final_predict_mp.bits.pc4 <= _T_201.bits.pc4 @[exu.scala 196:43] + final_predict_mp.bits.boffset <= _T_201.bits.boffset @[exu.scala 196:43] + final_predict_mp.bits.ataken <= _T_201.bits.ataken @[exu.scala 196:43] + final_predict_mp.bits.misp <= _T_201.bits.misp @[exu.scala 196:43] + final_predict_mp.valid <= _T_201.valid @[exu.scala 196:43] + node _T_202 = eq(i0_flush_upper_x, UInt<1>("h01")) @[exu.scala 197:66] + node final_predpipe_mp = mux(_T_202, predpipe_x, UInt<1>("h00")) @[exu.scala 197:48] + node _T_203 = eq(i0_flush_upper_x, UInt<1>("h01")) @[exu.scala 199:67] + node _T_204 = eq(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, UInt<1>("h01")) @[exu.scala 199:120] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[exu.scala 199:77] + node _T_206 = and(_T_203, _T_205) @[exu.scala 199:75] + node after_flush_eghr = mux(_T_206, ghr_d, ghr_x) @[exu.scala 199:48] + io.exu_bp.exu_mp_pkt.valid <= final_predict_mp.valid @[exu.scala 201:39] + io.exu_bp.exu_mp_pkt.bits.way <= final_predict_mp.bits.way @[exu.scala 202:39] + io.exu_bp.exu_mp_pkt.bits.misp <= final_predict_mp.bits.misp @[exu.scala 203:39] + io.exu_bp.exu_mp_pkt.bits.pcall <= final_predict_mp.bits.pcall @[exu.scala 204:39] + io.exu_bp.exu_mp_pkt.bits.pja <= final_predict_mp.bits.pja @[exu.scala 205:39] + io.exu_bp.exu_mp_pkt.bits.pret <= final_predict_mp.bits.pret @[exu.scala 206:39] + io.exu_bp.exu_mp_pkt.bits.ataken <= final_predict_mp.bits.ataken @[exu.scala 207:39] + io.exu_bp.exu_mp_pkt.bits.boffset <= final_predict_mp.bits.boffset @[exu.scala 208:39] + io.exu_bp.exu_mp_pkt.bits.pc4 <= final_predict_mp.bits.pc4 @[exu.scala 209:39] + node _T_207 = bits(final_predict_mp.bits.hist, 1, 0) @[exu.scala 210:68] + io.exu_bp.exu_mp_pkt.bits.hist <= _T_207 @[exu.scala 210:39] + node _T_208 = bits(final_predict_mp.bits.toffset, 11, 0) @[exu.scala 211:71] + io.exu_bp.exu_mp_pkt.bits.toffset <= _T_208 @[exu.scala 211:39] + io.exu_bp.exu_mp_fghr <= after_flush_eghr @[exu.scala 212:39] + node _T_209 = bits(final_predpipe_mp, 12, 5) @[exu.scala 213:59] + io.exu_bp.exu_mp_index <= _T_209 @[exu.scala 213:39] + node _T_210 = bits(final_predpipe_mp, 4, 0) @[exu.scala 214:59] + io.exu_bp.exu_mp_btag <= _T_210 @[exu.scala 214:39] + node _T_211 = bits(final_predpipe_mp, 20, 13) @[exu.scala 215:59] + io.exu_bp.exu_mp_eghr <= _T_211 @[exu.scala 215:39] + node _T_212 = bits(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, 0, 0) @[exu.scala 237:46] + node _T_213 = not(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r) @[exu.scala 238:6] + node _T_214 = and(_T_213, i0_flush_upper_d) @[exu.scala 238:48] + node _T_215 = bits(_T_214, 0, 0) @[exu.scala 238:68] + node _T_216 = mux(_T_212, io.dec_exu.tlu_exu.dec_tlu_flush_path_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_217 = mux(_T_215, i0_flush_path_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_218 = or(_T_216, _T_217) @[Mux.scala 27:72] + wire _T_219 : UInt<31> @[Mux.scala 27:72] + _T_219 <= _T_218 @[Mux.scala 27:72] + io.exu_flush_path_final <= _T_219 @[exu.scala 236:33] + node _T_220 = eq(i0_pred_correct_upper_r, UInt<1>("h01")) @[exu.scala 240:79] + node _T_221 = mux(_T_220, pred_correct_npc_r, i0_flush_path_upper_r) @[exu.scala 240:55] + io.dec_exu.tlu_exu.exu_npc_r <= _T_221 @[exu.scala 240:49] + diff --git a/exu.v b/exu.v new file mode 100644 index 00000000..30fc3dcf --- /dev/null +++ b/exu.v @@ -0,0 +1,3242 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = 1'h0; // @[lib.scala 338:18] +endmodule +module exu_alu_ctl( + input clock, + input reset, + input io_dec_alu_dec_i0_alu_decode_d, + input io_dec_alu_dec_csr_ren_d, + input [31:0] io_dec_alu_dec_csr_rddata_d, + input [11:0] io_dec_alu_dec_i0_br_immed_d, + output [30:0] io_dec_alu_exu_i0_pc_x, + input [30:0] io_dec_i0_pc_d, + input io_flush_upper_x, + input io_dec_tlu_flush_lower_r, + input io_enable, + input io_i0_ap_clz, + input io_i0_ap_ctz, + input io_i0_ap_pcnt, + input io_i0_ap_sext_b, + input io_i0_ap_sext_h, + input io_i0_ap_min, + input io_i0_ap_max, + input io_i0_ap_pack, + input io_i0_ap_packu, + input io_i0_ap_packh, + input io_i0_ap_rol, + input io_i0_ap_ror, + input io_i0_ap_grev, + input io_i0_ap_gorc, + input io_i0_ap_zbb, + input io_i0_ap_sbset, + input io_i0_ap_sbclr, + input io_i0_ap_sbinv, + input io_i0_ap_sbext, + input io_i0_ap_land, + input io_i0_ap_lor, + input io_i0_ap_lxor, + input io_i0_ap_sll, + input io_i0_ap_srl, + input io_i0_ap_sra, + input io_i0_ap_beq, + input io_i0_ap_bne, + input io_i0_ap_blt, + input io_i0_ap_bge, + input io_i0_ap_add, + input io_i0_ap_sub, + input io_i0_ap_slt, + input io_i0_ap_unsign, + input io_i0_ap_jal, + input io_i0_ap_predict_t, + input io_i0_ap_predict_nt, + input io_i0_ap_csr_write, + input io_i0_ap_csr_imm, + input [31:0] io_a_in, + input [31:0] io_b_in, + input io_pp_in_valid, + input io_pp_in_bits_boffset, + input io_pp_in_bits_pc4, + input [1:0] io_pp_in_bits_hist, + input [11:0] io_pp_in_bits_toffset, + input io_pp_in_bits_br_error, + input io_pp_in_bits_br_start_error, + input io_pp_in_bits_pcall, + input io_pp_in_bits_pja, + input io_pp_in_bits_way, + input io_pp_in_bits_pret, + input [30:0] io_pp_in_bits_prett, + output [31:0] io_result_ff, + output io_flush_upper_out, + output io_flush_final_out, + output [30:0] io_flush_path_out, + output io_pred_correct_out, + output io_predict_p_out_valid, + output io_predict_p_out_bits_misp, + output io_predict_p_out_bits_ataken, + output io_predict_p_out_bits_boffset, + output io_predict_p_out_bits_pc4, + output [1:0] io_predict_p_out_bits_hist, + output [11:0] io_predict_p_out_bits_toffset, + output io_predict_p_out_bits_br_error, + output io_predict_p_out_bits_br_start_error, + output io_predict_p_out_bits_pcall, + output io_predict_p_out_bits_pja, + output io_predict_p_out_bits_way, + output io_predict_p_out_bits_pret +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_io_en; // @[lib.scala 399:23] + wire _T_1 = io_b_in[4:0] == 5'h1f; // @[exu_alu_ctl.scala 87:55] + wire ap_rev = io_i0_ap_grev & _T_1; // @[exu_alu_ctl.scala 87:39] + wire _T_4 = io_b_in[4:0] == 5'h18; // @[exu_alu_ctl.scala 88:55] + wire ap_rev8 = io_i0_ap_grev & _T_4; // @[exu_alu_ctl.scala 88:39] + wire _T_7 = io_b_in[4:0] == 5'h7; // @[exu_alu_ctl.scala 89:55] + wire ap_orc_b = io_i0_ap_gorc & _T_7; // @[exu_alu_ctl.scala 89:39] + wire _T_10 = io_b_in[4:0] == 5'h10; // @[exu_alu_ctl.scala 90:55] + wire ap_orc16 = io_i0_ap_gorc & _T_10; // @[exu_alu_ctl.scala 90:39] + reg [30:0] _T_14; // @[Reg.scala 27:20] + wire _T_15 = io_enable & io_dec_alu_dec_i0_alu_decode_d; // @[exu_alu_ctl.scala 135:43] + reg [31:0] _T_18; // @[Reg.scala 27:20] + wire [31:0] _T_153 = io_dec_alu_dec_csr_rddata_d; // @[Mux.scala 27:72] + wire [32:0] _T_151 = {{1{_T_153[31]}},_T_153}; // @[Mux.scala 27:72 Mux.scala 27:72] + wire [32:0] _T_172 = io_dec_alu_dec_csr_ren_d ? $signed(_T_151) : $signed(33'sh0); // @[Mux.scala 27:72] + wire _T_94 = ~io_i0_ap_zbb; // @[exu_alu_ctl.scala 160:22] + wire _T_95 = io_i0_ap_land & _T_94; // @[exu_alu_ctl.scala 160:20] + wire [32:0] _T_98 = {1'h0,io_a_in}; // @[Cat.scala 29:58] + wire [32:0] _T_99 = {1'h0,io_a_in}; // @[exu_alu_ctl.scala 160:67] + wire [31:0] _T_100 = io_b_in; // @[exu_alu_ctl.scala 160:85] + wire [32:0] _GEN_2 = {{1{_T_100[31]}},_T_100}; // @[exu_alu_ctl.scala 160:74] + wire [32:0] _T_156 = $signed(_T_99) & $signed(_GEN_2); // @[Mux.scala 27:72] + wire [32:0] _T_173 = _T_95 ? $signed(_T_156) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] _T_180 = $signed(_T_172) | $signed(_T_173); // @[Mux.scala 27:72] + wire _T_104 = io_i0_ap_lor & _T_94; // @[exu_alu_ctl.scala 161:20] + wire [32:0] _T_159 = $signed(_T_99) | $signed(_GEN_2); // @[Mux.scala 27:72] + wire [32:0] _T_174 = _T_104 ? $signed(_T_159) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] _T_182 = $signed(_T_180) | $signed(_T_174); // @[Mux.scala 27:72] + wire _T_113 = io_i0_ap_lxor & _T_94; // @[exu_alu_ctl.scala 162:20] + wire [32:0] _T_162 = $signed(_T_99) ^ $signed(_GEN_2); // @[Mux.scala 27:72] + wire [32:0] _T_175 = _T_113 ? $signed(_T_162) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] _T_184 = $signed(_T_182) | $signed(_T_175); // @[Mux.scala 27:72] + wire _T_121 = io_i0_ap_land & io_i0_ap_zbb; // @[exu_alu_ctl.scala 163:20] + wire [31:0] _T_128 = ~io_b_in; // @[exu_alu_ctl.scala 163:76] + wire [32:0] _GEN_5 = {{1{_T_128[31]}},_T_128}; // @[exu_alu_ctl.scala 163:74] + wire [32:0] _T_165 = $signed(_T_99) & $signed(_GEN_5); // @[Mux.scala 27:72] + wire [32:0] _T_176 = _T_121 ? $signed(_T_165) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] _T_186 = $signed(_T_184) | $signed(_T_176); // @[Mux.scala 27:72] + wire _T_131 = io_i0_ap_lor & io_i0_ap_zbb; // @[exu_alu_ctl.scala 164:20] + wire [32:0] _T_168 = $signed(_T_99) | $signed(_GEN_5); // @[Mux.scala 27:72] + wire [32:0] _T_177 = _T_131 ? $signed(_T_168) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] _T_188 = $signed(_T_186) | $signed(_T_177); // @[Mux.scala 27:72] + wire _T_141 = io_i0_ap_lxor & io_i0_ap_zbb; // @[exu_alu_ctl.scala 165:20] + wire [32:0] _T_171 = $signed(_T_99) ^ $signed(_GEN_5); // @[Mux.scala 27:72] + wire [32:0] _T_178 = _T_141 ? $signed(_T_171) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] lout = $signed(_T_188) | $signed(_T_178); // @[Mux.scala 27:72] + wire _T_836 = io_i0_ap_sll | io_i0_ap_srl; // @[exu_alu_ctl.scala 293:44] + wire _T_837 = _T_836 | io_i0_ap_sra; // @[exu_alu_ctl.scala 293:59] + wire _T_840 = _T_837 | io_i0_ap_rol; // @[exu_alu_ctl.scala 293:92] + wire sel_shift = _T_840 | io_i0_ap_ror; // @[exu_alu_ctl.scala 293:101] + wire [31:0] _T_887 = sel_shift ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [30:0] _T_345 = io_a_in[31] ? 31'h7fffffff : 31'h0; // @[Bitwise.scala 72:12] + wire [30:0] _T_352 = io_i0_ap_sra ? _T_345 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_353 = io_i0_ap_sll ? io_a_in[30:0] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_358 = _T_352 | _T_353; // @[Mux.scala 27:72] + wire [30:0] _T_354 = io_i0_ap_rol ? io_a_in[30:0] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_359 = _T_358 | _T_354; // @[Mux.scala 27:72] + wire [30:0] _T_355 = io_i0_ap_ror ? io_a_in[30:0] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_360 = _T_359 | _T_355; // @[Mux.scala 27:72] + wire [62:0] shift_extend = {_T_360,io_a_in}; // @[Cat.scala 29:58] + wire [5:0] _T_195 = {1'h0,io_b_in[4:0]}; // @[Cat.scala 29:58] + wire [5:0] _T_197 = 6'h20 - _T_195; // @[exu_alu_ctl.scala 179:41] + wire [5:0] _T_218 = io_i0_ap_sll ? _T_197 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_219 = io_i0_ap_srl ? _T_195 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_226 = _T_218 | _T_219; // @[Mux.scala 27:72] + wire [5:0] _T_220 = io_i0_ap_sra ? _T_195 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_227 = _T_226 | _T_220; // @[Mux.scala 27:72] + wire [5:0] _T_221 = io_i0_ap_rol ? _T_197 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_228 = _T_227 | _T_221; // @[Mux.scala 27:72] + wire [5:0] _T_222 = io_i0_ap_ror ? _T_195 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_229 = _T_228 | _T_222; // @[Mux.scala 27:72] + wire [5:0] _T_225 = io_i0_ap_sbext ? _T_195 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] shift_amount = _T_229 | _T_225; // @[Mux.scala 27:72] + wire [62:0] shift_long = shift_extend >> shift_amount[4:0]; // @[exu_alu_ctl.scala 202:32] + wire [4:0] _T_238 = {io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll}; // @[Cat.scala 29:58] + wire [4:0] _T_240 = _T_238 & io_b_in[4:0]; // @[exu_alu_ctl.scala 189:73] + wire [62:0] _T_241 = 63'hffffffff << _T_240; // @[exu_alu_ctl.scala 189:39] + wire [31:0] shift_mask = _T_241[31:0]; // @[exu_alu_ctl.scala 189:14] + wire [31:0] sout = shift_long[31:0] & shift_mask; // @[exu_alu_ctl.scala 204:34] + wire [31:0] _T_889 = _T_887 & sout; // @[exu_alu_ctl.scala 304:56] + wire [31:0] _T_890 = lout[31:0] | _T_889; // @[exu_alu_ctl.scala 304:31] + wire _T_841 = io_i0_ap_add | io_i0_ap_sub; // @[exu_alu_ctl.scala 294:44] + wire _T_843 = ~io_i0_ap_slt; // @[exu_alu_ctl.scala 294:71] + wire _T_844 = _T_841 & _T_843; // @[exu_alu_ctl.scala 294:69] + wire _T_845 = ~io_i0_ap_min; // @[exu_alu_ctl.scala 294:87] + wire _T_846 = _T_844 & _T_845; // @[exu_alu_ctl.scala 294:85] + wire _T_847 = ~io_i0_ap_max; // @[exu_alu_ctl.scala 294:97] + wire sel_adder = _T_846 & _T_847; // @[exu_alu_ctl.scala 294:95] + wire [31:0] _T_892 = sel_adder ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [32:0] _T_57 = {1'h0,io_a_in}; // @[Cat.scala 29:58] + wire [31:0] _T_58 = ~io_b_in; // @[exu_alu_ctl.scala 146:74] + wire [32:0] _T_59 = {1'h0,_T_58}; // @[Cat.scala 29:58] + wire [32:0] _T_61 = _T_57 + _T_59; // @[exu_alu_ctl.scala 146:59] + wire [32:0] _T_62 = {32'h0,io_i0_ap_sub}; // @[Cat.scala 29:58] + wire [32:0] _T_64 = _T_61 + _T_62; // @[exu_alu_ctl.scala 146:84] + wire [32:0] _T_67 = {1'h0,io_b_in}; // @[Cat.scala 29:58] + wire [32:0] _T_69 = _T_98 + _T_67; // @[exu_alu_ctl.scala 146:139] + wire [32:0] _T_72 = _T_69 + _T_62; // @[exu_alu_ctl.scala 146:164] + wire [32:0] aout = io_i0_ap_sub ? _T_64 : _T_72; // @[exu_alu_ctl.scala 146:14] + wire [31:0] _T_894 = _T_892 & aout[31:0]; // @[exu_alu_ctl.scala 305:28] + wire [31:0] _T_895 = _T_890 | _T_894; // @[exu_alu_ctl.scala 304:71] + wire _T_848 = io_i0_ap_jal | io_pp_in_bits_pcall; // @[exu_alu_ctl.scala 295:44] + wire _T_849 = _T_848 | io_pp_in_bits_pja; // @[exu_alu_ctl.scala 295:66] + wire sel_pc = _T_849 | io_pp_in_bits_pret; // @[exu_alu_ctl.scala 295:86] + wire [31:0] _T_897 = sel_pc ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [12:0] _T_853 = {io_dec_alu_dec_i0_br_immed_d,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_852 = {io_dec_i0_pc_d,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_856 = _T_852[12:1] + _T_853[12:1]; // @[lib.scala 68:31] + wire _T_865 = ~_T_856[12]; // @[lib.scala 72:28] + wire _T_866 = _T_853[12] ^ _T_865; // @[lib.scala 72:26] + wire [18:0] _T_877 = _T_866 ? _T_852[31:13] : 19'h0; // @[Mux.scala 27:72] + wire _T_869 = ~_T_853[12]; // @[lib.scala 73:20] + wire _T_871 = _T_869 & _T_856[12]; // @[lib.scala 73:26] + wire [18:0] _T_859 = _T_852[31:13] + 19'h1; // @[lib.scala 69:27] + wire [18:0] _T_878 = _T_871 ? _T_859 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_880 = _T_877 | _T_878; // @[Mux.scala 27:72] + wire _T_875 = _T_853[12] & _T_865; // @[lib.scala 74:26] + wire [18:0] _T_862 = _T_852[31:13] - 19'h1; // @[lib.scala 70:27] + wire [18:0] _T_879 = _T_875 ? _T_862 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_881 = _T_880 | _T_879; // @[Mux.scala 27:72] + wire [31:0] pcout = {_T_881,_T_856[11:0],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_898 = _T_897 & pcout; // @[exu_alu_ctl.scala 306:28] + wire [31:0] _T_899 = _T_895 | _T_898; // @[exu_alu_ctl.scala 305:43] + wire [31:0] _T_901 = io_i0_ap_csr_write ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_902 = io_i0_ap_csr_imm ? $signed(io_b_in) : $signed(io_a_in); // @[exu_alu_ctl.scala 307:51] + wire [31:0] _T_903 = _T_901 & _T_902; // @[exu_alu_ctl.scala 307:34] + wire [31:0] _T_904 = _T_899 | _T_903; // @[exu_alu_ctl.scala 306:41] + wire _T_88 = ~io_i0_ap_unsign; // @[exu_alu_ctl.scala 154:30] + wire neg = aout[31]; // @[exu_alu_ctl.scala 153:34] + wire _T_75 = ~io_a_in[31]; // @[exu_alu_ctl.scala 149:14] + wire [31:0] bm = io_i0_ap_sub ? _T_58 : io_b_in; // @[exu_alu_ctl.scala 143:17] + wire _T_77 = ~bm[31]; // @[exu_alu_ctl.scala 149:29] + wire _T_78 = _T_75 & _T_77; // @[exu_alu_ctl.scala 149:27] + wire _T_80 = _T_78 & neg; // @[exu_alu_ctl.scala 149:37] + wire _T_83 = io_a_in[31] & bm[31]; // @[exu_alu_ctl.scala 149:66] + wire _T_85 = ~neg; // @[exu_alu_ctl.scala 149:78] + wire _T_86 = _T_83 & _T_85; // @[exu_alu_ctl.scala 149:76] + wire ov = _T_80 | _T_86; // @[exu_alu_ctl.scala 149:50] + wire _T_89 = neg ^ ov; // @[exu_alu_ctl.scala 154:54] + wire _T_90 = _T_88 & _T_89; // @[exu_alu_ctl.scala 154:47] + wire cout = aout[32]; // @[exu_alu_ctl.scala 147:18] + wire _T_91 = ~cout; // @[exu_alu_ctl.scala 154:84] + wire _T_92 = io_i0_ap_unsign & _T_91; // @[exu_alu_ctl.scala 154:82] + wire lt = _T_90 | _T_92; // @[exu_alu_ctl.scala 154:61] + wire slt_one = io_i0_ap_slt & lt; // @[exu_alu_ctl.scala 298:43] + wire [31:0] _T_905 = {31'h0,slt_one}; // @[Cat.scala 29:58] + wire [31:0] _T_906 = _T_904 | _T_905; // @[exu_alu_ctl.scala 307:59] + wire [31:0] _T_908 = io_i0_ap_sbext ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_910 = {31'h0,sout[0]}; // @[Cat.scala 29:58] + wire [31:0] _T_911 = _T_908 & _T_910; // @[exu_alu_ctl.scala 309:28] + wire [31:0] _T_912 = _T_906 | _T_911; // @[exu_alu_ctl.scala 308:56] + wire _T_547 = io_i0_ap_clz | io_i0_ap_ctz; // @[exu_alu_ctl.scala 221:52] + wire [5:0] _T_549 = _T_547 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_445 = io_i0_ap_clz ? $signed(io_a_in) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [9:0] _T_416 = {io_a_in[0],io_a_in[1],io_a_in[2],io_a_in[3],io_a_in[4],io_a_in[5],io_a_in[6],io_a_in[7],io_a_in[8],io_a_in[9]}; // @[Cat.scala 29:58] + wire [18:0] _T_425 = {_T_416,io_a_in[10],io_a_in[11],io_a_in[12],io_a_in[13],io_a_in[14],io_a_in[15],io_a_in[16],io_a_in[17],io_a_in[18]}; // @[Cat.scala 29:58] + wire [27:0] _T_434 = {_T_425,io_a_in[19],io_a_in[20],io_a_in[21],io_a_in[22],io_a_in[23],io_a_in[24],io_a_in[25],io_a_in[26],io_a_in[27]}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_a_reverse_ff = {_T_434,io_a_in[28],io_a_in[29],io_a_in[30],io_a_in[31]}; // @[Cat.scala 29:58] + wire [31:0] _T_444 = {_T_434,io_a_in[28],io_a_in[29],io_a_in[30],io_a_in[31]}; // @[Mux.scala 27:72] + wire [31:0] _T_446 = io_i0_ap_ctz ? $signed(_T_444) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] bitmanip_lzd_in = $signed(_T_445) | $signed(_T_446); // @[Mux.scala 27:72] + wire [31:0] _T_451 = $signed(_T_445) | $signed(_T_446); // @[exu_alu_ctl.scala 219:75] + wire _T_452 = _T_451 == 32'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_454 = bitmanip_lzd_in[31:1] == 31'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_456 = bitmanip_lzd_in[31:2] == 30'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_458 = bitmanip_lzd_in[31:3] == 29'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_460 = bitmanip_lzd_in[31:4] == 28'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_462 = bitmanip_lzd_in[31:5] == 27'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_464 = bitmanip_lzd_in[31:6] == 26'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_466 = bitmanip_lzd_in[31:7] == 25'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_468 = bitmanip_lzd_in[31:8] == 24'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_470 = bitmanip_lzd_in[31:9] == 23'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_472 = bitmanip_lzd_in[31:10] == 22'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_474 = bitmanip_lzd_in[31:11] == 21'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_476 = bitmanip_lzd_in[31:12] == 20'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_478 = bitmanip_lzd_in[31:13] == 19'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_480 = bitmanip_lzd_in[31:14] == 18'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_482 = bitmanip_lzd_in[31:15] == 17'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_484 = bitmanip_lzd_in[31:16] == 16'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_486 = bitmanip_lzd_in[31:17] == 15'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_488 = bitmanip_lzd_in[31:18] == 14'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_490 = bitmanip_lzd_in[31:19] == 13'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_492 = bitmanip_lzd_in[31:20] == 12'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_494 = bitmanip_lzd_in[31:21] == 11'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_496 = bitmanip_lzd_in[31:22] == 10'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_498 = bitmanip_lzd_in[31:23] == 9'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_500 = bitmanip_lzd_in[31:24] == 8'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_502 = bitmanip_lzd_in[31:25] == 7'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_504 = bitmanip_lzd_in[31:26] == 6'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_506 = bitmanip_lzd_in[31:27] == 5'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_508 = bitmanip_lzd_in[31:28] == 4'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_510 = bitmanip_lzd_in[31:29] == 3'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_512 = bitmanip_lzd_in[31:30] == 2'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_514 = ~bitmanip_lzd_in[31]; // @[exu_alu_ctl.scala 219:81] + wire [1:0] _T_516 = _T_512 ? 2'h2 : {{1'd0}, _T_514}; // @[Mux.scala 98:16] + wire [1:0] _T_517 = _T_510 ? 2'h3 : _T_516; // @[Mux.scala 98:16] + wire [2:0] _T_518 = _T_508 ? 3'h4 : {{1'd0}, _T_517}; // @[Mux.scala 98:16] + wire [2:0] _T_519 = _T_506 ? 3'h5 : _T_518; // @[Mux.scala 98:16] + wire [2:0] _T_520 = _T_504 ? 3'h6 : _T_519; // @[Mux.scala 98:16] + wire [2:0] _T_521 = _T_502 ? 3'h7 : _T_520; // @[Mux.scala 98:16] + wire [3:0] _T_522 = _T_500 ? 4'h8 : {{1'd0}, _T_521}; // @[Mux.scala 98:16] + wire [3:0] _T_523 = _T_498 ? 4'h9 : _T_522; // @[Mux.scala 98:16] + wire [3:0] _T_524 = _T_496 ? 4'ha : _T_523; // @[Mux.scala 98:16] + wire [3:0] _T_525 = _T_494 ? 4'hb : _T_524; // @[Mux.scala 98:16] + wire [3:0] _T_526 = _T_492 ? 4'hc : _T_525; // @[Mux.scala 98:16] + wire [3:0] _T_527 = _T_490 ? 4'hd : _T_526; // @[Mux.scala 98:16] + wire [3:0] _T_528 = _T_488 ? 4'he : _T_527; // @[Mux.scala 98:16] + wire [3:0] _T_529 = _T_486 ? 4'hf : _T_528; // @[Mux.scala 98:16] + wire [4:0] _T_530 = _T_484 ? 5'h10 : {{1'd0}, _T_529}; // @[Mux.scala 98:16] + wire [4:0] _T_531 = _T_482 ? 5'h11 : _T_530; // @[Mux.scala 98:16] + wire [4:0] _T_532 = _T_480 ? 5'h12 : _T_531; // @[Mux.scala 98:16] + wire [4:0] _T_533 = _T_478 ? 5'h13 : _T_532; // @[Mux.scala 98:16] + wire [4:0] _T_534 = _T_476 ? 5'h14 : _T_533; // @[Mux.scala 98:16] + wire [4:0] _T_535 = _T_474 ? 5'h15 : _T_534; // @[Mux.scala 98:16] + wire [4:0] _T_536 = _T_472 ? 5'h16 : _T_535; // @[Mux.scala 98:16] + wire [4:0] _T_537 = _T_470 ? 5'h17 : _T_536; // @[Mux.scala 98:16] + wire [4:0] _T_538 = _T_468 ? 5'h18 : _T_537; // @[Mux.scala 98:16] + wire [4:0] _T_539 = _T_466 ? 5'h19 : _T_538; // @[Mux.scala 98:16] + wire [4:0] _T_540 = _T_464 ? 5'h1a : _T_539; // @[Mux.scala 98:16] + wire [4:0] _T_541 = _T_462 ? 5'h1b : _T_540; // @[Mux.scala 98:16] + wire [4:0] _T_542 = _T_460 ? 5'h1c : _T_541; // @[Mux.scala 98:16] + wire [4:0] _T_543 = _T_458 ? 5'h1d : _T_542; // @[Mux.scala 98:16] + wire [4:0] _T_544 = _T_456 ? 5'h1e : _T_543; // @[Mux.scala 98:16] + wire [4:0] _T_545 = _T_454 ? 5'h1f : _T_544; // @[Mux.scala 98:16] + wire [5:0] bitmanip_dw_lzd_enc = _T_452 ? 6'h20 : {{1'd0}, _T_545}; // @[Mux.scala 98:16] + wire [5:0] _GEN_8 = {{5'd0}, bitmanip_dw_lzd_enc[5]}; // @[exu_alu_ctl.scala 221:62] + wire [5:0] _T_551 = _T_549 & _GEN_8; // @[exu_alu_ctl.scala 221:62] + wire _T_553 = ~bitmanip_dw_lzd_enc[5]; // @[exu_alu_ctl.scala 221:96] + wire [4:0] _T_555 = _T_553 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_557 = _T_555 & bitmanip_dw_lzd_enc[4:0]; // @[exu_alu_ctl.scala 221:121] + wire [10:0] bitmanip_clz_ctz_result = {_T_551,_T_557}; // @[Cat.scala 29:58] + wire [31:0] _T_914 = {26'h0,bitmanip_clz_ctz_result[5:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_915 = _T_912 | _T_914; // @[exu_alu_ctl.scala 309:56] + wire [5:0] _T_559 = io_i0_ap_pcnt ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_592 = io_a_in[0] + io_a_in[1]; // @[Bitwise.scala 47:55] + wire [1:0] _T_594 = io_a_in[2] + io_a_in[3]; // @[Bitwise.scala 47:55] + wire [2:0] _T_596 = _T_592 + _T_594; // @[Bitwise.scala 47:55] + wire [1:0] _T_598 = io_a_in[4] + io_a_in[5]; // @[Bitwise.scala 47:55] + wire [1:0] _T_600 = io_a_in[6] + io_a_in[7]; // @[Bitwise.scala 47:55] + wire [2:0] _T_602 = _T_598 + _T_600; // @[Bitwise.scala 47:55] + wire [3:0] _T_604 = _T_596 + _T_602; // @[Bitwise.scala 47:55] + wire [1:0] _T_606 = io_a_in[8] + io_a_in[9]; // @[Bitwise.scala 47:55] + wire [1:0] _T_608 = io_a_in[10] + io_a_in[11]; // @[Bitwise.scala 47:55] + wire [2:0] _T_610 = _T_606 + _T_608; // @[Bitwise.scala 47:55] + wire [1:0] _T_612 = io_a_in[12] + io_a_in[13]; // @[Bitwise.scala 47:55] + wire [1:0] _T_614 = io_a_in[14] + io_a_in[15]; // @[Bitwise.scala 47:55] + wire [2:0] _T_616 = _T_612 + _T_614; // @[Bitwise.scala 47:55] + wire [3:0] _T_618 = _T_610 + _T_616; // @[Bitwise.scala 47:55] + wire [4:0] _T_620 = _T_604 + _T_618; // @[Bitwise.scala 47:55] + wire [1:0] _T_622 = io_a_in[16] + io_a_in[17]; // @[Bitwise.scala 47:55] + wire [1:0] _T_624 = io_a_in[18] + io_a_in[19]; // @[Bitwise.scala 47:55] + wire [2:0] _T_626 = _T_622 + _T_624; // @[Bitwise.scala 47:55] + wire [1:0] _T_628 = io_a_in[20] + io_a_in[21]; // @[Bitwise.scala 47:55] + wire [1:0] _T_630 = io_a_in[22] + io_a_in[23]; // @[Bitwise.scala 47:55] + wire [2:0] _T_632 = _T_628 + _T_630; // @[Bitwise.scala 47:55] + wire [3:0] _T_634 = _T_626 + _T_632; // @[Bitwise.scala 47:55] + wire [1:0] _T_636 = io_a_in[24] + io_a_in[25]; // @[Bitwise.scala 47:55] + wire [1:0] _T_638 = io_a_in[26] + io_a_in[27]; // @[Bitwise.scala 47:55] + wire [2:0] _T_640 = _T_636 + _T_638; // @[Bitwise.scala 47:55] + wire [1:0] _T_642 = io_a_in[28] + io_a_in[29]; // @[Bitwise.scala 47:55] + wire [1:0] _T_644 = io_a_in[30] + io_a_in[31]; // @[Bitwise.scala 47:55] + wire [2:0] _T_646 = _T_642 + _T_644; // @[Bitwise.scala 47:55] + wire [3:0] _T_648 = _T_640 + _T_646; // @[Bitwise.scala 47:55] + wire [4:0] _T_650 = _T_634 + _T_648; // @[Bitwise.scala 47:55] + wire [5:0] _T_652 = _T_620 + _T_650; // @[Bitwise.scala 47:55] + wire [5:0] bitmanip_pcnt_result = _T_559 & _T_652; // @[exu_alu_ctl.scala 224:50] + wire [31:0] _T_917 = {26'h0,bitmanip_pcnt_result}; // @[Cat.scala 29:58] + wire [31:0] _T_918 = _T_915 | _T_917; // @[exu_alu_ctl.scala 310:52] + wire [23:0] _T_656 = io_a_in[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_658 = {_T_656,io_a_in[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_664 = io_i0_ap_sext_b ? _T_658 : 32'h0; // @[Mux.scala 27:72] + wire [15:0] _T_661 = io_a_in[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_663 = {_T_661,io_a_in[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_665 = io_i0_ap_sext_h ? _T_663 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] bitmanip_sext_result = _T_664 | _T_665; // @[Mux.scala 27:72] + wire [31:0] _T_920 = _T_918 | bitmanip_sext_result; // @[exu_alu_ctl.scala 311:52] + wire bitmanip_minmax_sel = io_i0_ap_min | io_i0_ap_max; // @[exu_alu_ctl.scala 233:46] + wire ge = ~lt; // @[exu_alu_ctl.scala 155:29] + wire bitmanip_minmax_sel_a = ge ^ io_i0_ap_min; // @[exu_alu_ctl.scala 235:43] + wire _T_667 = bitmanip_minmax_sel & bitmanip_minmax_sel_a; // @[exu_alu_ctl.scala 238:26] + wire [31:0] _T_677 = _T_667 ? $signed(io_a_in) : $signed(32'sh0); // @[Mux.scala 27:72] + wire _T_668 = ~bitmanip_minmax_sel_a; // @[exu_alu_ctl.scala 239:28] + wire _T_669 = bitmanip_minmax_sel & _T_668; // @[exu_alu_ctl.scala 239:26] + wire [31:0] _T_678 = _T_669 ? $signed(io_b_in) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_921 = $signed(_T_677) | $signed(_T_678); // @[exu_alu_ctl.scala 313:27] + wire [31:0] _T_922 = _T_920 | _T_921; // @[exu_alu_ctl.scala 312:35] + wire [31:0] _T_684 = io_i0_ap_pack ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_687 = {io_b_in[15:0],io_a_in[15:0]}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_pack_result = _T_684 & _T_687; // @[exu_alu_ctl.scala 244:50] + wire [31:0] _T_924 = _T_922 | bitmanip_pack_result; // @[exu_alu_ctl.scala 313:35] + wire [31:0] _T_689 = io_i0_ap_packu ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_692 = {io_b_in[31:16],io_a_in[31:16]}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_packu_result = _T_689 & _T_692; // @[exu_alu_ctl.scala 245:50] + wire [31:0] _T_926 = _T_924 | bitmanip_packu_result; // @[exu_alu_ctl.scala 314:35] + wire [31:0] _T_694 = io_i0_ap_packh ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_698 = {16'h0,io_b_in[7:0],io_a_in[7:0]}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_packh_result = _T_694 & _T_698; // @[exu_alu_ctl.scala 246:50] + wire [31:0] _T_928 = _T_926 | bitmanip_packh_result; // @[exu_alu_ctl.scala 315:35] + wire [31:0] _T_700 = ap_rev ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] bitmanip_rev_result = _T_700 & bitmanip_a_reverse_ff; // @[exu_alu_ctl.scala 252:48] + wire [31:0] _T_930 = _T_928 | bitmanip_rev_result; // @[exu_alu_ctl.scala 316:35] + wire [31:0] _T_765 = ap_rev8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_772 = {io_a_in[7:0],io_a_in[15:8],io_a_in[23:16],io_a_in[31:24]}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_rev8_result = _T_765 & _T_772; // @[exu_alu_ctl.scala 254:50] + wire [31:0] _T_932 = _T_930 | bitmanip_rev8_result; // @[exu_alu_ctl.scala 317:35] + wire [31:0] _T_774 = ap_orc_b ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire _T_788 = |io_a_in[31:24]; // @[exu_alu_ctl.scala 279:117] + wire [7:0] _T_790 = _T_788 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_784 = |io_a_in[23:16]; // @[exu_alu_ctl.scala 279:117] + wire [7:0] _T_786 = _T_784 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_780 = |io_a_in[15:8]; // @[exu_alu_ctl.scala 279:117] + wire [7:0] _T_782 = _T_780 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_776 = |io_a_in[7:0]; // @[exu_alu_ctl.scala 279:117] + wire [7:0] _T_778 = _T_776 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_793 = {_T_790,_T_786,_T_782,_T_778}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_orc_b_result = _T_774 & _T_793; // @[exu_alu_ctl.scala 279:50] + wire [31:0] _T_934 = _T_932 | bitmanip_orc_b_result; // @[exu_alu_ctl.scala 318:35] + wire [31:0] _T_795 = ap_orc16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_798 = io_a_in[31:16] | io_a_in[15:0]; // @[exu_alu_ctl.scala 281:71] + wire [31:0] _T_802 = {_T_798,_T_798}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_orc16_result = _T_795 & _T_802; // @[exu_alu_ctl.scala 281:50] + wire [31:0] _T_936 = _T_934 | bitmanip_orc16_result; // @[exu_alu_ctl.scala 319:35] + wire [62:0] bitmanip_sb_1hot = 63'h1 << io_b_in[4:0]; // @[exu_alu_ctl.scala 285:53] + wire [31:0] _T_805 = bitmanip_sb_1hot[31:0]; // @[exu_alu_ctl.scala 288:53] + wire [31:0] _T_820 = $signed(io_a_in) | $signed(_T_805); // @[Mux.scala 27:72] + wire [31:0] _T_827 = io_i0_ap_sbset ? $signed(_T_820) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_811 = ~_T_805; // @[exu_alu_ctl.scala 289:29] + wire [31:0] _T_823 = $signed(io_a_in) & $signed(_T_811); // @[Mux.scala 27:72] + wire [31:0] _T_828 = io_i0_ap_sbclr ? $signed(_T_823) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_831 = $signed(_T_827) | $signed(_T_828); // @[Mux.scala 27:72] + wire [31:0] _T_826 = $signed(io_a_in) ^ $signed(_T_805); // @[Mux.scala 27:72] + wire [31:0] _T_829 = io_i0_ap_sbinv ? $signed(_T_826) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_937 = $signed(_T_831) | $signed(_T_829); // @[exu_alu_ctl.scala 321:21] + wire [31:0] result = _T_936 | _T_937; // @[exu_alu_ctl.scala 320:35] + wire eq = $signed(io_a_in) == $signed(io_b_in); // @[exu_alu_ctl.scala 151:38] + wire ne = ~eq; // @[exu_alu_ctl.scala 152:29] + wire _T_941 = io_i0_ap_beq & eq; // @[exu_alu_ctl.scala 335:43] + wire _T_942 = io_i0_ap_bne & ne; // @[exu_alu_ctl.scala 335:65] + wire _T_943 = _T_941 | _T_942; // @[exu_alu_ctl.scala 335:49] + wire _T_944 = io_i0_ap_blt & lt; // @[exu_alu_ctl.scala 335:94] + wire _T_945 = _T_943 | _T_944; // @[exu_alu_ctl.scala 335:78] + wire _T_946 = io_i0_ap_bge & ge; // @[exu_alu_ctl.scala 335:116] + wire _T_947 = _T_945 | _T_946; // @[exu_alu_ctl.scala 335:100] + wire actual_taken = _T_947 | sel_pc; // @[exu_alu_ctl.scala 335:122] + wire _T_948 = io_dec_alu_dec_i0_alu_decode_d & io_i0_ap_predict_nt; // @[exu_alu_ctl.scala 340:61] + wire _T_949 = ~actual_taken; // @[exu_alu_ctl.scala 340:85] + wire _T_950 = _T_948 & _T_949; // @[exu_alu_ctl.scala 340:83] + wire _T_951 = ~sel_pc; // @[exu_alu_ctl.scala 340:101] + wire _T_952 = _T_950 & _T_951; // @[exu_alu_ctl.scala 340:99] + wire _T_953 = io_dec_alu_dec_i0_alu_decode_d & io_i0_ap_predict_t; // @[exu_alu_ctl.scala 340:145] + wire _T_954 = _T_953 & actual_taken; // @[exu_alu_ctl.scala 340:167] + wire _T_956 = _T_954 & _T_951; // @[exu_alu_ctl.scala 340:183] + wire _T_963 = io_i0_ap_predict_t & _T_949; // @[exu_alu_ctl.scala 345:48] + wire _T_964 = io_i0_ap_predict_nt & actual_taken; // @[exu_alu_ctl.scala 345:88] + wire cond_mispredict = _T_963 | _T_964; // @[exu_alu_ctl.scala 345:65] + wire _T_966 = io_pp_in_bits_prett != aout[31:1]; // @[exu_alu_ctl.scala 348:72] + wire target_mispredict = io_pp_in_bits_pret & _T_966; // @[exu_alu_ctl.scala 348:49] + wire _T_967 = io_i0_ap_jal | cond_mispredict; // @[exu_alu_ctl.scala 350:45] + wire _T_968 = _T_967 | target_mispredict; // @[exu_alu_ctl.scala 350:63] + wire _T_969 = _T_968 & io_dec_alu_dec_i0_alu_decode_d; // @[exu_alu_ctl.scala 350:84] + wire _T_970 = ~io_flush_upper_x; // @[exu_alu_ctl.scala 350:119] + wire _T_971 = _T_969 & _T_970; // @[exu_alu_ctl.scala 350:117] + wire _T_972 = ~io_dec_tlu_flush_lower_r; // @[exu_alu_ctl.scala 350:141] + wire _T_982 = io_pp_in_bits_hist[1] & io_pp_in_bits_hist[0]; // @[exu_alu_ctl.scala 355:44] + wire _T_984 = ~io_pp_in_bits_hist[0]; // @[exu_alu_ctl.scala 355:73] + wire _T_985 = _T_984 & actual_taken; // @[exu_alu_ctl.scala 355:96] + wire _T_986 = _T_982 | _T_985; // @[exu_alu_ctl.scala 355:70] + wire _T_988 = ~io_pp_in_bits_hist[1]; // @[exu_alu_ctl.scala 356:6] + wire _T_990 = _T_988 & _T_949; // @[exu_alu_ctl.scala 356:29] + wire _T_992 = io_pp_in_bits_hist[1] & actual_taken; // @[exu_alu_ctl.scala 356:72] + wire _T_993 = _T_990 | _T_992; // @[exu_alu_ctl.scala 356:47] + wire _T_997 = _T_970 & _T_972; // @[exu_alu_ctl.scala 359:56] + wire _T_998 = cond_mispredict | target_mispredict; // @[exu_alu_ctl.scala 359:103] + rvclkhdr rvclkhdr ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + assign io_dec_alu_exu_i0_pc_x = _T_14; // @[exu_alu_ctl.scala 133:26] + assign io_result_ff = _T_18; // @[exu_alu_ctl.scala 135:16] + assign io_flush_upper_out = _T_971 & _T_972; // @[exu_alu_ctl.scala 350:26] + assign io_flush_final_out = _T_971 | io_dec_tlu_flush_lower_r; // @[exu_alu_ctl.scala 351:26] + assign io_flush_path_out = sel_pc ? aout[31:1] : pcout[31:1]; // @[exu_alu_ctl.scala 342:22] + assign io_pred_correct_out = _T_952 | _T_956; // @[exu_alu_ctl.scala 340:26] + assign io_predict_p_out_valid = io_pp_in_valid; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_misp = _T_997 & _T_998; // @[exu_alu_ctl.scala 358:30 exu_alu_ctl.scala 359:35] + assign io_predict_p_out_bits_ataken = _T_947 | sel_pc; // @[exu_alu_ctl.scala 358:30 exu_alu_ctl.scala 360:35] + assign io_predict_p_out_bits_boffset = io_pp_in_bits_boffset; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_pc4 = io_pp_in_bits_pc4; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_hist = {_T_986,_T_993}; // @[exu_alu_ctl.scala 358:30 exu_alu_ctl.scala 361:35] + assign io_predict_p_out_bits_toffset = io_pp_in_bits_toffset; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_br_error = io_pp_in_bits_br_error; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_br_start_error = io_pp_in_bits_br_start_error; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_pcall = io_pp_in_bits_pcall; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_pja = io_pp_in_bits_pja; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_way = io_pp_in_bits_way; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_pret = io_pp_in_bits_pret; // @[exu_alu_ctl.scala 358:30] + assign rvclkhdr_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_io_en = io_enable & io_dec_alu_dec_i0_alu_decode_d; // @[lib.scala 402:17] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_14 = _RAND_0[30:0]; + _RAND_1 = {1{`RANDOM}}; + _T_18 = _RAND_1[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_14 = 31'h0; + end + if (reset) begin + _T_18 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_14 <= 31'h0; + end else if (io_enable) begin + _T_14 <= io_dec_i0_pc_d; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_18 <= 32'h0; + end else if (_T_15) begin + _T_18 <= result; + end + end +endmodule +module exu_mul_ctl( + input clock, + input reset, + input io_mul_p_valid, + input io_mul_p_bits_rs1_sign, + input io_mul_p_bits_rs2_sign, + input io_mul_p_bits_low, + input [31:0] io_rs1_in, + input [31:0] io_rs2_in, + output [31:0] io_result_x +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [63:0] _RAND_1; + reg [63:0] _RAND_2; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_io_en; // @[lib.scala 399:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 422:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 422:23] + wire rvclkhdr_1_io_en; // @[lib.scala 422:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 422:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 422:23] + wire rvclkhdr_2_io_en; // @[lib.scala 422:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_3_io_en; // @[lib.scala 399:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_4_io_en; // @[lib.scala 399:23] + wire _T_1 = io_mul_p_bits_rs1_sign & io_rs1_in[31]; // @[exu_mul_ctl.scala 123:44] + wire _T_5 = io_mul_p_bits_rs2_sign & io_rs2_in[31]; // @[exu_mul_ctl.scala 124:44] + reg low_x; // @[Reg.scala 27:20] + reg [32:0] rs1_x; // @[lib.scala 428:16] + reg [32:0] rs2_x; // @[lib.scala 428:16] + wire [65:0] prod_x = $signed(rs1_x) * $signed(rs2_x); // @[exu_mul_ctl.scala 130:20] + wire _T_39758 = ~low_x; // @[exu_mul_ctl.scala 388:46] + wire [7:0] _T_39762 = {_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758}; // @[Cat.scala 29:58] + wire [15:0] _T_39763 = {_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39762}; // @[Cat.scala 29:58] + wire [31:0] _T_39764 = {_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39762,_T_39763}; // @[Cat.scala 29:58] + wire [31:0] _T_39766 = _T_39764 & prod_x[63:32]; // @[exu_mul_ctl.scala 388:54] + wire [7:0] _T_39771 = {low_x,low_x,low_x,low_x,low_x,low_x,low_x,low_x}; // @[Cat.scala 29:58] + wire [15:0] _T_39772 = {low_x,low_x,low_x,low_x,low_x,low_x,low_x,low_x,_T_39771}; // @[Cat.scala 29:58] + wire [31:0] _T_39773 = {low_x,low_x,low_x,low_x,low_x,low_x,low_x,low_x,_T_39771,_T_39772}; // @[Cat.scala 29:58] + wire [31:0] _T_39775 = _T_39773 & prod_x[31:0]; // @[exu_mul_ctl.scala 389:40] + rvclkhdr rvclkhdr ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 422:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 422:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en) + ); + assign io_result_x = _T_39766 | _T_39775; // @[exu_mul_ctl.scala 388:15] + assign rvclkhdr_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_io_en = io_mul_p_valid; // @[lib.scala 402:17] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 424:18] + assign rvclkhdr_1_io_en = io_mul_p_valid; // @[lib.scala 425:17] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 424:18] + assign rvclkhdr_2_io_en = io_mul_p_valid; // @[lib.scala 425:17] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_3_io_en = io_mul_p_valid; // @[lib.scala 402:17] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_4_io_en = io_mul_p_valid; // @[lib.scala 402:17] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + low_x = _RAND_0[0:0]; + _RAND_1 = {2{`RANDOM}}; + rs1_x = _RAND_1[32:0]; + _RAND_2 = {2{`RANDOM}}; + rs2_x = _RAND_2[32:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + low_x = 1'h0; + end + if (reset) begin + rs1_x = 33'sh0; + end + if (reset) begin + rs2_x = 33'sh0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + low_x <= 1'h0; + end else if (io_mul_p_valid) begin + low_x <= io_mul_p_bits_low; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + rs1_x <= 33'sh0; + end else begin + rs1_x <= {_T_1,io_rs1_in}; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + rs2_x <= 33'sh0; + end else begin + rs2_x <= {_T_5,io_rs2_in}; + end + end +endmodule +module exu_div_cls( + input [32:0] io_operand, + output [4:0] io_cls +); + wire _T_3 = io_operand[31:30] == 2'h1; // @[exu_div_ctl.scala 950:63] + wire _T_5 = io_operand[31:29] == 3'h1; // @[exu_div_ctl.scala 950:63] + wire _T_7 = io_operand[31:28] == 4'h1; // @[exu_div_ctl.scala 950:63] + wire _T_9 = io_operand[31:27] == 5'h1; // @[exu_div_ctl.scala 950:63] + wire _T_11 = io_operand[31:26] == 6'h1; // @[exu_div_ctl.scala 950:63] + wire _T_13 = io_operand[31:25] == 7'h1; // @[exu_div_ctl.scala 950:63] + wire _T_15 = io_operand[31:24] == 8'h1; // @[exu_div_ctl.scala 950:63] + wire _T_17 = io_operand[31:23] == 9'h1; // @[exu_div_ctl.scala 950:63] + wire _T_19 = io_operand[31:22] == 10'h1; // @[exu_div_ctl.scala 950:63] + wire _T_21 = io_operand[31:21] == 11'h1; // @[exu_div_ctl.scala 950:63] + wire _T_23 = io_operand[31:20] == 12'h1; // @[exu_div_ctl.scala 950:63] + wire _T_25 = io_operand[31:19] == 13'h1; // @[exu_div_ctl.scala 950:63] + wire _T_27 = io_operand[31:18] == 14'h1; // @[exu_div_ctl.scala 950:63] + wire _T_29 = io_operand[31:17] == 15'h1; // @[exu_div_ctl.scala 950:63] + wire _T_31 = io_operand[31:16] == 16'h1; // @[exu_div_ctl.scala 950:63] + wire _T_33 = io_operand[31:15] == 17'h1; // @[exu_div_ctl.scala 950:63] + wire _T_35 = io_operand[31:14] == 18'h1; // @[exu_div_ctl.scala 950:63] + wire _T_37 = io_operand[31:13] == 19'h1; // @[exu_div_ctl.scala 950:63] + wire _T_39 = io_operand[31:12] == 20'h1; // @[exu_div_ctl.scala 950:63] + wire _T_41 = io_operand[31:11] == 21'h1; // @[exu_div_ctl.scala 950:63] + wire _T_43 = io_operand[31:10] == 22'h1; // @[exu_div_ctl.scala 950:63] + wire _T_45 = io_operand[31:9] == 23'h1; // @[exu_div_ctl.scala 950:63] + wire _T_47 = io_operand[31:8] == 24'h1; // @[exu_div_ctl.scala 950:63] + wire _T_49 = io_operand[31:7] == 25'h1; // @[exu_div_ctl.scala 950:63] + wire _T_51 = io_operand[31:6] == 26'h1; // @[exu_div_ctl.scala 950:63] + wire _T_53 = io_operand[31:5] == 27'h1; // @[exu_div_ctl.scala 950:63] + wire _T_55 = io_operand[31:4] == 28'h1; // @[exu_div_ctl.scala 950:63] + wire _T_57 = io_operand[31:3] == 29'h1; // @[exu_div_ctl.scala 950:63] + wire _T_59 = io_operand[31:2] == 30'h1; // @[exu_div_ctl.scala 950:63] + wire _T_61 = io_operand[31:1] == 31'h1; // @[exu_div_ctl.scala 950:63] + wire _T_63 = io_operand[31:0] == 32'h1; // @[exu_div_ctl.scala 950:63] + wire [1:0] _T_66 = _T_5 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_67 = _T_7 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_68 = _T_9 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_69 = _T_11 ? 3'h5 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_70 = _T_13 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_71 = _T_15 ? 3'h7 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_72 = _T_17 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_73 = _T_19 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_74 = _T_21 ? 4'ha : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_75 = _T_23 ? 4'hb : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_76 = _T_25 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_77 = _T_27 ? 4'hd : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_78 = _T_29 ? 4'he : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_79 = _T_31 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_80 = _T_33 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_81 = _T_35 ? 5'h11 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_82 = _T_37 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_83 = _T_39 ? 5'h13 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_84 = _T_41 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_85 = _T_43 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_86 = _T_45 ? 5'h16 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_87 = _T_47 ? 5'h17 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_88 = _T_49 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_89 = _T_51 ? 5'h19 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_90 = _T_53 ? 5'h1a : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_91 = _T_55 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_92 = _T_57 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_93 = _T_59 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_94 = _T_61 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_95 = _T_63 ? 5'h1f : 5'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_1 = {{1'd0}, _T_3}; // @[Mux.scala 27:72] + wire [1:0] _T_97 = _GEN_1 | _T_66; // @[Mux.scala 27:72] + wire [1:0] _T_98 = _T_97 | _T_67; // @[Mux.scala 27:72] + wire [2:0] _GEN_2 = {{1'd0}, _T_98}; // @[Mux.scala 27:72] + wire [2:0] _T_99 = _GEN_2 | _T_68; // @[Mux.scala 27:72] + wire [2:0] _T_100 = _T_99 | _T_69; // @[Mux.scala 27:72] + wire [2:0] _T_101 = _T_100 | _T_70; // @[Mux.scala 27:72] + wire [2:0] _T_102 = _T_101 | _T_71; // @[Mux.scala 27:72] + wire [3:0] _GEN_3 = {{1'd0}, _T_102}; // @[Mux.scala 27:72] + wire [3:0] _T_103 = _GEN_3 | _T_72; // @[Mux.scala 27:72] + wire [3:0] _T_104 = _T_103 | _T_73; // @[Mux.scala 27:72] + wire [3:0] _T_105 = _T_104 | _T_74; // @[Mux.scala 27:72] + wire [3:0] _T_106 = _T_105 | _T_75; // @[Mux.scala 27:72] + wire [3:0] _T_107 = _T_106 | _T_76; // @[Mux.scala 27:72] + wire [3:0] _T_108 = _T_107 | _T_77; // @[Mux.scala 27:72] + wire [3:0] _T_109 = _T_108 | _T_78; // @[Mux.scala 27:72] + wire [3:0] _T_110 = _T_109 | _T_79; // @[Mux.scala 27:72] + wire [4:0] _GEN_4 = {{1'd0}, _T_110}; // @[Mux.scala 27:72] + wire [4:0] _T_111 = _GEN_4 | _T_80; // @[Mux.scala 27:72] + wire [4:0] _T_112 = _T_111 | _T_81; // @[Mux.scala 27:72] + wire [4:0] _T_113 = _T_112 | _T_82; // @[Mux.scala 27:72] + wire [4:0] _T_114 = _T_113 | _T_83; // @[Mux.scala 27:72] + wire [4:0] _T_115 = _T_114 | _T_84; // @[Mux.scala 27:72] + wire [4:0] _T_116 = _T_115 | _T_85; // @[Mux.scala 27:72] + wire [4:0] _T_117 = _T_116 | _T_86; // @[Mux.scala 27:72] + wire [4:0] _T_118 = _T_117 | _T_87; // @[Mux.scala 27:72] + wire [4:0] _T_119 = _T_118 | _T_88; // @[Mux.scala 27:72] + wire [4:0] _T_120 = _T_119 | _T_89; // @[Mux.scala 27:72] + wire [4:0] _T_121 = _T_120 | _T_90; // @[Mux.scala 27:72] + wire [4:0] _T_122 = _T_121 | _T_91; // @[Mux.scala 27:72] + wire [4:0] _T_123 = _T_122 | _T_92; // @[Mux.scala 27:72] + wire [4:0] _T_124 = _T_123 | _T_93; // @[Mux.scala 27:72] + wire [4:0] _T_125 = _T_124 | _T_94; // @[Mux.scala 27:72] + wire [4:0] cls_zeros = _T_125 | _T_95; // @[Mux.scala 27:72] + wire _T_129 = io_operand[31:0] == 32'hffffffff; // @[exu_div_ctl.scala 952:25] + wire _T_137 = io_operand[31:29] == 3'h6; // @[exu_div_ctl.scala 953:76] + wire _T_142 = io_operand[31:28] == 4'he; // @[exu_div_ctl.scala 953:76] + wire _T_147 = io_operand[31:27] == 5'h1e; // @[exu_div_ctl.scala 953:76] + wire _T_152 = io_operand[31:26] == 6'h3e; // @[exu_div_ctl.scala 953:76] + wire _T_157 = io_operand[31:25] == 7'h7e; // @[exu_div_ctl.scala 953:76] + wire _T_162 = io_operand[31:24] == 8'hfe; // @[exu_div_ctl.scala 953:76] + wire _T_167 = io_operand[31:23] == 9'h1fe; // @[exu_div_ctl.scala 953:76] + wire _T_172 = io_operand[31:22] == 10'h3fe; // @[exu_div_ctl.scala 953:76] + wire _T_177 = io_operand[31:21] == 11'h7fe; // @[exu_div_ctl.scala 953:76] + wire _T_182 = io_operand[31:20] == 12'hffe; // @[exu_div_ctl.scala 953:76] + wire _T_187 = io_operand[31:19] == 13'h1ffe; // @[exu_div_ctl.scala 953:76] + wire _T_192 = io_operand[31:18] == 14'h3ffe; // @[exu_div_ctl.scala 953:76] + wire _T_197 = io_operand[31:17] == 15'h7ffe; // @[exu_div_ctl.scala 953:76] + wire _T_202 = io_operand[31:16] == 16'hfffe; // @[exu_div_ctl.scala 953:76] + wire _T_207 = io_operand[31:15] == 17'h1fffe; // @[exu_div_ctl.scala 953:76] + wire _T_212 = io_operand[31:14] == 18'h3fffe; // @[exu_div_ctl.scala 953:76] + wire _T_217 = io_operand[31:13] == 19'h7fffe; // @[exu_div_ctl.scala 953:76] + wire _T_222 = io_operand[31:12] == 20'hffffe; // @[exu_div_ctl.scala 953:76] + wire _T_227 = io_operand[31:11] == 21'h1ffffe; // @[exu_div_ctl.scala 953:76] + wire _T_232 = io_operand[31:10] == 22'h3ffffe; // @[exu_div_ctl.scala 953:76] + wire _T_237 = io_operand[31:9] == 23'h7ffffe; // @[exu_div_ctl.scala 953:76] + wire _T_242 = io_operand[31:8] == 24'hfffffe; // @[exu_div_ctl.scala 953:76] + wire _T_247 = io_operand[31:7] == 25'h1fffffe; // @[exu_div_ctl.scala 953:76] + wire _T_252 = io_operand[31:6] == 26'h3fffffe; // @[exu_div_ctl.scala 953:76] + wire _T_257 = io_operand[31:5] == 27'h7fffffe; // @[exu_div_ctl.scala 953:76] + wire _T_262 = io_operand[31:4] == 28'hffffffe; // @[exu_div_ctl.scala 953:76] + wire _T_267 = io_operand[31:3] == 29'h1ffffffe; // @[exu_div_ctl.scala 953:76] + wire _T_272 = io_operand[31:2] == 30'h3ffffffe; // @[exu_div_ctl.scala 953:76] + wire _T_277 = io_operand[31:1] == 31'h7ffffffe; // @[exu_div_ctl.scala 953:76] + wire _T_282 = io_operand[31:0] == 32'hfffffffe; // @[exu_div_ctl.scala 953:76] + wire [1:0] _T_286 = _T_142 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_287 = _T_147 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_288 = _T_152 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_289 = _T_157 ? 3'h5 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_290 = _T_162 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_291 = _T_167 ? 3'h7 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_292 = _T_172 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_293 = _T_177 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_294 = _T_182 ? 4'ha : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_295 = _T_187 ? 4'hb : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_296 = _T_192 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_297 = _T_197 ? 4'hd : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_298 = _T_202 ? 4'he : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_299 = _T_207 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_300 = _T_212 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_301 = _T_217 ? 5'h11 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_302 = _T_222 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_303 = _T_227 ? 5'h13 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_304 = _T_232 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_305 = _T_237 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_306 = _T_242 ? 5'h16 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_307 = _T_247 ? 5'h17 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_308 = _T_252 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_309 = _T_257 ? 5'h19 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_310 = _T_262 ? 5'h1a : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_311 = _T_267 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_312 = _T_272 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_313 = _T_277 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_314 = _T_282 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_5 = {{1'd0}, _T_137}; // @[Mux.scala 27:72] + wire [1:0] _T_316 = _GEN_5 | _T_286; // @[Mux.scala 27:72] + wire [1:0] _T_317 = _T_316 | _T_287; // @[Mux.scala 27:72] + wire [2:0] _GEN_6 = {{1'd0}, _T_317}; // @[Mux.scala 27:72] + wire [2:0] _T_318 = _GEN_6 | _T_288; // @[Mux.scala 27:72] + wire [2:0] _T_319 = _T_318 | _T_289; // @[Mux.scala 27:72] + wire [2:0] _T_320 = _T_319 | _T_290; // @[Mux.scala 27:72] + wire [2:0] _T_321 = _T_320 | _T_291; // @[Mux.scala 27:72] + wire [3:0] _GEN_7 = {{1'd0}, _T_321}; // @[Mux.scala 27:72] + wire [3:0] _T_322 = _GEN_7 | _T_292; // @[Mux.scala 27:72] + wire [3:0] _T_323 = _T_322 | _T_293; // @[Mux.scala 27:72] + wire [3:0] _T_324 = _T_323 | _T_294; // @[Mux.scala 27:72] + wire [3:0] _T_325 = _T_324 | _T_295; // @[Mux.scala 27:72] + wire [3:0] _T_326 = _T_325 | _T_296; // @[Mux.scala 27:72] + wire [3:0] _T_327 = _T_326 | _T_297; // @[Mux.scala 27:72] + wire [3:0] _T_328 = _T_327 | _T_298; // @[Mux.scala 27:72] + wire [3:0] _T_329 = _T_328 | _T_299; // @[Mux.scala 27:72] + wire [4:0] _GEN_8 = {{1'd0}, _T_329}; // @[Mux.scala 27:72] + wire [4:0] _T_330 = _GEN_8 | _T_300; // @[Mux.scala 27:72] + wire [4:0] _T_331 = _T_330 | _T_301; // @[Mux.scala 27:72] + wire [4:0] _T_332 = _T_331 | _T_302; // @[Mux.scala 27:72] + wire [4:0] _T_333 = _T_332 | _T_303; // @[Mux.scala 27:72] + wire [4:0] _T_334 = _T_333 | _T_304; // @[Mux.scala 27:72] + wire [4:0] _T_335 = _T_334 | _T_305; // @[Mux.scala 27:72] + wire [4:0] _T_336 = _T_335 | _T_306; // @[Mux.scala 27:72] + wire [4:0] _T_337 = _T_336 | _T_307; // @[Mux.scala 27:72] + wire [4:0] _T_338 = _T_337 | _T_308; // @[Mux.scala 27:72] + wire [4:0] _T_339 = _T_338 | _T_309; // @[Mux.scala 27:72] + wire [4:0] _T_340 = _T_339 | _T_310; // @[Mux.scala 27:72] + wire [4:0] _T_341 = _T_340 | _T_311; // @[Mux.scala 27:72] + wire [4:0] _T_342 = _T_341 | _T_312; // @[Mux.scala 27:72] + wire [4:0] _T_343 = _T_342 | _T_313; // @[Mux.scala 27:72] + wire [4:0] _T_344 = _T_343 | _T_314; // @[Mux.scala 27:72] + wire [4:0] cls_ones = _T_129 ? 5'h1f : _T_344; // @[exu_div_ctl.scala 952:44] + assign io_cls = io_operand[32] ? cls_ones : cls_zeros; // @[exu_div_ctl.scala 954:25] +endmodule +module exu_div_new_4bit_fullshortq( + input clock, + input reset, + input io_cancel, + input io_valid_in, + input io_signed_in, + input io_rem_in, + input [31:0] io_dividend_in, + input [31:0] io_divisor_in, + output [31:0] io_data_out, + output io_valid_out +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [63:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [63:0] _RAND_9; + reg [31:0] _RAND_10; +`endif // RANDOMIZE_REG_INIT + wire [32:0] a_enc_io_operand; // @[exu_div_ctl.scala 913:31] + wire [4:0] a_enc_io_cls; // @[exu_div_ctl.scala 913:31] + wire [32:0] b_enc_io_operand; // @[exu_div_ctl.scala 916:31] + wire [4:0] b_enc_io_cls; // @[exu_div_ctl.scala 916:31] + wire rvclkhdr_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_io_en; // @[lib.scala 399:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_1_io_en; // @[lib.scala 399:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_2_io_en; // @[lib.scala 399:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_3_io_en; // @[lib.scala 399:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_4_io_en; // @[lib.scala 399:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_5_io_en; // @[lib.scala 399:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_6_io_en; // @[lib.scala 399:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_7_io_en; // @[lib.scala 399:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_8_io_en; // @[lib.scala 399:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_9_io_en; // @[lib.scala 399:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_10_io_en; // @[lib.scala 399:23] + wire _T = ~io_cancel; // @[exu_div_ctl.scala 776:44] + wire valid_ff_in = io_valid_in & _T; // @[exu_div_ctl.scala 776:42] + wire _T_1 = ~io_valid_in; // @[exu_div_ctl.scala 777:35] + reg [2:0] control_ff; // @[Reg.scala 27:20] + wire _T_3 = _T_1 & control_ff[2]; // @[exu_div_ctl.scala 777:48] + wire _T_4 = io_valid_in & io_signed_in; // @[exu_div_ctl.scala 777:80] + wire _T_6 = _T_4 & io_dividend_in[31]; // @[exu_div_ctl.scala 777:96] + wire _T_7 = _T_3 | _T_6; // @[exu_div_ctl.scala 777:65] + wire _T_10 = _T_1 & control_ff[1]; // @[exu_div_ctl.scala 777:133] + wire _T_13 = _T_4 & io_divisor_in[31]; // @[exu_div_ctl.scala 777:181] + wire _T_14 = _T_10 | _T_13; // @[exu_div_ctl.scala 777:150] + wire _T_17 = _T_1 & control_ff[0]; // @[exu_div_ctl.scala 777:218] + wire _T_18 = io_valid_in & io_rem_in; // @[exu_div_ctl.scala 777:250] + wire _T_19 = _T_17 | _T_18; // @[exu_div_ctl.scala 777:235] + wire [2:0] control_in = {_T_7,_T_14,_T_19}; // @[Cat.scala 29:58] + reg [32:0] b_ff1; // @[Reg.scala 27:20] + wire [37:0] b_ff = {b_ff1[32],b_ff1[32],b_ff1[32],b_ff1[32],b_ff1[32],b_ff1}; // @[Cat.scala 29:58] + wire _T_22 = b_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 781:54] + reg valid_ff; // @[Reg.scala 27:20] + wire by_zero_case = valid_ff & _T_22; // @[exu_div_ctl.scala 781:40] + reg [31:0] a_ff; // @[Reg.scala 27:20] + wire _T_24 = a_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 783:37] + wire _T_26 = b_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 783:60] + wire _T_27 = _T_24 & _T_26; // @[exu_div_ctl.scala 783:46] + wire _T_28 = ~by_zero_case; // @[exu_div_ctl.scala 783:71] + wire _T_29 = _T_27 & _T_28; // @[exu_div_ctl.scala 783:69] + wire _T_30 = ~control_ff[0]; // @[exu_div_ctl.scala 783:87] + wire _T_31 = _T_29 & _T_30; // @[exu_div_ctl.scala 783:85] + wire _T_32 = _T_31 & valid_ff; // @[exu_div_ctl.scala 783:95] + wire _T_34 = _T_32 & _T; // @[exu_div_ctl.scala 783:106] + wire _T_36 = a_ff == 32'h0; // @[exu_div_ctl.scala 784:18] + wire _T_38 = _T_36 & _T_28; // @[exu_div_ctl.scala 784:27] + wire _T_40 = _T_38 & _T_30; // @[exu_div_ctl.scala 784:43] + wire _T_41 = _T_40 & valid_ff; // @[exu_div_ctl.scala 784:53] + wire _T_43 = _T_41 & _T; // @[exu_div_ctl.scala 784:64] + wire smallnum_case = _T_34 | _T_43; // @[exu_div_ctl.scala 783:120] + reg [6:0] count_ff; // @[Reg.scala 27:20] + wire _T_44 = |count_ff; // @[exu_div_ctl.scala 785:42] + reg shortq_enable_ff; // @[Reg.scala 27:20] + wire running_state = _T_44 | shortq_enable_ff; // @[exu_div_ctl.scala 785:45] + wire _T_45 = io_valid_in | valid_ff; // @[exu_div_ctl.scala 786:43] + wire _T_46 = _T_45 | io_cancel; // @[exu_div_ctl.scala 786:54] + wire _T_47 = _T_46 | running_state; // @[exu_div_ctl.scala 786:66] + reg finish_ff; // @[Reg.scala 27:20] + wire misc_enable = _T_47 | finish_ff; // @[exu_div_ctl.scala 786:82] + wire _T_48 = smallnum_case | by_zero_case; // @[exu_div_ctl.scala 787:45] + wire _T_49 = count_ff == 7'h20; // @[exu_div_ctl.scala 787:72] + wire finish_raw = _T_48 | _T_49; // @[exu_div_ctl.scala 787:60] + wire finish = finish_raw & _T; // @[exu_div_ctl.scala 788:41] + wire _T_51 = valid_ff | running_state; // @[exu_div_ctl.scala 789:40] + wire _T_52 = ~finish; // @[exu_div_ctl.scala 789:59] + wire _T_53 = _T_51 & _T_52; // @[exu_div_ctl.scala 789:57] + wire _T_54 = ~finish_ff; // @[exu_div_ctl.scala 789:69] + wire _T_55 = _T_53 & _T_54; // @[exu_div_ctl.scala 789:67] + wire _T_57 = _T_55 & _T; // @[exu_div_ctl.scala 789:80] + wire [6:0] _T_1394 = {1'h0,1'h0,b_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_1395 = {1'h0,1'h0,a_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_1397 = _T_1394 - _T_1395; // @[exu_div_ctl.scala 921:43] + wire [6:0] dw_shortq_raw = _T_1397 + 7'h1; // @[exu_div_ctl.scala 921:63] + wire [5:0] shortq = dw_shortq_raw[6] ? 6'h0 : dw_shortq_raw[5:0]; // @[exu_div_ctl.scala 922:28] + wire _T_1403 = ~shortq[5]; // @[exu_div_ctl.scala 923:37] + wire _T_1404 = valid_ff & _T_1403; // @[exu_div_ctl.scala 923:35] + wire _T_1406 = shortq[4:2] == 3'h7; // @[exu_div_ctl.scala 923:64] + wire _T_1407 = ~_T_1406; // @[exu_div_ctl.scala 923:50] + wire _T_1408 = _T_1404 & _T_1407; // @[exu_div_ctl.scala 923:48] + wire shortq_enable = _T_1408 & _T; // @[exu_div_ctl.scala 923:79] + wire _T_58 = ~shortq_enable; // @[exu_div_ctl.scala 789:95] + wire count_enable = _T_57 & _T_58; // @[exu_div_ctl.scala 789:93] + wire [6:0] _T_60 = count_enable ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] + wire [6:0] _T_62 = count_ff + 7'h4; // @[exu_div_ctl.scala 790:63] + reg [4:0] shortq_shift_ff; // @[Reg.scala 27:20] + wire [6:0] _T_63 = {2'h0,shortq_shift_ff}; // @[Cat.scala 29:58] + wire [6:0] _T_65 = _T_62 + _T_63; // @[exu_div_ctl.scala 790:74] + wire [6:0] count_in = _T_60 & _T_65; // @[exu_div_ctl.scala 790:51] + wire a_enable = io_valid_in | running_state; // @[exu_div_ctl.scala 791:43] + wire _T_66 = ~shortq_enable_ff; // @[exu_div_ctl.scala 792:47] + wire a_shift = running_state & _T_66; // @[exu_div_ctl.scala 792:45] + wire [32:0] _T_68 = control_ff[2] ? 33'h1ffffffff : 33'h0; // @[Bitwise.scala 72:12] + wire [64:0] _T_70 = {_T_68,a_ff}; // @[Cat.scala 29:58] + wire [95:0] _GEN_11 = {{31'd0}, _T_70}; // @[exu_div_ctl.scala 793:74] + wire [95:0] _T_71 = _GEN_11 << shortq_shift_ff; // @[exu_div_ctl.scala 793:74] + wire _T_72 = control_ff[2] ^ control_ff[1]; // @[exu_div_ctl.scala 794:61] + wire _T_73 = ~_T_72; // @[exu_div_ctl.scala 794:42] + wire b_twos_comp = valid_ff & _T_73; // @[exu_div_ctl.scala 794:40] + wire _T_76 = ~valid_ff; // @[exu_div_ctl.scala 796:30] + wire _T_78 = _T_76 & _T_30; // @[exu_div_ctl.scala 796:40] + wire _T_80 = _T_78 & _T_72; // @[exu_div_ctl.scala 796:50] + reg by_zero_case_ff; // @[Reg.scala 27:20] + wire _T_81 = ~by_zero_case_ff; // @[exu_div_ctl.scala 796:92] + wire twos_comp_q_sel = _T_80 & _T_81; // @[exu_div_ctl.scala 796:90] + wire b_enable = io_valid_in | b_twos_comp; // @[exu_div_ctl.scala 797:43] + wire rq_enable = _T_45 | running_state; // @[exu_div_ctl.scala 798:54] + wire _T_83 = valid_ff & control_ff[2]; // @[exu_div_ctl.scala 799:40] + wire r_sign_sel = _T_83 & _T_28; // @[exu_div_ctl.scala 799:59] + reg [32:0] r_ff; // @[Reg.scala 27:20] + wire [37:0] _T_287 = {r_ff[32],r_ff,a_ff[31:28]}; // @[Cat.scala 29:58] + wire [37:0] _T_289 = {b_ff[34:0],3'h0}; // @[Cat.scala 29:58] + wire [37:0] _T_291 = _T_287 + _T_289; // @[exu_div_ctl.scala 815:67] + wire [37:0] _T_293 = {b_ff[35:0],2'h0}; // @[Cat.scala 29:58] + wire [37:0] _T_295 = _T_291 + _T_293; // @[exu_div_ctl.scala 815:94] + wire [37:0] _T_297 = {b_ff[36:0],1'h0}; // @[Cat.scala 29:58] + wire [37:0] _T_299 = _T_295 + _T_297; // @[exu_div_ctl.scala 815:121] + wire [37:0] adder15_out = _T_299 + b_ff; // @[exu_div_ctl.scala 815:143] + wire _T_302 = ~adder15_out[37]; // @[exu_div_ctl.scala 818:6] + wire _T_303 = _T_302 ^ control_ff[2]; // @[exu_div_ctl.scala 818:23] + wire _T_305 = a_ff[27:0] == 28'h0; // @[exu_div_ctl.scala 818:58] + wire _T_306 = adder15_out == 38'h0; // @[exu_div_ctl.scala 818:82] + wire _T_307 = _T_305 & _T_306; // @[exu_div_ctl.scala 818:67] + wire _T_308 = _T_303 | _T_307; // @[exu_div_ctl.scala 818:43] + wire _T_310 = ~_T_299[37]; // @[exu_div_ctl.scala 819:6] + wire _T_311 = _T_310 ^ control_ff[2]; // @[exu_div_ctl.scala 819:23] + wire _T_314 = _T_299 == 38'h0; // @[exu_div_ctl.scala 819:82] + wire _T_315 = _T_305 & _T_314; // @[exu_div_ctl.scala 819:67] + wire _T_316 = _T_311 | _T_315; // @[exu_div_ctl.scala 819:43] + wire [37:0] adder13_out = _T_295 + b_ff; // @[exu_div_ctl.scala 813:121] + wire _T_318 = ~adder13_out[37]; // @[exu_div_ctl.scala 820:6] + wire _T_319 = _T_318 ^ control_ff[2]; // @[exu_div_ctl.scala 820:23] + wire _T_322 = adder13_out == 38'h0; // @[exu_div_ctl.scala 820:82] + wire _T_323 = _T_305 & _T_322; // @[exu_div_ctl.scala 820:67] + wire _T_324 = _T_319 | _T_323; // @[exu_div_ctl.scala 820:43] + wire _T_326 = ~_T_295[37]; // @[exu_div_ctl.scala 821:6] + wire _T_327 = _T_326 ^ control_ff[2]; // @[exu_div_ctl.scala 821:23] + wire _T_330 = _T_295 == 38'h0; // @[exu_div_ctl.scala 821:82] + wire _T_331 = _T_305 & _T_330; // @[exu_div_ctl.scala 821:67] + wire _T_332 = _T_327 | _T_331; // @[exu_div_ctl.scala 821:43] + wire [37:0] _T_239 = _T_291 + _T_297; // @[exu_div_ctl.scala 811:94] + wire [37:0] adder11_out = _T_239 + b_ff; // @[exu_div_ctl.scala 811:116] + wire _T_334 = ~adder11_out[37]; // @[exu_div_ctl.scala 822:6] + wire _T_335 = _T_334 ^ control_ff[2]; // @[exu_div_ctl.scala 822:23] + wire _T_338 = adder11_out == 38'h0; // @[exu_div_ctl.scala 822:82] + wire _T_339 = _T_305 & _T_338; // @[exu_div_ctl.scala 822:67] + wire _T_340 = _T_335 | _T_339; // @[exu_div_ctl.scala 822:43] + wire _T_342 = ~_T_239[37]; // @[exu_div_ctl.scala 823:6] + wire _T_343 = _T_342 ^ control_ff[2]; // @[exu_div_ctl.scala 823:23] + wire _T_346 = _T_239 == 38'h0; // @[exu_div_ctl.scala 823:82] + wire _T_347 = _T_305 & _T_346; // @[exu_div_ctl.scala 823:67] + wire _T_348 = _T_343 | _T_347; // @[exu_div_ctl.scala 823:43] + wire [37:0] adder9_out = _T_291 + b_ff; // @[exu_div_ctl.scala 809:94] + wire _T_350 = ~adder9_out[37]; // @[exu_div_ctl.scala 824:6] + wire _T_351 = _T_350 ^ control_ff[2]; // @[exu_div_ctl.scala 824:22] + wire _T_354 = adder9_out == 38'h0; // @[exu_div_ctl.scala 824:80] + wire _T_355 = _T_305 & _T_354; // @[exu_div_ctl.scala 824:66] + wire _T_356 = _T_351 | _T_355; // @[exu_div_ctl.scala 824:42] + wire _T_358 = ~_T_291[37]; // @[exu_div_ctl.scala 825:6] + wire _T_359 = _T_358 ^ control_ff[2]; // @[exu_div_ctl.scala 825:22] + wire _T_362 = _T_291 == 38'h0; // @[exu_div_ctl.scala 825:80] + wire _T_363 = _T_305 & _T_362; // @[exu_div_ctl.scala 825:66] + wire _T_364 = _T_359 | _T_363; // @[exu_div_ctl.scala 825:42] + wire [37:0] _T_191 = _T_287 + _T_293; // @[exu_div_ctl.scala 807:67] + wire [37:0] _T_195 = _T_191 + _T_297; // @[exu_div_ctl.scala 807:94] + wire [37:0] adder7_out = _T_195 + b_ff; // @[exu_div_ctl.scala 807:116] + wire _T_366 = ~adder7_out[37]; // @[exu_div_ctl.scala 826:6] + wire _T_367 = _T_366 ^ control_ff[2]; // @[exu_div_ctl.scala 826:22] + wire _T_370 = adder7_out == 38'h0; // @[exu_div_ctl.scala 826:80] + wire _T_371 = _T_305 & _T_370; // @[exu_div_ctl.scala 826:66] + wire _T_372 = _T_367 | _T_371; // @[exu_div_ctl.scala 826:42] + wire _T_374 = ~_T_195[37]; // @[exu_div_ctl.scala 827:6] + wire _T_375 = _T_374 ^ control_ff[2]; // @[exu_div_ctl.scala 827:22] + wire _T_378 = _T_195 == 38'h0; // @[exu_div_ctl.scala 827:80] + wire _T_379 = _T_305 & _T_378; // @[exu_div_ctl.scala 827:66] + wire _T_380 = _T_375 | _T_379; // @[exu_div_ctl.scala 827:42] + wire [37:0] adder5_out = _T_191 + b_ff; // @[exu_div_ctl.scala 805:94] + wire _T_382 = ~adder5_out[37]; // @[exu_div_ctl.scala 828:6] + wire _T_383 = _T_382 ^ control_ff[2]; // @[exu_div_ctl.scala 828:22] + wire _T_386 = adder5_out == 38'h0; // @[exu_div_ctl.scala 828:80] + wire _T_387 = _T_305 & _T_386; // @[exu_div_ctl.scala 828:66] + wire _T_388 = _T_383 | _T_387; // @[exu_div_ctl.scala 828:42] + wire _T_390 = ~_T_191[37]; // @[exu_div_ctl.scala 829:6] + wire _T_391 = _T_390 ^ control_ff[2]; // @[exu_div_ctl.scala 829:22] + wire _T_394 = _T_191 == 38'h0; // @[exu_div_ctl.scala 829:80] + wire _T_395 = _T_305 & _T_394; // @[exu_div_ctl.scala 829:66] + wire _T_396 = _T_391 | _T_395; // @[exu_div_ctl.scala 829:42] + wire [36:0] _T_146 = {r_ff,a_ff[31:28]}; // @[Cat.scala 29:58] + wire [36:0] _T_148 = {b_ff[35:0],1'h0}; // @[Cat.scala 29:58] + wire [36:0] _T_150 = _T_146 + _T_148; // @[exu_div_ctl.scala 803:58] + wire [36:0] adder3_out = _T_150 + b_ff[36:0]; // @[exu_div_ctl.scala 803:80] + wire _T_398 = ~adder3_out[36]; // @[exu_div_ctl.scala 830:6] + wire _T_399 = _T_398 ^ control_ff[2]; // @[exu_div_ctl.scala 830:22] + wire _T_402 = adder3_out == 37'h0; // @[exu_div_ctl.scala 830:80] + wire _T_403 = _T_305 & _T_402; // @[exu_div_ctl.scala 830:66] + wire _T_404 = _T_399 | _T_403; // @[exu_div_ctl.scala 830:42] + wire [35:0] _T_140 = {r_ff[31:0],a_ff[31:28]}; // @[Cat.scala 29:58] + wire [35:0] _T_142 = {b_ff[34:0],1'h0}; // @[Cat.scala 29:58] + wire [35:0] adder2_out = _T_140 + _T_142; // @[exu_div_ctl.scala 802:58] + wire _T_406 = ~adder2_out[35]; // @[exu_div_ctl.scala 831:6] + wire _T_407 = _T_406 ^ control_ff[2]; // @[exu_div_ctl.scala 831:22] + wire _T_410 = adder2_out == 36'h0; // @[exu_div_ctl.scala 831:80] + wire _T_411 = _T_305 & _T_410; // @[exu_div_ctl.scala 831:66] + wire _T_412 = _T_407 | _T_411; // @[exu_div_ctl.scala 831:42] + wire [34:0] _T_135 = {r_ff[30:0],a_ff[31:28]}; // @[Cat.scala 29:58] + wire [34:0] adder1_out = _T_135 + b_ff[34:0]; // @[exu_div_ctl.scala 801:58] + wire _T_414 = ~adder1_out[34]; // @[exu_div_ctl.scala 832:6] + wire _T_415 = _T_414 ^ control_ff[2]; // @[exu_div_ctl.scala 832:22] + wire _T_418 = adder1_out == 35'h0; // @[exu_div_ctl.scala 832:80] + wire _T_419 = _T_305 & _T_418; // @[exu_div_ctl.scala 832:66] + wire _T_420 = _T_415 | _T_419; // @[exu_div_ctl.scala 832:42] + wire [7:0] _T_427 = {_T_372,_T_380,_T_388,_T_396,_T_404,_T_412,_T_420,1'h0}; // @[Cat.scala 29:58] + wire [15:0] quotient_raw = {_T_308,_T_316,_T_324,_T_332,_T_340,_T_348,_T_356,_T_364,_T_427}; // @[Cat.scala 29:58] + wire _T_439 = quotient_raw[15:8] == 8'h1; // @[exu_div_ctl.scala 835:49] + wire _T_444 = quotient_raw[15:9] == 7'h1; // @[exu_div_ctl.scala 835:49] + wire _T_477 = _T_439 | _T_444; // @[Mux.scala 27:72] + wire _T_449 = quotient_raw[15:10] == 6'h1; // @[exu_div_ctl.scala 835:49] + wire _T_478 = _T_477 | _T_449; // @[Mux.scala 27:72] + wire _T_454 = quotient_raw[15:11] == 5'h1; // @[exu_div_ctl.scala 835:49] + wire _T_479 = _T_478 | _T_454; // @[Mux.scala 27:72] + wire _T_459 = quotient_raw[15:12] == 4'h1; // @[exu_div_ctl.scala 835:49] + wire _T_480 = _T_479 | _T_459; // @[Mux.scala 27:72] + wire _T_464 = quotient_raw[15:13] == 3'h1; // @[exu_div_ctl.scala 835:49] + wire _T_481 = _T_480 | _T_464; // @[Mux.scala 27:72] + wire _T_468 = quotient_raw[15:14] == 2'h1; // @[exu_div_ctl.scala 835:49] + wire _T_482 = _T_481 | _T_468; // @[Mux.scala 27:72] + wire _T_486 = _T_482 | quotient_raw[15]; // @[exu_div_ctl.scala 835:94] + wire _T_488 = quotient_raw[15:4] == 12'h1; // @[exu_div_ctl.scala 836:40] + wire _T_490 = quotient_raw[15:5] == 11'h1; // @[exu_div_ctl.scala 836:98] + wire _T_511 = _T_488 | _T_490; // @[Mux.scala 27:72] + wire _T_492 = quotient_raw[15:6] == 10'h1; // @[exu_div_ctl.scala 836:155] + wire _T_512 = _T_511 | _T_492; // @[Mux.scala 27:72] + wire _T_494 = quotient_raw[15:7] == 9'h1; // @[exu_div_ctl.scala 836:211] + wire _T_513 = _T_512 | _T_494; // @[Mux.scala 27:72] + wire _T_514 = _T_513 | _T_459; // @[Mux.scala 27:72] + wire _T_515 = _T_514 | _T_464; // @[Mux.scala 27:72] + wire _T_516 = _T_515 | _T_468; // @[Mux.scala 27:72] + wire _T_517 = _T_516 | quotient_raw[15]; // @[Mux.scala 27:72] + wire _T_520 = quotient_raw[15:2] == 14'h1; // @[exu_div_ctl.scala 838:37] + wire _T_522 = quotient_raw[15:3] == 13'h1; // @[exu_div_ctl.scala 838:97] + wire _T_543 = _T_520 | _T_522; // @[Mux.scala 27:72] + wire _T_544 = _T_543 | _T_492; // @[Mux.scala 27:72] + wire _T_545 = _T_544 | _T_494; // @[Mux.scala 27:72] + wire _T_546 = _T_545 | _T_449; // @[Mux.scala 27:72] + wire _T_547 = _T_546 | _T_454; // @[Mux.scala 27:72] + wire _T_548 = _T_547 | _T_468; // @[Mux.scala 27:72] + wire _T_549 = _T_548 | quotient_raw[15]; // @[Mux.scala 27:72] + wire _T_554 = quotient_raw[15:1] == 15'h1; // @[exu_div_ctl.scala 840:54] + wire _T_593 = _T_554 | _T_522; // @[Mux.scala 27:72] + wire _T_594 = _T_593 | _T_490; // @[Mux.scala 27:72] + wire _T_595 = _T_594 | _T_494; // @[Mux.scala 27:72] + wire _T_596 = _T_595 | _T_444; // @[Mux.scala 27:72] + wire _T_597 = _T_596 | _T_454; // @[Mux.scala 27:72] + wire _T_598 = _T_597 | _T_464; // @[Mux.scala 27:72] + wire _T_602 = _T_598 | quotient_raw[15]; // @[exu_div_ctl.scala 840:99] + wire [3:0] quotient_new = {_T_486,_T_517,_T_549,_T_602}; // @[Cat.scala 29:58] + wire _T_85 = quotient_new == 4'h0; // @[exu_div_ctl.scala 800:80] + wire _T_86 = running_state & _T_85; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_0 = _T_86 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_88 = quotient_new == 4'h1; // @[exu_div_ctl.scala 800:80] + wire _T_89 = running_state & _T_88; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_1 = _T_89 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_91 = quotient_new == 4'h2; // @[exu_div_ctl.scala 800:80] + wire _T_92 = running_state & _T_91; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_2 = _T_92 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_94 = quotient_new == 4'h3; // @[exu_div_ctl.scala 800:80] + wire _T_95 = running_state & _T_94; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_3 = _T_95 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_97 = quotient_new == 4'h4; // @[exu_div_ctl.scala 800:80] + wire _T_98 = running_state & _T_97; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_4 = _T_98 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_100 = quotient_new == 4'h5; // @[exu_div_ctl.scala 800:80] + wire _T_101 = running_state & _T_100; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_5 = _T_101 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_103 = quotient_new == 4'h6; // @[exu_div_ctl.scala 800:80] + wire _T_104 = running_state & _T_103; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_6 = _T_104 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_106 = quotient_new == 4'h7; // @[exu_div_ctl.scala 800:80] + wire _T_107 = running_state & _T_106; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_7 = _T_107 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_109 = quotient_new == 4'h8; // @[exu_div_ctl.scala 800:80] + wire _T_110 = running_state & _T_109; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_8 = _T_110 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_112 = quotient_new == 4'h9; // @[exu_div_ctl.scala 800:80] + wire _T_113 = running_state & _T_112; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_9 = _T_113 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_115 = quotient_new == 4'ha; // @[exu_div_ctl.scala 800:80] + wire _T_116 = running_state & _T_115; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_10 = _T_116 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_118 = quotient_new == 4'hb; // @[exu_div_ctl.scala 800:80] + wire _T_119 = running_state & _T_118; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_11 = _T_119 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_121 = quotient_new == 4'hc; // @[exu_div_ctl.scala 800:80] + wire _T_122 = running_state & _T_121; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_12 = _T_122 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_124 = quotient_new == 4'hd; // @[exu_div_ctl.scala 800:80] + wire _T_125 = running_state & _T_124; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_13 = _T_125 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_127 = quotient_new == 4'he; // @[exu_div_ctl.scala 800:80] + wire _T_128 = running_state & _T_127; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_14 = _T_128 & _T_66; // @[exu_div_ctl.scala 800:94] + wire _T_130 = quotient_new == 4'hf; // @[exu_div_ctl.scala 800:80] + wire _T_131 = running_state & _T_130; // @[exu_div_ctl.scala 800:64] + wire r_adder_sel_15 = _T_131 & _T_66; // @[exu_div_ctl.scala 800:94] + reg [31:0] q_ff; // @[Reg.scala 27:20] + wire [31:0] _T_607 = twos_comp_q_sel ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_608 = b_twos_comp ? b_ff[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] twos_comp_in = _T_607 | _T_608; // @[Mux.scala 27:72] + wire _T_612 = |twos_comp_in[0]; // @[lib.scala 649:35] + wire _T_614 = ~twos_comp_in[1]; // @[lib.scala 649:40] + wire _T_616 = _T_612 ? _T_614 : twos_comp_in[1]; // @[lib.scala 649:23] + wire _T_618 = |twos_comp_in[1:0]; // @[lib.scala 649:35] + wire _T_620 = ~twos_comp_in[2]; // @[lib.scala 649:40] + wire _T_622 = _T_618 ? _T_620 : twos_comp_in[2]; // @[lib.scala 649:23] + wire _T_624 = |twos_comp_in[2:0]; // @[lib.scala 649:35] + wire _T_626 = ~twos_comp_in[3]; // @[lib.scala 649:40] + wire _T_628 = _T_624 ? _T_626 : twos_comp_in[3]; // @[lib.scala 649:23] + wire _T_630 = |twos_comp_in[3:0]; // @[lib.scala 649:35] + wire _T_632 = ~twos_comp_in[4]; // @[lib.scala 649:40] + wire _T_634 = _T_630 ? _T_632 : twos_comp_in[4]; // @[lib.scala 649:23] + wire _T_636 = |twos_comp_in[4:0]; // @[lib.scala 649:35] + wire _T_638 = ~twos_comp_in[5]; // @[lib.scala 649:40] + wire _T_640 = _T_636 ? _T_638 : twos_comp_in[5]; // @[lib.scala 649:23] + wire _T_642 = |twos_comp_in[5:0]; // @[lib.scala 649:35] + wire _T_644 = ~twos_comp_in[6]; // @[lib.scala 649:40] + wire _T_646 = _T_642 ? _T_644 : twos_comp_in[6]; // @[lib.scala 649:23] + wire _T_648 = |twos_comp_in[6:0]; // @[lib.scala 649:35] + wire _T_650 = ~twos_comp_in[7]; // @[lib.scala 649:40] + wire _T_652 = _T_648 ? _T_650 : twos_comp_in[7]; // @[lib.scala 649:23] + wire _T_654 = |twos_comp_in[7:0]; // @[lib.scala 649:35] + wire _T_656 = ~twos_comp_in[8]; // @[lib.scala 649:40] + wire _T_658 = _T_654 ? _T_656 : twos_comp_in[8]; // @[lib.scala 649:23] + wire _T_660 = |twos_comp_in[8:0]; // @[lib.scala 649:35] + wire _T_662 = ~twos_comp_in[9]; // @[lib.scala 649:40] + wire _T_664 = _T_660 ? _T_662 : twos_comp_in[9]; // @[lib.scala 649:23] + wire _T_666 = |twos_comp_in[9:0]; // @[lib.scala 649:35] + wire _T_668 = ~twos_comp_in[10]; // @[lib.scala 649:40] + wire _T_670 = _T_666 ? _T_668 : twos_comp_in[10]; // @[lib.scala 649:23] + wire _T_672 = |twos_comp_in[10:0]; // @[lib.scala 649:35] + wire _T_674 = ~twos_comp_in[11]; // @[lib.scala 649:40] + wire _T_676 = _T_672 ? _T_674 : twos_comp_in[11]; // @[lib.scala 649:23] + wire _T_678 = |twos_comp_in[11:0]; // @[lib.scala 649:35] + wire _T_680 = ~twos_comp_in[12]; // @[lib.scala 649:40] + wire _T_682 = _T_678 ? _T_680 : twos_comp_in[12]; // @[lib.scala 649:23] + wire _T_684 = |twos_comp_in[12:0]; // @[lib.scala 649:35] + wire _T_686 = ~twos_comp_in[13]; // @[lib.scala 649:40] + wire _T_688 = _T_684 ? _T_686 : twos_comp_in[13]; // @[lib.scala 649:23] + wire _T_690 = |twos_comp_in[13:0]; // @[lib.scala 649:35] + wire _T_692 = ~twos_comp_in[14]; // @[lib.scala 649:40] + wire _T_694 = _T_690 ? _T_692 : twos_comp_in[14]; // @[lib.scala 649:23] + wire _T_696 = |twos_comp_in[14:0]; // @[lib.scala 649:35] + wire _T_698 = ~twos_comp_in[15]; // @[lib.scala 649:40] + wire _T_700 = _T_696 ? _T_698 : twos_comp_in[15]; // @[lib.scala 649:23] + wire _T_702 = |twos_comp_in[15:0]; // @[lib.scala 649:35] + wire _T_704 = ~twos_comp_in[16]; // @[lib.scala 649:40] + wire _T_706 = _T_702 ? _T_704 : twos_comp_in[16]; // @[lib.scala 649:23] + wire _T_708 = |twos_comp_in[16:0]; // @[lib.scala 649:35] + wire _T_710 = ~twos_comp_in[17]; // @[lib.scala 649:40] + wire _T_712 = _T_708 ? _T_710 : twos_comp_in[17]; // @[lib.scala 649:23] + wire _T_714 = |twos_comp_in[17:0]; // @[lib.scala 649:35] + wire _T_716 = ~twos_comp_in[18]; // @[lib.scala 649:40] + wire _T_718 = _T_714 ? _T_716 : twos_comp_in[18]; // @[lib.scala 649:23] + wire _T_720 = |twos_comp_in[18:0]; // @[lib.scala 649:35] + wire _T_722 = ~twos_comp_in[19]; // @[lib.scala 649:40] + wire _T_724 = _T_720 ? _T_722 : twos_comp_in[19]; // @[lib.scala 649:23] + wire _T_726 = |twos_comp_in[19:0]; // @[lib.scala 649:35] + wire _T_728 = ~twos_comp_in[20]; // @[lib.scala 649:40] + wire _T_730 = _T_726 ? _T_728 : twos_comp_in[20]; // @[lib.scala 649:23] + wire _T_732 = |twos_comp_in[20:0]; // @[lib.scala 649:35] + wire _T_734 = ~twos_comp_in[21]; // @[lib.scala 649:40] + wire _T_736 = _T_732 ? _T_734 : twos_comp_in[21]; // @[lib.scala 649:23] + wire _T_738 = |twos_comp_in[21:0]; // @[lib.scala 649:35] + wire _T_740 = ~twos_comp_in[22]; // @[lib.scala 649:40] + wire _T_742 = _T_738 ? _T_740 : twos_comp_in[22]; // @[lib.scala 649:23] + wire _T_744 = |twos_comp_in[22:0]; // @[lib.scala 649:35] + wire _T_746 = ~twos_comp_in[23]; // @[lib.scala 649:40] + wire _T_748 = _T_744 ? _T_746 : twos_comp_in[23]; // @[lib.scala 649:23] + wire _T_750 = |twos_comp_in[23:0]; // @[lib.scala 649:35] + wire _T_752 = ~twos_comp_in[24]; // @[lib.scala 649:40] + wire _T_754 = _T_750 ? _T_752 : twos_comp_in[24]; // @[lib.scala 649:23] + wire _T_756 = |twos_comp_in[24:0]; // @[lib.scala 649:35] + wire _T_758 = ~twos_comp_in[25]; // @[lib.scala 649:40] + wire _T_760 = _T_756 ? _T_758 : twos_comp_in[25]; // @[lib.scala 649:23] + wire _T_762 = |twos_comp_in[25:0]; // @[lib.scala 649:35] + wire _T_764 = ~twos_comp_in[26]; // @[lib.scala 649:40] + wire _T_766 = _T_762 ? _T_764 : twos_comp_in[26]; // @[lib.scala 649:23] + wire _T_768 = |twos_comp_in[26:0]; // @[lib.scala 649:35] + wire _T_770 = ~twos_comp_in[27]; // @[lib.scala 649:40] + wire _T_772 = _T_768 ? _T_770 : twos_comp_in[27]; // @[lib.scala 649:23] + wire _T_774 = |twos_comp_in[27:0]; // @[lib.scala 649:35] + wire _T_776 = ~twos_comp_in[28]; // @[lib.scala 649:40] + wire _T_778 = _T_774 ? _T_776 : twos_comp_in[28]; // @[lib.scala 649:23] + wire _T_780 = |twos_comp_in[28:0]; // @[lib.scala 649:35] + wire _T_782 = ~twos_comp_in[29]; // @[lib.scala 649:40] + wire _T_784 = _T_780 ? _T_782 : twos_comp_in[29]; // @[lib.scala 649:23] + wire _T_786 = |twos_comp_in[29:0]; // @[lib.scala 649:35] + wire _T_788 = ~twos_comp_in[30]; // @[lib.scala 649:40] + wire _T_790 = _T_786 ? _T_788 : twos_comp_in[30]; // @[lib.scala 649:23] + wire _T_792 = |twos_comp_in[30:0]; // @[lib.scala 649:35] + wire _T_794 = ~twos_comp_in[31]; // @[lib.scala 649:40] + wire _T_796 = _T_792 ? _T_794 : twos_comp_in[31]; // @[lib.scala 649:23] + wire [6:0] _T_802 = {_T_652,_T_646,_T_640,_T_634,_T_628,_T_622,_T_616}; // @[lib.scala 651:14] + wire [14:0] _T_810 = {_T_700,_T_694,_T_688,_T_682,_T_676,_T_670,_T_664,_T_658,_T_802}; // @[lib.scala 651:14] + wire [7:0] _T_817 = {_T_748,_T_742,_T_736,_T_730,_T_724,_T_718,_T_712,_T_706}; // @[lib.scala 651:14] + wire [30:0] _T_826 = {_T_796,_T_790,_T_784,_T_778,_T_772,_T_766,_T_760,_T_754,_T_817,_T_810}; // @[lib.scala 651:14] + wire [31:0] twos_comp_out = {_T_826,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire _T_828 = ~a_shift; // @[exu_div_ctl.scala 847:6] + wire _T_830 = _T_828 & _T_66; // @[exu_div_ctl.scala 847:15] + wire [31:0] _T_834 = {a_ff[27:0],4'h0}; // @[Cat.scala 29:58] + wire [64:0] ar_shifted = _T_71[64:0]; // @[exu_div_ctl.scala 793:28] + wire [31:0] _T_836 = _T_830 ? io_dividend_in : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_837 = a_shift ? _T_834 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_838 = shortq_enable_ff ? ar_shifted[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_839 = _T_836 | _T_837; // @[Mux.scala 27:72] + wire [31:0] a_in = _T_839 | _T_838; // @[Mux.scala 27:72] + wire _T_841 = ~b_twos_comp; // @[exu_div_ctl.scala 852:5] + wire _T_843 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 852:63] + wire [32:0] _T_845 = {_T_843,io_divisor_in}; // @[Cat.scala 29:58] + wire _T_846 = ~control_ff[1]; // @[exu_div_ctl.scala 853:50] + wire [32:0] _T_848 = {_T_846,_T_826,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire [32:0] _T_849 = _T_841 ? _T_845 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_850 = b_twos_comp ? _T_848 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] b_in = _T_849 | _T_850; // @[Mux.scala 27:72] + wire [32:0] _T_855 = {r_ff[28:0],a_ff[31:28]}; // @[Cat.scala 29:58] + wire [32:0] _T_873 = {1'h0,a_ff}; // @[Cat.scala 29:58] + wire [32:0] _T_874 = r_sign_sel ? 33'h1ffffffff : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_875 = r_adder_sel_0 ? _T_855 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_876 = r_adder_sel_1 ? adder1_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_877 = r_adder_sel_2 ? adder2_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_878 = r_adder_sel_3 ? adder3_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_879 = r_adder_sel_4 ? _T_191[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_880 = r_adder_sel_5 ? adder5_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_881 = r_adder_sel_6 ? _T_195[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_882 = r_adder_sel_7 ? adder7_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_883 = r_adder_sel_8 ? _T_291[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_884 = r_adder_sel_9 ? adder9_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_885 = r_adder_sel_10 ? _T_239[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_886 = r_adder_sel_11 ? adder11_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_887 = r_adder_sel_12 ? _T_295[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_888 = r_adder_sel_13 ? adder13_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_889 = r_adder_sel_14 ? _T_299[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_890 = r_adder_sel_15 ? adder15_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_891 = shortq_enable_ff ? ar_shifted[64:32] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_892 = by_zero_case ? _T_873 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_893 = _T_874 | _T_875; // @[Mux.scala 27:72] + wire [32:0] _T_894 = _T_893 | _T_876; // @[Mux.scala 27:72] + wire [32:0] _T_895 = _T_894 | _T_877; // @[Mux.scala 27:72] + wire [32:0] _T_896 = _T_895 | _T_878; // @[Mux.scala 27:72] + wire [32:0] _T_897 = _T_896 | _T_879; // @[Mux.scala 27:72] + wire [32:0] _T_898 = _T_897 | _T_880; // @[Mux.scala 27:72] + wire [32:0] _T_899 = _T_898 | _T_881; // @[Mux.scala 27:72] + wire [32:0] _T_900 = _T_899 | _T_882; // @[Mux.scala 27:72] + wire [32:0] _T_901 = _T_900 | _T_883; // @[Mux.scala 27:72] + wire [32:0] _T_902 = _T_901 | _T_884; // @[Mux.scala 27:72] + wire [32:0] _T_903 = _T_902 | _T_885; // @[Mux.scala 27:72] + wire [32:0] _T_904 = _T_903 | _T_886; // @[Mux.scala 27:72] + wire [32:0] _T_905 = _T_904 | _T_887; // @[Mux.scala 27:72] + wire [32:0] _T_906 = _T_905 | _T_888; // @[Mux.scala 27:72] + wire [32:0] _T_907 = _T_906 | _T_889; // @[Mux.scala 27:72] + wire [32:0] _T_908 = _T_907 | _T_890; // @[Mux.scala 27:72] + wire [32:0] _T_909 = _T_908 | _T_891; // @[Mux.scala 27:72] + wire [32:0] r_in = _T_909 | _T_892; // @[Mux.scala 27:72] + wire [31:0] _T_913 = {q_ff[27:0],_T_486,_T_517,_T_549,_T_602}; // @[Cat.scala 29:58] + wire _T_936 = ~b_ff[3]; // @[exu_div_ctl.scala 889:70] + wire _T_938 = ~b_ff[2]; // @[exu_div_ctl.scala 889:70] + wire _T_941 = _T_936 & _T_938; // @[exu_div_ctl.scala 889:95] + wire _T_940 = ~b_ff[1]; // @[exu_div_ctl.scala 889:70] + wire _T_942 = _T_941 & _T_940; // @[exu_div_ctl.scala 889:95] + wire _T_943 = a_ff[3] & _T_942; // @[exu_div_ctl.scala 890:11] + wire _T_950 = a_ff[3] & _T_941; // @[exu_div_ctl.scala 890:11] + wire _T_952 = ~b_ff[0]; // @[exu_div_ctl.scala 895:33] + wire _T_953 = _T_950 & _T_952; // @[exu_div_ctl.scala 895:31] + wire _T_963 = a_ff[2] & _T_942; // @[exu_div_ctl.scala 890:11] + wire _T_964 = _T_953 | _T_963; // @[exu_div_ctl.scala 895:42] + wire _T_967 = a_ff[3] & a_ff[2]; // @[exu_div_ctl.scala 888:95] + wire _T_973 = _T_967 & _T_941; // @[exu_div_ctl.scala 890:11] + wire _T_974 = _T_964 | _T_973; // @[exu_div_ctl.scala 895:75] + wire _T_981 = a_ff[2] & _T_941; // @[exu_div_ctl.scala 890:11] + wire _T_984 = _T_981 & _T_952; // @[exu_div_ctl.scala 897:31] + wire _T_994 = a_ff[1] & _T_942; // @[exu_div_ctl.scala 890:11] + wire _T_995 = _T_984 | _T_994; // @[exu_div_ctl.scala 897:42] + wire _T_1001 = _T_936 & _T_940; // @[exu_div_ctl.scala 889:95] + wire _T_1002 = a_ff[3] & _T_1001; // @[exu_div_ctl.scala 890:11] + wire _T_1005 = _T_1002 & _T_952; // @[exu_div_ctl.scala 897:106] + wire _T_1006 = _T_995 | _T_1005; // @[exu_div_ctl.scala 897:78] + wire _T_1009 = ~a_ff[2]; // @[exu_div_ctl.scala 888:70] + wire _T_1010 = a_ff[3] & _T_1009; // @[exu_div_ctl.scala 888:95] + wire _T_1018 = _T_941 & b_ff[1]; // @[exu_div_ctl.scala 889:95] + wire _T_1019 = _T_1018 & b_ff[0]; // @[exu_div_ctl.scala 889:95] + wire _T_1020 = _T_1010 & _T_1019; // @[exu_div_ctl.scala 890:11] + wire _T_1021 = _T_1006 | _T_1020; // @[exu_div_ctl.scala 897:117] + wire _T_1023 = ~a_ff[3]; // @[exu_div_ctl.scala 888:70] + wire _T_1026 = _T_1023 & a_ff[2]; // @[exu_div_ctl.scala 888:95] + wire _T_1027 = _T_1026 & a_ff[1]; // @[exu_div_ctl.scala 888:95] + wire _T_1033 = _T_1027 & _T_941; // @[exu_div_ctl.scala 890:11] + wire _T_1034 = _T_1021 | _T_1033; // @[exu_div_ctl.scala 898:44] + wire _T_1040 = _T_967 & _T_936; // @[exu_div_ctl.scala 890:11] + wire _T_1043 = _T_1040 & _T_952; // @[exu_div_ctl.scala 898:107] + wire _T_1044 = _T_1034 | _T_1043; // @[exu_div_ctl.scala 898:80] + wire _T_1053 = _T_936 & b_ff[2]; // @[exu_div_ctl.scala 889:95] + wire _T_1054 = _T_1053 & _T_940; // @[exu_div_ctl.scala 889:95] + wire _T_1055 = _T_967 & _T_1054; // @[exu_div_ctl.scala 890:11] + wire _T_1056 = _T_1044 | _T_1055; // @[exu_div_ctl.scala 898:119] + wire _T_1059 = a_ff[3] & a_ff[1]; // @[exu_div_ctl.scala 888:95] + wire _T_1065 = _T_1059 & _T_1001; // @[exu_div_ctl.scala 890:11] + wire _T_1066 = _T_1056 | _T_1065; // @[exu_div_ctl.scala 899:44] + wire _T_1071 = _T_967 & a_ff[1]; // @[exu_div_ctl.scala 888:95] + wire _T_1076 = _T_1071 & _T_1053; // @[exu_div_ctl.scala 890:11] + wire _T_1077 = _T_1066 | _T_1076; // @[exu_div_ctl.scala 899:79] + wire _T_1081 = a_ff[2] & a_ff[1]; // @[exu_div_ctl.scala 888:95] + wire _T_1082 = _T_1081 & a_ff[0]; // @[exu_div_ctl.scala 888:95] + wire _T_1088 = _T_1082 & _T_1001; // @[exu_div_ctl.scala 890:11] + wire _T_1094 = _T_1010 & a_ff[0]; // @[exu_div_ctl.scala 888:95] + wire _T_1099 = _T_936 & b_ff[1]; // @[exu_div_ctl.scala 889:95] + wire _T_1100 = _T_1099 & b_ff[0]; // @[exu_div_ctl.scala 889:95] + wire _T_1101 = _T_1094 & _T_1100; // @[exu_div_ctl.scala 890:11] + wire _T_1102 = _T_1088 | _T_1101; // @[exu_div_ctl.scala 901:45] + wire _T_1109 = a_ff[2] & _T_1001; // @[exu_div_ctl.scala 890:11] + wire _T_1112 = _T_1109 & _T_952; // @[exu_div_ctl.scala 901:114] + wire _T_1113 = _T_1102 | _T_1112; // @[exu_div_ctl.scala 901:86] + wire _T_1120 = a_ff[1] & _T_941; // @[exu_div_ctl.scala 890:11] + wire _T_1123 = _T_1120 & _T_952; // @[exu_div_ctl.scala 902:33] + wire _T_1124 = _T_1113 | _T_1123; // @[exu_div_ctl.scala 901:129] + wire _T_1134 = a_ff[0] & _T_942; // @[exu_div_ctl.scala 890:11] + wire _T_1135 = _T_1124 | _T_1134; // @[exu_div_ctl.scala 902:47] + wire _T_1140 = ~a_ff[1]; // @[exu_div_ctl.scala 888:70] + wire _T_1142 = _T_1026 & _T_1140; // @[exu_div_ctl.scala 888:95] + wire _T_1152 = _T_1142 & _T_1019; // @[exu_div_ctl.scala 890:11] + wire _T_1153 = _T_1135 | _T_1152; // @[exu_div_ctl.scala 902:88] + wire _T_1162 = _T_1027 & _T_936; // @[exu_div_ctl.scala 890:11] + wire _T_1165 = _T_1162 & _T_952; // @[exu_div_ctl.scala 903:36] + wire _T_1166 = _T_1153 | _T_1165; // @[exu_div_ctl.scala 902:131] + wire _T_1172 = _T_938 & _T_940; // @[exu_div_ctl.scala 889:95] + wire _T_1173 = a_ff[3] & _T_1172; // @[exu_div_ctl.scala 890:11] + wire _T_1176 = _T_1173 & _T_952; // @[exu_div_ctl.scala 903:76] + wire _T_1177 = _T_1166 | _T_1176; // @[exu_div_ctl.scala 903:47] + wire _T_1187 = _T_1053 & b_ff[1]; // @[exu_div_ctl.scala 889:95] + wire _T_1188 = _T_1010 & _T_1187; // @[exu_div_ctl.scala 890:11] + wire _T_1189 = _T_1177 | _T_1188; // @[exu_div_ctl.scala 903:88] + wire _T_1203 = _T_1027 & _T_1054; // @[exu_div_ctl.scala 890:11] + wire _T_1204 = _T_1189 | _T_1203; // @[exu_div_ctl.scala 903:131] + wire _T_1210 = _T_1026 & a_ff[0]; // @[exu_div_ctl.scala 888:95] + wire _T_1216 = _T_1210 & _T_1001; // @[exu_div_ctl.scala 890:11] + wire _T_1217 = _T_1204 | _T_1216; // @[exu_div_ctl.scala 904:47] + wire _T_1224 = _T_1010 & _T_1140; // @[exu_div_ctl.scala 888:95] + wire _T_1230 = _T_1053 & b_ff[0]; // @[exu_div_ctl.scala 889:95] + wire _T_1231 = _T_1224 & _T_1230; // @[exu_div_ctl.scala 890:11] + wire _T_1232 = _T_1217 | _T_1231; // @[exu_div_ctl.scala 904:88] + wire _T_1237 = _T_1009 & a_ff[1]; // @[exu_div_ctl.scala 888:95] + wire _T_1238 = _T_1237 & a_ff[0]; // @[exu_div_ctl.scala 888:95] + wire _T_1244 = _T_1238 & _T_941; // @[exu_div_ctl.scala 890:11] + wire _T_1245 = _T_1232 | _T_1244; // @[exu_div_ctl.scala 904:131] + wire _T_1251 = _T_967 & _T_940; // @[exu_div_ctl.scala 890:11] + wire _T_1254 = _T_1251 & _T_952; // @[exu_div_ctl.scala 905:75] + wire _T_1255 = _T_1245 | _T_1254; // @[exu_div_ctl.scala 905:47] + wire _T_1263 = _T_1027 & a_ff[0]; // @[exu_div_ctl.scala 888:95] + wire _T_1268 = _T_1263 & _T_1053; // @[exu_div_ctl.scala 890:11] + wire _T_1269 = _T_1255 | _T_1268; // @[exu_div_ctl.scala 905:88] + wire _T_1276 = b_ff[3] & _T_938; // @[exu_div_ctl.scala 889:95] + wire _T_1277 = _T_967 & _T_1276; // @[exu_div_ctl.scala 890:11] + wire _T_1278 = _T_1269 | _T_1277; // @[exu_div_ctl.scala 905:131] + wire _T_1288 = _T_1276 & _T_940; // @[exu_div_ctl.scala 889:95] + wire _T_1289 = _T_1059 & _T_1288; // @[exu_div_ctl.scala 890:11] + wire _T_1290 = _T_1278 | _T_1289; // @[exu_div_ctl.scala 906:47] + wire _T_1293 = a_ff[3] & a_ff[0]; // @[exu_div_ctl.scala 888:95] + wire _T_1299 = _T_1293 & _T_1172; // @[exu_div_ctl.scala 890:11] + wire _T_1300 = _T_1290 | _T_1299; // @[exu_div_ctl.scala 906:88] + wire _T_1304 = a_ff[3] & _T_1140; // @[exu_div_ctl.scala 888:95] + wire _T_1312 = _T_1187 & b_ff[0]; // @[exu_div_ctl.scala 889:95] + wire _T_1313 = _T_1304 & _T_1312; // @[exu_div_ctl.scala 890:11] + wire _T_1314 = _T_1300 | _T_1313; // @[exu_div_ctl.scala 906:131] + wire _T_1321 = _T_1071 & b_ff[3]; // @[exu_div_ctl.scala 890:11] + wire _T_1324 = _T_1321 & _T_952; // @[exu_div_ctl.scala 907:77] + wire _T_1325 = _T_1314 | _T_1324; // @[exu_div_ctl.scala 907:47] + wire _T_1334 = b_ff[3] & _T_940; // @[exu_div_ctl.scala 889:95] + wire _T_1335 = _T_1071 & _T_1334; // @[exu_div_ctl.scala 890:11] + wire _T_1336 = _T_1325 | _T_1335; // @[exu_div_ctl.scala 907:88] + wire _T_1341 = _T_967 & a_ff[0]; // @[exu_div_ctl.scala 888:95] + wire _T_1346 = _T_1341 & _T_1334; // @[exu_div_ctl.scala 890:11] + wire _T_1347 = _T_1336 | _T_1346; // @[exu_div_ctl.scala 907:131] + wire _T_1353 = _T_1010 & a_ff[1]; // @[exu_div_ctl.scala 888:95] + wire _T_1358 = _T_1353 & _T_1099; // @[exu_div_ctl.scala 890:11] + wire _T_1359 = _T_1347 | _T_1358; // @[exu_div_ctl.scala 908:47] + wire _T_1364 = _T_1059 & a_ff[0]; // @[exu_div_ctl.scala 888:95] + wire _T_1367 = _T_1364 & _T_938; // @[exu_div_ctl.scala 890:11] + wire _T_1368 = _T_1359 | _T_1367; // @[exu_div_ctl.scala 908:88] + wire _T_1375 = _T_1071 & a_ff[0]; // @[exu_div_ctl.scala 888:95] + wire _T_1377 = _T_1375 & b_ff[3]; // @[exu_div_ctl.scala 890:11] + wire _T_1378 = _T_1368 | _T_1377; // @[exu_div_ctl.scala 908:131] + wire _T_1384 = _T_1059 & _T_938; // @[exu_div_ctl.scala 890:11] + wire _T_1387 = _T_1384 & _T_952; // @[exu_div_ctl.scala 909:74] + wire _T_1388 = _T_1378 | _T_1387; // @[exu_div_ctl.scala 909:47] + wire [31:0] _T_914 = {28'h0,_T_943,_T_974,_T_1077,_T_1388}; // @[Cat.scala 29:58] + wire [31:0] _T_916 = _T_76 ? _T_913 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_917 = smallnum_case ? _T_914 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_918 = by_zero_case ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_919 = _T_916 | _T_917; // @[Mux.scala 27:72] + wire [31:0] q_in = _T_919 | _T_918; // @[Mux.scala 27:72] + wire _T_924 = ~twos_comp_q_sel; // @[exu_div_ctl.scala 883:16] + wire _T_925 = _T_30 & _T_924; // @[exu_div_ctl.scala 883:14] + wire [31:0] _T_928 = _T_925 ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_929 = control_ff[0] ? r_ff[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_930 = twos_comp_q_sel ? twos_comp_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_931 = _T_928 | _T_929; // @[Mux.scala 27:72] + wire _T_1415 = shortq == 6'h1b; // @[exu_div_ctl.scala 925:64] + wire _T_1416 = shortq == 6'h1a; // @[exu_div_ctl.scala 925:64] + wire _T_1417 = shortq == 6'h19; // @[exu_div_ctl.scala 925:64] + wire _T_1418 = shortq == 6'h18; // @[exu_div_ctl.scala 925:64] + wire _T_1419 = shortq == 6'h17; // @[exu_div_ctl.scala 925:64] + wire _T_1420 = shortq == 6'h16; // @[exu_div_ctl.scala 925:64] + wire _T_1421 = shortq == 6'h15; // @[exu_div_ctl.scala 925:64] + wire _T_1422 = shortq == 6'h14; // @[exu_div_ctl.scala 925:64] + wire _T_1423 = shortq == 6'h13; // @[exu_div_ctl.scala 925:64] + wire _T_1424 = shortq == 6'h12; // @[exu_div_ctl.scala 925:64] + wire _T_1425 = shortq == 6'h11; // @[exu_div_ctl.scala 925:64] + wire _T_1426 = shortq == 6'h10; // @[exu_div_ctl.scala 925:64] + wire _T_1427 = shortq == 6'hf; // @[exu_div_ctl.scala 925:64] + wire _T_1428 = shortq == 6'he; // @[exu_div_ctl.scala 925:64] + wire _T_1429 = shortq == 6'hd; // @[exu_div_ctl.scala 925:64] + wire _T_1430 = shortq == 6'hc; // @[exu_div_ctl.scala 925:64] + wire _T_1431 = shortq == 6'hb; // @[exu_div_ctl.scala 925:64] + wire _T_1432 = shortq == 6'ha; // @[exu_div_ctl.scala 925:64] + wire _T_1433 = shortq == 6'h9; // @[exu_div_ctl.scala 925:64] + wire _T_1434 = shortq == 6'h8; // @[exu_div_ctl.scala 925:64] + wire _T_1435 = shortq == 6'h7; // @[exu_div_ctl.scala 925:64] + wire _T_1436 = shortq == 6'h6; // @[exu_div_ctl.scala 925:64] + wire _T_1437 = shortq == 6'h5; // @[exu_div_ctl.scala 925:64] + wire _T_1438 = shortq == 6'h4; // @[exu_div_ctl.scala 925:64] + wire _T_1439 = shortq == 6'h3; // @[exu_div_ctl.scala 925:64] + wire _T_1440 = shortq == 6'h2; // @[exu_div_ctl.scala 925:64] + wire _T_1441 = shortq == 6'h1; // @[exu_div_ctl.scala 925:64] + wire _T_1442 = shortq == 6'h0; // @[exu_div_ctl.scala 925:64] + wire [2:0] _T_1447 = _T_1415 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1448 = _T_1416 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1449 = _T_1417 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1450 = _T_1418 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1451 = _T_1419 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1452 = _T_1420 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1453 = _T_1421 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1454 = _T_1422 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1455 = _T_1423 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1456 = _T_1424 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1457 = _T_1425 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1458 = _T_1426 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1459 = _T_1427 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1460 = _T_1428 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1461 = _T_1429 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1462 = _T_1430 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1463 = _T_1431 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1464 = _T_1432 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1465 = _T_1433 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1466 = _T_1434 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1467 = _T_1435 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1468 = _T_1436 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1469 = _T_1437 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1470 = _T_1438 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1471 = _T_1439 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1472 = _T_1440 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1473 = _T_1441 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1474 = _T_1442 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1479 = _T_1447 | _T_1448; // @[Mux.scala 27:72] + wire [2:0] _T_1480 = _T_1479 | _T_1449; // @[Mux.scala 27:72] + wire [2:0] _T_1481 = _T_1480 | _T_1450; // @[Mux.scala 27:72] + wire [3:0] _GEN_12 = {{1'd0}, _T_1481}; // @[Mux.scala 27:72] + wire [3:0] _T_1482 = _GEN_12 | _T_1451; // @[Mux.scala 27:72] + wire [3:0] _T_1483 = _T_1482 | _T_1452; // @[Mux.scala 27:72] + wire [3:0] _T_1484 = _T_1483 | _T_1453; // @[Mux.scala 27:72] + wire [3:0] _T_1485 = _T_1484 | _T_1454; // @[Mux.scala 27:72] + wire [3:0] _T_1486 = _T_1485 | _T_1455; // @[Mux.scala 27:72] + wire [3:0] _T_1487 = _T_1486 | _T_1456; // @[Mux.scala 27:72] + wire [3:0] _T_1488 = _T_1487 | _T_1457; // @[Mux.scala 27:72] + wire [3:0] _T_1489 = _T_1488 | _T_1458; // @[Mux.scala 27:72] + wire [4:0] _GEN_13 = {{1'd0}, _T_1489}; // @[Mux.scala 27:72] + wire [4:0] _T_1490 = _GEN_13 | _T_1459; // @[Mux.scala 27:72] + wire [4:0] _T_1491 = _T_1490 | _T_1460; // @[Mux.scala 27:72] + wire [4:0] _T_1492 = _T_1491 | _T_1461; // @[Mux.scala 27:72] + wire [4:0] _T_1493 = _T_1492 | _T_1462; // @[Mux.scala 27:72] + wire [4:0] _T_1494 = _T_1493 | _T_1463; // @[Mux.scala 27:72] + wire [4:0] _T_1495 = _T_1494 | _T_1464; // @[Mux.scala 27:72] + wire [4:0] _T_1496 = _T_1495 | _T_1465; // @[Mux.scala 27:72] + wire [4:0] _T_1497 = _T_1496 | _T_1466; // @[Mux.scala 27:72] + wire [4:0] _T_1498 = _T_1497 | _T_1467; // @[Mux.scala 27:72] + wire [4:0] _T_1499 = _T_1498 | _T_1468; // @[Mux.scala 27:72] + wire [4:0] _T_1500 = _T_1499 | _T_1469; // @[Mux.scala 27:72] + wire [4:0] _T_1501 = _T_1500 | _T_1470; // @[Mux.scala 27:72] + wire [4:0] _T_1502 = _T_1501 | _T_1471; // @[Mux.scala 27:72] + wire [4:0] _T_1503 = _T_1502 | _T_1472; // @[Mux.scala 27:72] + wire [4:0] _T_1504 = _T_1503 | _T_1473; // @[Mux.scala 27:72] + wire [4:0] shortq_decode = _T_1504 | _T_1474; // @[Mux.scala 27:72] + exu_div_cls a_enc ( // @[exu_div_ctl.scala 913:31] + .io_operand(a_enc_io_operand), + .io_cls(a_enc_io_cls) + ); + exu_div_cls b_enc ( // @[exu_div_ctl.scala 916:31] + .io_operand(b_enc_io_operand), + .io_cls(b_enc_io_cls) + ); + rvclkhdr rvclkhdr ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en) + ); + assign io_data_out = _T_931 | _T_930; // @[exu_div_ctl.scala 882:15] + assign io_valid_out = finish_ff & _T; // @[exu_div_ctl.scala 881:16] + assign a_enc_io_operand = {control_ff[2],a_ff}; // @[exu_div_ctl.scala 914:23] + assign b_enc_io_operand = b_ff[32:0]; // @[exu_div_ctl.scala 917:23] + assign rvclkhdr_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_io_en = _T_47 | finish_ff; // @[lib.scala 402:17] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_1_io_en = _T_47 | finish_ff; // @[lib.scala 402:17] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_2_io_en = _T_47 | finish_ff; // @[lib.scala 402:17] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_3_io_en = _T_47 | finish_ff; // @[lib.scala 402:17] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_4_io_en = _T_47 | finish_ff; // @[lib.scala 402:17] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_5_io_en = _T_47 | finish_ff; // @[lib.scala 402:17] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_6_io_en = _T_47 | finish_ff; // @[lib.scala 402:17] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_7_io_en = io_valid_in | running_state; // @[lib.scala 402:17] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_8_io_en = io_valid_in | b_twos_comp; // @[lib.scala 402:17] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_9_io_en = _T_45 | running_state; // @[lib.scala 402:17] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_10_io_en = _T_45 | running_state; // @[lib.scala 402:17] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + control_ff = _RAND_0[2:0]; + _RAND_1 = {2{`RANDOM}}; + b_ff1 = _RAND_1[32:0]; + _RAND_2 = {1{`RANDOM}}; + valid_ff = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + a_ff = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + count_ff = _RAND_4[6:0]; + _RAND_5 = {1{`RANDOM}}; + shortq_enable_ff = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + finish_ff = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + shortq_shift_ff = _RAND_7[4:0]; + _RAND_8 = {1{`RANDOM}}; + by_zero_case_ff = _RAND_8[0:0]; + _RAND_9 = {2{`RANDOM}}; + r_ff = _RAND_9[32:0]; + _RAND_10 = {1{`RANDOM}}; + q_ff = _RAND_10[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + control_ff = 3'h0; + end + if (reset) begin + b_ff1 = 33'h0; + end + if (reset) begin + valid_ff = 1'h0; + end + if (reset) begin + a_ff = 32'h0; + end + if (reset) begin + count_ff = 7'h0; + end + if (reset) begin + shortq_enable_ff = 1'h0; + end + if (reset) begin + finish_ff = 1'h0; + end + if (reset) begin + shortq_shift_ff = 5'h0; + end + if (reset) begin + by_zero_case_ff = 1'h0; + end + if (reset) begin + r_ff = 33'h0; + end + if (reset) begin + q_ff = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + control_ff <= 3'h0; + end else if (misc_enable) begin + control_ff <= control_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + b_ff1 <= 33'h0; + end else if (b_enable) begin + b_ff1 <= b_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + valid_ff <= 1'h0; + end else if (misc_enable) begin + valid_ff <= valid_ff_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + a_ff <= 32'h0; + end else if (a_enable) begin + a_ff <= a_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + count_ff <= 7'h0; + end else if (misc_enable) begin + count_ff <= count_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + shortq_enable_ff <= 1'h0; + end else if (misc_enable) begin + shortq_enable_ff <= shortq_enable; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + finish_ff <= 1'h0; + end else if (misc_enable) begin + finish_ff <= finish; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + shortq_shift_ff <= 5'h0; + end else if (misc_enable) begin + if (_T_58) begin + shortq_shift_ff <= 5'h0; + end else begin + shortq_shift_ff <= shortq_decode; + end + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + by_zero_case_ff <= 1'h0; + end else if (misc_enable) begin + by_zero_case_ff <= by_zero_case; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + r_ff <= 33'h0; + end else if (rq_enable) begin + r_ff <= r_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + q_ff <= 32'h0; + end else if (rq_enable) begin + q_ff <= q_in; + end + end +endmodule +module exu_div_ctl( + input clock, + input reset, + input [31:0] io_dividend, + input [31:0] io_divisor, + output [31:0] io_exu_div_result, + output io_exu_div_wren, + input io_dec_div_div_p_valid, + input io_dec_div_div_p_bits_unsign, + input io_dec_div_div_p_bits_rem, + input io_dec_div_dec_div_cancel +); + wire exu_div_new_4bit_fullshortq_clock; // @[exu_div_ctl.scala 71:30] + wire exu_div_new_4bit_fullshortq_reset; // @[exu_div_ctl.scala 71:30] + wire exu_div_new_4bit_fullshortq_io_cancel; // @[exu_div_ctl.scala 71:30] + wire exu_div_new_4bit_fullshortq_io_valid_in; // @[exu_div_ctl.scala 71:30] + wire exu_div_new_4bit_fullshortq_io_signed_in; // @[exu_div_ctl.scala 71:30] + wire exu_div_new_4bit_fullshortq_io_rem_in; // @[exu_div_ctl.scala 71:30] + wire [31:0] exu_div_new_4bit_fullshortq_io_dividend_in; // @[exu_div_ctl.scala 71:30] + wire [31:0] exu_div_new_4bit_fullshortq_io_divisor_in; // @[exu_div_ctl.scala 71:30] + wire [31:0] exu_div_new_4bit_fullshortq_io_data_out; // @[exu_div_ctl.scala 71:30] + wire exu_div_new_4bit_fullshortq_io_valid_out; // @[exu_div_ctl.scala 71:30] + wire [31:0] _T_1 = io_exu_div_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] out_raw = exu_div_new_4bit_fullshortq_io_data_out; // @[exu_div_ctl.scala 79:29] + exu_div_new_4bit_fullshortq exu_div_new_4bit_fullshortq ( // @[exu_div_ctl.scala 71:30] + .clock(exu_div_new_4bit_fullshortq_clock), + .reset(exu_div_new_4bit_fullshortq_reset), + .io_cancel(exu_div_new_4bit_fullshortq_io_cancel), + .io_valid_in(exu_div_new_4bit_fullshortq_io_valid_in), + .io_signed_in(exu_div_new_4bit_fullshortq_io_signed_in), + .io_rem_in(exu_div_new_4bit_fullshortq_io_rem_in), + .io_dividend_in(exu_div_new_4bit_fullshortq_io_dividend_in), + .io_divisor_in(exu_div_new_4bit_fullshortq_io_divisor_in), + .io_data_out(exu_div_new_4bit_fullshortq_io_data_out), + .io_valid_out(exu_div_new_4bit_fullshortq_io_valid_out) + ); + assign io_exu_div_result = _T_1 & out_raw; // @[exu_div_ctl.scala 21:21] + assign io_exu_div_wren = exu_div_new_4bit_fullshortq_io_valid_out; // @[exu_div_ctl.scala 80:29] + assign exu_div_new_4bit_fullshortq_clock = clock; + assign exu_div_new_4bit_fullshortq_reset = reset; + assign exu_div_new_4bit_fullshortq_io_cancel = io_dec_div_dec_div_cancel; // @[exu_div_ctl.scala 73:34] + assign exu_div_new_4bit_fullshortq_io_valid_in = io_dec_div_div_p_valid; // @[exu_div_ctl.scala 74:34] + assign exu_div_new_4bit_fullshortq_io_signed_in = ~io_dec_div_div_p_bits_unsign; // @[exu_div_ctl.scala 75:34] + assign exu_div_new_4bit_fullshortq_io_rem_in = io_dec_div_div_p_bits_rem; // @[exu_div_ctl.scala 76:34] + assign exu_div_new_4bit_fullshortq_io_dividend_in = io_dividend; // @[exu_div_ctl.scala 77:34] + assign exu_div_new_4bit_fullshortq_io_divisor_in = io_divisor; // @[exu_div_ctl.scala 78:34] +endmodule +module exu( + input clock, + input reset, + input io_scan_mode, + input io_dec_exu_dec_alu_dec_i0_alu_decode_d, + input io_dec_exu_dec_alu_dec_csr_ren_d, + input [31:0] io_dec_exu_dec_alu_dec_csr_rddata_d, + input [11:0] io_dec_exu_dec_alu_dec_i0_br_immed_d, + output [30:0] io_dec_exu_dec_alu_exu_i0_pc_x, + input io_dec_exu_dec_div_div_p_valid, + input io_dec_exu_dec_div_div_p_bits_unsign, + input io_dec_exu_dec_div_div_p_bits_rem, + input io_dec_exu_dec_div_dec_div_cancel, + input [1:0] io_dec_exu_decode_exu_dec_data_en, + input [1:0] io_dec_exu_decode_exu_dec_ctl_en, + input io_dec_exu_decode_exu_i0_ap_clz, + input io_dec_exu_decode_exu_i0_ap_ctz, + input io_dec_exu_decode_exu_i0_ap_pcnt, + input io_dec_exu_decode_exu_i0_ap_sext_b, + input io_dec_exu_decode_exu_i0_ap_sext_h, + input io_dec_exu_decode_exu_i0_ap_slo, + input io_dec_exu_decode_exu_i0_ap_sro, + input io_dec_exu_decode_exu_i0_ap_min, + input io_dec_exu_decode_exu_i0_ap_max, + input io_dec_exu_decode_exu_i0_ap_pack, + input io_dec_exu_decode_exu_i0_ap_packu, + input io_dec_exu_decode_exu_i0_ap_packh, + input io_dec_exu_decode_exu_i0_ap_rol, + input io_dec_exu_decode_exu_i0_ap_ror, + input io_dec_exu_decode_exu_i0_ap_grev, + input io_dec_exu_decode_exu_i0_ap_gorc, + input io_dec_exu_decode_exu_i0_ap_zbb, + input io_dec_exu_decode_exu_i0_ap_sbset, + input io_dec_exu_decode_exu_i0_ap_sbclr, + input io_dec_exu_decode_exu_i0_ap_sbinv, + input io_dec_exu_decode_exu_i0_ap_sbext, + input io_dec_exu_decode_exu_i0_ap_sh1add, + input io_dec_exu_decode_exu_i0_ap_sh2add, + input io_dec_exu_decode_exu_i0_ap_sh3add, + input io_dec_exu_decode_exu_i0_ap_zba, + input io_dec_exu_decode_exu_i0_ap_land, + input io_dec_exu_decode_exu_i0_ap_lor, + input io_dec_exu_decode_exu_i0_ap_lxor, + input io_dec_exu_decode_exu_i0_ap_sll, + input io_dec_exu_decode_exu_i0_ap_srl, + input io_dec_exu_decode_exu_i0_ap_sra, + input io_dec_exu_decode_exu_i0_ap_beq, + input io_dec_exu_decode_exu_i0_ap_bne, + input io_dec_exu_decode_exu_i0_ap_blt, + input io_dec_exu_decode_exu_i0_ap_bge, + input io_dec_exu_decode_exu_i0_ap_add, + input io_dec_exu_decode_exu_i0_ap_sub, + input io_dec_exu_decode_exu_i0_ap_slt, + input io_dec_exu_decode_exu_i0_ap_unsign, + input io_dec_exu_decode_exu_i0_ap_jal, + input io_dec_exu_decode_exu_i0_ap_predict_t, + input io_dec_exu_decode_exu_i0_ap_predict_nt, + input io_dec_exu_decode_exu_i0_ap_csr_write, + input io_dec_exu_decode_exu_i0_ap_csr_imm, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_valid, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_misp, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_ataken, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_boffset, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4, + input [1:0] io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist, + input [11:0] io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret, + input [30:0] io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett, + input [7:0] io_dec_exu_decode_exu_i0_predict_fghr_d, + input [7:0] io_dec_exu_decode_exu_i0_predict_index_d, + input [4:0] io_dec_exu_decode_exu_i0_predict_btag_d, + input io_dec_exu_decode_exu_dec_i0_rs1_en_d, + input io_dec_exu_decode_exu_dec_i0_branch_d, + input io_dec_exu_decode_exu_dec_i0_rs2_en_d, + input [31:0] io_dec_exu_decode_exu_dec_i0_immed_d, + input [31:0] io_dec_exu_decode_exu_dec_i0_result_r, + input io_dec_exu_decode_exu_dec_i0_select_pc_d, + input [3:0] io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d, + input [3:0] io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d, + input io_dec_exu_decode_exu_mul_p_valid, + input io_dec_exu_decode_exu_mul_p_bits_rs1_sign, + input io_dec_exu_decode_exu_mul_p_bits_rs2_sign, + input io_dec_exu_decode_exu_mul_p_bits_low, + input io_dec_exu_decode_exu_mul_p_bits_bext, + input io_dec_exu_decode_exu_mul_p_bits_bdep, + input io_dec_exu_decode_exu_mul_p_bits_clmul, + input io_dec_exu_decode_exu_mul_p_bits_clmulh, + input io_dec_exu_decode_exu_mul_p_bits_clmulr, + input io_dec_exu_decode_exu_mul_p_bits_grev, + input io_dec_exu_decode_exu_mul_p_bits_gorc, + input io_dec_exu_decode_exu_mul_p_bits_shfl, + input io_dec_exu_decode_exu_mul_p_bits_unshfl, + input io_dec_exu_decode_exu_mul_p_bits_crc32_b, + input io_dec_exu_decode_exu_mul_p_bits_crc32_h, + input io_dec_exu_decode_exu_mul_p_bits_crc32_w, + input io_dec_exu_decode_exu_mul_p_bits_crc32c_b, + input io_dec_exu_decode_exu_mul_p_bits_crc32c_h, + input io_dec_exu_decode_exu_mul_p_bits_crc32c_w, + input io_dec_exu_decode_exu_mul_p_bits_bfp, + input [30:0] io_dec_exu_decode_exu_pred_correct_npc_x, + input io_dec_exu_decode_exu_dec_extint_stall, + output [31:0] io_dec_exu_decode_exu_exu_i0_result_x, + output [31:0] io_dec_exu_decode_exu_exu_csr_rs1_x, + input [29:0] io_dec_exu_tlu_exu_dec_tlu_meihap, + input io_dec_exu_tlu_exu_dec_tlu_flush_lower_r, + input [30:0] io_dec_exu_tlu_exu_dec_tlu_flush_path_r, + output [1:0] io_dec_exu_tlu_exu_exu_i0_br_hist_r, + output io_dec_exu_tlu_exu_exu_i0_br_error_r, + output io_dec_exu_tlu_exu_exu_i0_br_start_error_r, + output [7:0] io_dec_exu_tlu_exu_exu_i0_br_index_r, + output io_dec_exu_tlu_exu_exu_i0_br_valid_r, + output io_dec_exu_tlu_exu_exu_i0_br_mp_r, + output io_dec_exu_tlu_exu_exu_i0_br_middle_r, + output io_dec_exu_tlu_exu_exu_pmu_i0_br_misp, + output io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken, + output io_dec_exu_tlu_exu_exu_pmu_i0_pc4, + output [30:0] io_dec_exu_tlu_exu_exu_npc_r, + input [30:0] io_dec_exu_ib_exu_dec_i0_pc_d, + input io_dec_exu_ib_exu_dec_debug_wdata_rs1_d, + input [31:0] io_dec_exu_gpr_exu_gpr_i0_rs1_d, + input [31:0] io_dec_exu_gpr_exu_gpr_i0_rs2_d, + output [7:0] io_exu_bp_exu_i0_br_index_r, + output [7:0] io_exu_bp_exu_i0_br_fghr_r, + output io_exu_bp_exu_i0_br_way_r, + output io_exu_bp_exu_mp_pkt_valid, + output io_exu_bp_exu_mp_pkt_bits_misp, + output io_exu_bp_exu_mp_pkt_bits_ataken, + output io_exu_bp_exu_mp_pkt_bits_boffset, + output io_exu_bp_exu_mp_pkt_bits_pc4, + output [1:0] io_exu_bp_exu_mp_pkt_bits_hist, + output [11:0] io_exu_bp_exu_mp_pkt_bits_toffset, + output io_exu_bp_exu_mp_pkt_bits_br_error, + output io_exu_bp_exu_mp_pkt_bits_br_start_error, + output io_exu_bp_exu_mp_pkt_bits_pcall, + output io_exu_bp_exu_mp_pkt_bits_pja, + output io_exu_bp_exu_mp_pkt_bits_way, + output io_exu_bp_exu_mp_pkt_bits_pret, + output [30:0] io_exu_bp_exu_mp_pkt_bits_prett, + output [7:0] io_exu_bp_exu_mp_eghr, + output [7:0] io_exu_bp_exu_mp_fghr, + output [7:0] io_exu_bp_exu_mp_index, + output [4:0] io_exu_bp_exu_mp_btag, + output io_exu_flush_final, + output [31:0] io_exu_div_result, + output io_exu_div_wren, + input [31:0] io_dbg_cmd_wrdata, + output [31:0] io_lsu_exu_exu_lsu_rs1_d, + output [31:0] io_lsu_exu_exu_lsu_rs2_d, + input [31:0] io_lsu_exu_lsu_result_m, + input [31:0] io_lsu_exu_lsu_nonblock_load_data, + output [30:0] io_exu_flush_path_final, + input io_dec_qual_lsu_d +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_io_en; // @[lib.scala 399:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_1_io_en; // @[lib.scala 399:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_2_io_en; // @[lib.scala 399:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_3_io_en; // @[lib.scala 399:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_4_io_en; // @[lib.scala 399:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_5_io_en; // @[lib.scala 399:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_6_io_en; // @[lib.scala 399:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_7_io_en; // @[lib.scala 399:23] + wire i_alu_clock; // @[exu.scala 129:19] + wire i_alu_reset; // @[exu.scala 129:19] + wire i_alu_io_dec_alu_dec_i0_alu_decode_d; // @[exu.scala 129:19] + wire i_alu_io_dec_alu_dec_csr_ren_d; // @[exu.scala 129:19] + wire [31:0] i_alu_io_dec_alu_dec_csr_rddata_d; // @[exu.scala 129:19] + wire [11:0] i_alu_io_dec_alu_dec_i0_br_immed_d; // @[exu.scala 129:19] + wire [30:0] i_alu_io_dec_alu_exu_i0_pc_x; // @[exu.scala 129:19] + wire [30:0] i_alu_io_dec_i0_pc_d; // @[exu.scala 129:19] + wire i_alu_io_flush_upper_x; // @[exu.scala 129:19] + wire i_alu_io_dec_tlu_flush_lower_r; // @[exu.scala 129:19] + wire i_alu_io_enable; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_clz; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_ctz; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_pcnt; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_sext_b; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_sext_h; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_min; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_max; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_pack; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_packu; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_packh; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_rol; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_ror; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_grev; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_gorc; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_zbb; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_sbset; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_sbclr; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_sbinv; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_sbext; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_land; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_lor; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_lxor; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_sll; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_srl; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_sra; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_beq; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_bne; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_blt; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_bge; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_add; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_sub; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_slt; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_unsign; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_jal; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_predict_t; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_predict_nt; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_csr_write; // @[exu.scala 129:19] + wire i_alu_io_i0_ap_csr_imm; // @[exu.scala 129:19] + wire [31:0] i_alu_io_a_in; // @[exu.scala 129:19] + wire [31:0] i_alu_io_b_in; // @[exu.scala 129:19] + wire i_alu_io_pp_in_valid; // @[exu.scala 129:19] + wire i_alu_io_pp_in_bits_boffset; // @[exu.scala 129:19] + wire i_alu_io_pp_in_bits_pc4; // @[exu.scala 129:19] + wire [1:0] i_alu_io_pp_in_bits_hist; // @[exu.scala 129:19] + wire [11:0] i_alu_io_pp_in_bits_toffset; // @[exu.scala 129:19] + wire i_alu_io_pp_in_bits_br_error; // @[exu.scala 129:19] + wire i_alu_io_pp_in_bits_br_start_error; // @[exu.scala 129:19] + wire i_alu_io_pp_in_bits_pcall; // @[exu.scala 129:19] + wire i_alu_io_pp_in_bits_pja; // @[exu.scala 129:19] + wire i_alu_io_pp_in_bits_way; // @[exu.scala 129:19] + wire i_alu_io_pp_in_bits_pret; // @[exu.scala 129:19] + wire [30:0] i_alu_io_pp_in_bits_prett; // @[exu.scala 129:19] + wire [31:0] i_alu_io_result_ff; // @[exu.scala 129:19] + wire i_alu_io_flush_upper_out; // @[exu.scala 129:19] + wire i_alu_io_flush_final_out; // @[exu.scala 129:19] + wire [30:0] i_alu_io_flush_path_out; // @[exu.scala 129:19] + wire i_alu_io_pred_correct_out; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_valid; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_bits_misp; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_bits_ataken; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_bits_boffset; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_bits_pc4; // @[exu.scala 129:19] + wire [1:0] i_alu_io_predict_p_out_bits_hist; // @[exu.scala 129:19] + wire [11:0] i_alu_io_predict_p_out_bits_toffset; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_bits_br_error; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_bits_br_start_error; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_bits_pcall; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_bits_pja; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_bits_way; // @[exu.scala 129:19] + wire i_alu_io_predict_p_out_bits_pret; // @[exu.scala 129:19] + wire i_mul_clock; // @[exu.scala 147:21] + wire i_mul_reset; // @[exu.scala 147:21] + wire i_mul_io_mul_p_valid; // @[exu.scala 147:21] + wire i_mul_io_mul_p_bits_rs1_sign; // @[exu.scala 147:21] + wire i_mul_io_mul_p_bits_rs2_sign; // @[exu.scala 147:21] + wire i_mul_io_mul_p_bits_low; // @[exu.scala 147:21] + wire [31:0] i_mul_io_rs1_in; // @[exu.scala 147:21] + wire [31:0] i_mul_io_rs2_in; // @[exu.scala 147:21] + wire [31:0] i_mul_io_result_x; // @[exu.scala 147:21] + wire i_div_clock; // @[exu.scala 154:21] + wire i_div_reset; // @[exu.scala 154:21] + wire [31:0] i_div_io_dividend; // @[exu.scala 154:21] + wire [31:0] i_div_io_divisor; // @[exu.scala 154:21] + wire [31:0] i_div_io_exu_div_result; // @[exu.scala 154:21] + wire i_div_io_exu_div_wren; // @[exu.scala 154:21] + wire i_div_io_dec_div_div_p_valid; // @[exu.scala 154:21] + wire i_div_io_dec_div_div_p_bits_unsign; // @[exu.scala 154:21] + wire i_div_io_dec_div_div_p_bits_rem; // @[exu.scala 154:21] + wire i_div_io_dec_div_dec_div_cancel; // @[exu.scala 154:21] + wire x_data_en = io_dec_exu_decode_exu_dec_data_en[1]; // @[exu.scala 54:69] + wire x_data_en_q1 = x_data_en & io_dec_exu_dec_alu_dec_csr_ren_d; // @[exu.scala 55:73] + wire x_data_en_q2 = x_data_en & io_dec_exu_decode_exu_dec_i0_branch_d; // @[exu.scala 56:73] + wire r_data_en = io_dec_exu_decode_exu_dec_data_en[0]; // @[exu.scala 57:69] + reg i0_branch_x; // @[Reg.scala 27:20] + wire r_data_en_q2 = r_data_en & i0_branch_x; // @[exu.scala 58:73] + wire x_ctl_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[exu.scala 59:68] + wire r_ctl_en = io_dec_exu_decode_exu_dec_ctl_en[0]; // @[exu.scala 60:68] + wire [20:0] predpipe_d = {io_dec_exu_decode_exu_i0_predict_fghr_d,io_dec_exu_decode_exu_i0_predict_index_d,io_dec_exu_decode_exu_i0_predict_btag_d}; // @[Cat.scala 29:58] + reg [30:0] i0_flush_path_x; // @[Reg.scala 27:20] + wire [30:0] i0_flush_path_d = i_alu_io_flush_path_out; // @[exu.scala 41:53 exu.scala 142:45] + reg i0_predict_p_x_valid; // @[Reg.scala 27:20] + reg i0_predict_p_x_bits_misp; // @[Reg.scala 27:20] + reg i0_predict_p_x_bits_ataken; // @[Reg.scala 27:20] + reg i0_predict_p_x_bits_boffset; // @[Reg.scala 27:20] + reg i0_predict_p_x_bits_pc4; // @[Reg.scala 27:20] + reg [1:0] i0_predict_p_x_bits_hist; // @[Reg.scala 27:20] + reg [11:0] i0_predict_p_x_bits_toffset; // @[Reg.scala 27:20] + reg i0_predict_p_x_bits_br_error; // @[Reg.scala 27:20] + reg i0_predict_p_x_bits_br_start_error; // @[Reg.scala 27:20] + reg i0_predict_p_x_bits_pcall; // @[Reg.scala 27:20] + reg i0_predict_p_x_bits_pja; // @[Reg.scala 27:20] + reg i0_predict_p_x_bits_way; // @[Reg.scala 27:20] + reg i0_predict_p_x_bits_pret; // @[Reg.scala 27:20] + wire i0_predict_p_d_bits_pret = i_alu_io_predict_p_out_bits_pret; // @[exu.scala 42:53 exu.scala 144:45] + wire i0_predict_p_d_bits_way = i_alu_io_predict_p_out_bits_way; // @[exu.scala 42:53 exu.scala 144:45] + wire i0_predict_p_d_bits_pja = i_alu_io_predict_p_out_bits_pja; // @[exu.scala 42:53 exu.scala 144:45] + wire i0_predict_p_d_bits_pcall = i_alu_io_predict_p_out_bits_pcall; // @[exu.scala 42:53 exu.scala 144:45] + wire i0_predict_p_d_bits_br_start_error = i_alu_io_predict_p_out_bits_br_start_error; // @[exu.scala 42:53 exu.scala 144:45] + wire i0_predict_p_d_bits_br_error = i_alu_io_predict_p_out_bits_br_error; // @[exu.scala 42:53 exu.scala 144:45] + wire [11:0] i0_predict_p_d_bits_toffset = i_alu_io_predict_p_out_bits_toffset; // @[exu.scala 42:53 exu.scala 144:45] + wire [1:0] i0_predict_p_d_bits_hist = i_alu_io_predict_p_out_bits_hist; // @[exu.scala 42:53 exu.scala 144:45] + wire i0_predict_p_d_bits_pc4 = i_alu_io_predict_p_out_bits_pc4; // @[exu.scala 42:53 exu.scala 144:45] + wire i0_predict_p_d_bits_boffset = i_alu_io_predict_p_out_bits_boffset; // @[exu.scala 42:53 exu.scala 144:45] + wire i0_predict_p_d_bits_ataken = i_alu_io_predict_p_out_bits_ataken; // @[exu.scala 42:53 exu.scala 144:45] + wire i0_predict_p_d_bits_misp = i_alu_io_predict_p_out_bits_misp; // @[exu.scala 42:53 exu.scala 144:45] + wire i0_predict_p_d_valid = i_alu_io_predict_p_out_valid; // @[exu.scala 42:53 exu.scala 144:45] + reg [20:0] predpipe_x; // @[Reg.scala 27:20] + reg [20:0] predpipe_r; // @[Reg.scala 27:20] + reg [7:0] ghr_x; // @[Reg.scala 27:20] + reg i0_valid_x; // @[Reg.scala 27:20] + reg i0_taken_x; // @[Reg.scala 27:20] + wire [7:0] _T_191 = {ghr_x[6:0],i0_taken_x}; // @[Cat.scala 29:58] + reg i0_pred_correct_upper_x; // @[Reg.scala 27:20] + wire i0_pred_correct_upper_d = i_alu_io_pred_correct_out; // @[exu.scala 47:41 exu.scala 145:27] + reg i0_flush_upper_x; // @[Reg.scala 27:20] + wire i0_flush_upper_d = i_alu_io_flush_upper_out; // @[exu.scala 48:45 exu.scala 141:35] + wire i0_taken_d = i0_predict_p_d_bits_ataken & io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[exu.scala 172:59] + wire _T_169 = i0_predict_p_d_valid & io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[exu.scala 171:54] + wire _T_170 = ~io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[exu.scala 171:97] + wire i0_valid_d = _T_169 & _T_170; // @[exu.scala 171:95] + reg i0_pp_r_valid; // @[Reg.scala 27:20] + reg i0_pp_r_bits_misp; // @[Reg.scala 27:20] + reg i0_pp_r_bits_ataken; // @[Reg.scala 27:20] + reg i0_pp_r_bits_boffset; // @[Reg.scala 27:20] + reg i0_pp_r_bits_pc4; // @[Reg.scala 27:20] + reg [1:0] i0_pp_r_bits_hist; // @[Reg.scala 27:20] + reg i0_pp_r_bits_br_error; // @[Reg.scala 27:20] + reg i0_pp_r_bits_br_start_error; // @[Reg.scala 27:20] + reg i0_pp_r_bits_way; // @[Reg.scala 27:20] + reg [5:0] pred_temp1; // @[Reg.scala 27:20] + reg i0_pred_correct_upper_r; // @[Reg.scala 27:20] + reg [30:0] i0_flush_path_upper_r; // @[Reg.scala 27:20] + reg [24:0] pred_temp2; // @[Reg.scala 27:20] + wire [30:0] _T_31 = {pred_temp2,pred_temp1}; // @[Cat.scala 29:58] + wire _T_174 = _T_170 & i0_valid_d; // @[exu.scala 178:50] + reg [7:0] ghr_d; // @[Reg.scala 27:20] + wire [7:0] _T_177 = {ghr_d[6:0],i0_taken_d}; // @[Cat.scala 29:58] + wire [7:0] _T_183 = _T_174 ? _T_177 : 8'h0; // @[Mux.scala 27:72] + wire _T_179 = ~i0_valid_d; // @[exu.scala 179:52] + wire _T_180 = _T_170 & _T_179; // @[exu.scala 179:50] + wire [7:0] _T_184 = _T_180 ? ghr_d : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_186 = _T_183 | _T_184; // @[Mux.scala 27:72] + wire [7:0] _T_185 = io_dec_exu_tlu_exu_dec_tlu_flush_lower_r ? ghr_x : 8'h0; // @[Mux.scala 27:72] + wire [7:0] ghr_d_ns = _T_186 | _T_185; // @[Mux.scala 27:72] + wire [7:0] _T_33 = ghr_d_ns ^ ghr_d; // @[lib.scala 436:21] + wire _T_34 = |_T_33; // @[lib.scala 436:29] + reg mul_valid_x; // @[Reg.scala 27:20] + wire _T_37 = io_dec_exu_decode_exu_mul_p_valid ^ mul_valid_x; // @[lib.scala 458:21] + wire _T_38 = |_T_37; // @[lib.scala 458:29] + wire _T_41 = io_dec_exu_decode_exu_dec_i0_branch_d ^ i0_branch_x; // @[lib.scala 436:21] + wire _T_42 = |_T_41; // @[lib.scala 436:29] + wire _T_46 = io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[0] | io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[1]; // @[exu.scala 82:84] + wire _T_48 = _T_46 | io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[2]; // @[exu.scala 82:134] + wire i0_rs1_bypass_en_d = _T_48 | io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[3]; // @[exu.scala 82:184] + wire _T_52 = io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[0] | io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[1]; // @[exu.scala 83:84] + wire _T_54 = _T_52 | io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[2]; // @[exu.scala 83:134] + wire i0_rs2_bypass_en_d = _T_54 | io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[3]; // @[exu.scala 83:184] + wire [31:0] _T_64 = io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[0] ? io_dec_exu_decode_exu_dec_i0_result_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_65 = io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[1] ? io_lsu_exu_lsu_result_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_66 = io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[2] ? io_dec_exu_decode_exu_exu_i0_result_x : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_67 = io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[3] ? io_lsu_exu_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_68 = _T_64 | _T_65; // @[Mux.scala 27:72] + wire [31:0] _T_69 = _T_68 | _T_66; // @[Mux.scala 27:72] + wire [31:0] i0_rs1_bypass_data_d = _T_69 | _T_67; // @[Mux.scala 27:72] + wire [31:0] _T_79 = io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[0] ? io_dec_exu_decode_exu_dec_i0_result_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_80 = io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[1] ? io_lsu_exu_lsu_result_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_81 = io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[2] ? io_dec_exu_decode_exu_exu_i0_result_x : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_82 = io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[3] ? io_lsu_exu_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_83 = _T_79 | _T_80; // @[Mux.scala 27:72] + wire [31:0] _T_84 = _T_83 | _T_81; // @[Mux.scala 27:72] + wire [31:0] i0_rs2_bypass_data_d = _T_84 | _T_82; // @[Mux.scala 27:72] + wire _T_87 = ~i0_rs1_bypass_en_d; // @[exu.scala 100:6] + wire _T_88 = _T_87 & io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[exu.scala 100:26] + wire [31:0] _T_90 = {io_dec_exu_ib_exu_dec_i0_pc_d,1'h0}; // @[Cat.scala 29:58] + wire _T_92 = _T_87 & io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[exu.scala 101:26] + wire _T_95 = ~io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[exu.scala 102:28] + wire _T_96 = _T_87 & _T_95; // @[exu.scala 102:26] + wire _T_97 = _T_96 & io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[exu.scala 102:69] + wire [31:0] _T_99 = i0_rs1_bypass_en_d ? i0_rs1_bypass_data_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_100 = _T_88 ? _T_90 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_101 = _T_92 ? io_dbg_cmd_wrdata : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_102 = _T_97 ? io_dec_exu_gpr_exu_gpr_i0_rs1_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_103 = _T_99 | _T_100; // @[Mux.scala 27:72] + wire [31:0] _T_104 = _T_103 | _T_101; // @[Mux.scala 27:72] + wire [31:0] i0_rs1_d = _T_104 | _T_102; // @[Mux.scala 27:72] + reg [31:0] _T_107; // @[Reg.scala 27:20] + wire _T_108 = ~i0_rs2_bypass_en_d; // @[exu.scala 107:6] + wire _T_109 = _T_108 & io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[exu.scala 107:26] + wire [31:0] _T_114 = _T_109 ? io_dec_exu_gpr_exu_gpr_i0_rs2_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_115 = _T_108 ? io_dec_exu_decode_exu_dec_i0_immed_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_116 = i0_rs2_bypass_en_d ? i0_rs2_bypass_data_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_117 = _T_114 | _T_115; // @[Mux.scala 27:72] + wire [31:0] _T_118 = _T_117 | _T_116; // @[Mux.scala 27:72] + wire _T_120 = ~io_dec_exu_decode_exu_dec_extint_stall; // @[exu.scala 114:28] + wire _T_121 = _T_87 & _T_120; // @[exu.scala 114:26] + wire _T_122 = _T_121 & io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[exu.scala 114:68] + wire _T_123 = _T_122 & io_dec_qual_lsu_d; // @[exu.scala 114:108] + wire _T_126 = i0_rs1_bypass_en_d & _T_120; // @[exu.scala 115:25] + wire _T_127 = _T_126 & io_dec_qual_lsu_d; // @[exu.scala 115:67] + wire _T_129 = io_dec_exu_decode_exu_dec_extint_stall & io_dec_qual_lsu_d; // @[exu.scala 116:45] + wire [31:0] _T_131 = {io_dec_exu_tlu_exu_dec_tlu_meihap,2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_132 = _T_123 ? io_dec_exu_gpr_exu_gpr_i0_rs1_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_133 = _T_127 ? i0_rs1_bypass_data_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_134 = _T_129 ? _T_131 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_135 = _T_132 | _T_133; // @[Mux.scala 27:72] + wire _T_140 = _T_108 & _T_120; // @[exu.scala 120:26] + wire _T_141 = _T_140 & io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[exu.scala 120:68] + wire _T_142 = _T_141 & io_dec_qual_lsu_d; // @[exu.scala 120:108] + wire _T_145 = i0_rs2_bypass_en_d & _T_120; // @[exu.scala 121:25] + wire _T_146 = _T_145 & io_dec_qual_lsu_d; // @[exu.scala 121:67] + wire [31:0] _T_148 = _T_142 ? io_dec_exu_gpr_exu_gpr_i0_rs2_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_149 = _T_146 ? i0_rs2_bypass_data_d : 32'h0; // @[Mux.scala 27:72] + wire _T_153 = _T_87 & io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[exu.scala 125:26] + wire [31:0] _T_156 = _T_153 ? io_dec_exu_gpr_exu_gpr_i0_rs1_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] muldiv_rs1_d = _T_156 | _T_99; // @[Mux.scala 27:72] + wire [31:0] _T_161 = io_dec_exu_decode_exu_mul_p_valid ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] i0_rs2_d = _T_118; // @[Mux.scala 27:72 Mux.scala 27:72] + wire [1:0] _T_194 = i0_pp_r_valid ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [20:0] final_predpipe_mp = i0_flush_upper_x ? predpipe_x : 21'h0; // @[exu.scala 197:48] + wire _T_206 = i0_flush_upper_x & _T_170; // @[exu.scala 199:75] + wire _T_214 = _T_170 & i0_flush_upper_d; // @[exu.scala 238:48] + wire [30:0] _T_216 = io_dec_exu_tlu_exu_dec_tlu_flush_lower_r ? io_dec_exu_tlu_exu_dec_tlu_flush_path_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_217 = _T_214 ? i0_flush_path_d : 31'h0; // @[Mux.scala 27:72] + wire [31:0] pred_correct_npc_r = {{1'd0}, _T_31}; // @[exu.scala 46:51 exu.scala 77:45] + wire [31:0] _T_221 = i0_pred_correct_upper_r ? pred_correct_npc_r : {{1'd0}, i0_flush_path_upper_r}; // @[exu.scala 240:55] + rvclkhdr rvclkhdr ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en) + ); + exu_alu_ctl i_alu ( // @[exu.scala 129:19] + .clock(i_alu_clock), + .reset(i_alu_reset), + .io_dec_alu_dec_i0_alu_decode_d(i_alu_io_dec_alu_dec_i0_alu_decode_d), + .io_dec_alu_dec_csr_ren_d(i_alu_io_dec_alu_dec_csr_ren_d), + .io_dec_alu_dec_csr_rddata_d(i_alu_io_dec_alu_dec_csr_rddata_d), + .io_dec_alu_dec_i0_br_immed_d(i_alu_io_dec_alu_dec_i0_br_immed_d), + .io_dec_alu_exu_i0_pc_x(i_alu_io_dec_alu_exu_i0_pc_x), + .io_dec_i0_pc_d(i_alu_io_dec_i0_pc_d), + .io_flush_upper_x(i_alu_io_flush_upper_x), + .io_dec_tlu_flush_lower_r(i_alu_io_dec_tlu_flush_lower_r), + .io_enable(i_alu_io_enable), + .io_i0_ap_clz(i_alu_io_i0_ap_clz), + .io_i0_ap_ctz(i_alu_io_i0_ap_ctz), + .io_i0_ap_pcnt(i_alu_io_i0_ap_pcnt), + .io_i0_ap_sext_b(i_alu_io_i0_ap_sext_b), + .io_i0_ap_sext_h(i_alu_io_i0_ap_sext_h), + .io_i0_ap_min(i_alu_io_i0_ap_min), + .io_i0_ap_max(i_alu_io_i0_ap_max), + .io_i0_ap_pack(i_alu_io_i0_ap_pack), + .io_i0_ap_packu(i_alu_io_i0_ap_packu), + .io_i0_ap_packh(i_alu_io_i0_ap_packh), + .io_i0_ap_rol(i_alu_io_i0_ap_rol), + .io_i0_ap_ror(i_alu_io_i0_ap_ror), + .io_i0_ap_grev(i_alu_io_i0_ap_grev), + .io_i0_ap_gorc(i_alu_io_i0_ap_gorc), + .io_i0_ap_zbb(i_alu_io_i0_ap_zbb), + .io_i0_ap_sbset(i_alu_io_i0_ap_sbset), + .io_i0_ap_sbclr(i_alu_io_i0_ap_sbclr), + .io_i0_ap_sbinv(i_alu_io_i0_ap_sbinv), + .io_i0_ap_sbext(i_alu_io_i0_ap_sbext), + .io_i0_ap_land(i_alu_io_i0_ap_land), + .io_i0_ap_lor(i_alu_io_i0_ap_lor), + .io_i0_ap_lxor(i_alu_io_i0_ap_lxor), + .io_i0_ap_sll(i_alu_io_i0_ap_sll), + .io_i0_ap_srl(i_alu_io_i0_ap_srl), + .io_i0_ap_sra(i_alu_io_i0_ap_sra), + .io_i0_ap_beq(i_alu_io_i0_ap_beq), + .io_i0_ap_bne(i_alu_io_i0_ap_bne), + .io_i0_ap_blt(i_alu_io_i0_ap_blt), + .io_i0_ap_bge(i_alu_io_i0_ap_bge), + .io_i0_ap_add(i_alu_io_i0_ap_add), + .io_i0_ap_sub(i_alu_io_i0_ap_sub), + .io_i0_ap_slt(i_alu_io_i0_ap_slt), + .io_i0_ap_unsign(i_alu_io_i0_ap_unsign), + .io_i0_ap_jal(i_alu_io_i0_ap_jal), + .io_i0_ap_predict_t(i_alu_io_i0_ap_predict_t), + .io_i0_ap_predict_nt(i_alu_io_i0_ap_predict_nt), + .io_i0_ap_csr_write(i_alu_io_i0_ap_csr_write), + .io_i0_ap_csr_imm(i_alu_io_i0_ap_csr_imm), + .io_a_in(i_alu_io_a_in), + .io_b_in(i_alu_io_b_in), + .io_pp_in_valid(i_alu_io_pp_in_valid), + .io_pp_in_bits_boffset(i_alu_io_pp_in_bits_boffset), + .io_pp_in_bits_pc4(i_alu_io_pp_in_bits_pc4), + .io_pp_in_bits_hist(i_alu_io_pp_in_bits_hist), + .io_pp_in_bits_toffset(i_alu_io_pp_in_bits_toffset), + .io_pp_in_bits_br_error(i_alu_io_pp_in_bits_br_error), + .io_pp_in_bits_br_start_error(i_alu_io_pp_in_bits_br_start_error), + .io_pp_in_bits_pcall(i_alu_io_pp_in_bits_pcall), + .io_pp_in_bits_pja(i_alu_io_pp_in_bits_pja), + .io_pp_in_bits_way(i_alu_io_pp_in_bits_way), + .io_pp_in_bits_pret(i_alu_io_pp_in_bits_pret), + .io_pp_in_bits_prett(i_alu_io_pp_in_bits_prett), + .io_result_ff(i_alu_io_result_ff), + .io_flush_upper_out(i_alu_io_flush_upper_out), + .io_flush_final_out(i_alu_io_flush_final_out), + .io_flush_path_out(i_alu_io_flush_path_out), + .io_pred_correct_out(i_alu_io_pred_correct_out), + .io_predict_p_out_valid(i_alu_io_predict_p_out_valid), + .io_predict_p_out_bits_misp(i_alu_io_predict_p_out_bits_misp), + .io_predict_p_out_bits_ataken(i_alu_io_predict_p_out_bits_ataken), + .io_predict_p_out_bits_boffset(i_alu_io_predict_p_out_bits_boffset), + .io_predict_p_out_bits_pc4(i_alu_io_predict_p_out_bits_pc4), + .io_predict_p_out_bits_hist(i_alu_io_predict_p_out_bits_hist), + .io_predict_p_out_bits_toffset(i_alu_io_predict_p_out_bits_toffset), + .io_predict_p_out_bits_br_error(i_alu_io_predict_p_out_bits_br_error), + .io_predict_p_out_bits_br_start_error(i_alu_io_predict_p_out_bits_br_start_error), + .io_predict_p_out_bits_pcall(i_alu_io_predict_p_out_bits_pcall), + .io_predict_p_out_bits_pja(i_alu_io_predict_p_out_bits_pja), + .io_predict_p_out_bits_way(i_alu_io_predict_p_out_bits_way), + .io_predict_p_out_bits_pret(i_alu_io_predict_p_out_bits_pret) + ); + exu_mul_ctl i_mul ( // @[exu.scala 147:21] + .clock(i_mul_clock), + .reset(i_mul_reset), + .io_mul_p_valid(i_mul_io_mul_p_valid), + .io_mul_p_bits_rs1_sign(i_mul_io_mul_p_bits_rs1_sign), + .io_mul_p_bits_rs2_sign(i_mul_io_mul_p_bits_rs2_sign), + .io_mul_p_bits_low(i_mul_io_mul_p_bits_low), + .io_rs1_in(i_mul_io_rs1_in), + .io_rs2_in(i_mul_io_rs2_in), + .io_result_x(i_mul_io_result_x) + ); + exu_div_ctl i_div ( // @[exu.scala 154:21] + .clock(i_div_clock), + .reset(i_div_reset), + .io_dividend(i_div_io_dividend), + .io_divisor(i_div_io_divisor), + .io_exu_div_result(i_div_io_exu_div_result), + .io_exu_div_wren(i_div_io_exu_div_wren), + .io_dec_div_div_p_valid(i_div_io_dec_div_div_p_valid), + .io_dec_div_div_p_bits_unsign(i_div_io_dec_div_div_p_bits_unsign), + .io_dec_div_div_p_bits_rem(i_div_io_dec_div_div_p_bits_rem), + .io_dec_div_dec_div_cancel(i_div_io_dec_div_dec_div_cancel) + ); + assign io_dec_exu_dec_alu_exu_i0_pc_x = i_alu_io_dec_alu_exu_i0_pc_x; // @[exu.scala 130:20] + assign io_dec_exu_decode_exu_exu_i0_result_x = mul_valid_x ? i_mul_io_result_x : i_alu_io_result_ff; // @[exu.scala 162:57] + assign io_dec_exu_decode_exu_exu_csr_rs1_x = _T_107; // @[exu.scala 104:57] + assign io_dec_exu_tlu_exu_exu_i0_br_hist_r = _T_194 & i0_pp_r_bits_hist; // @[exu.scala 189:43] + assign io_dec_exu_tlu_exu_exu_i0_br_error_r = i0_pp_r_bits_br_error; // @[exu.scala 190:43] + assign io_dec_exu_tlu_exu_exu_i0_br_start_error_r = i0_pp_r_bits_br_start_error; // @[exu.scala 192:48] + assign io_dec_exu_tlu_exu_exu_i0_br_index_r = predpipe_r[12:5]; // @[exu.scala 194:43] + assign io_dec_exu_tlu_exu_exu_i0_br_valid_r = i0_pp_r_valid; // @[exu.scala 186:43] + assign io_dec_exu_tlu_exu_exu_i0_br_mp_r = i0_pp_r_bits_misp; // @[exu.scala 187:43] + assign io_dec_exu_tlu_exu_exu_i0_br_middle_r = i0_pp_r_bits_pc4 ^ i0_pp_r_bits_boffset; // @[exu.scala 191:43] + assign io_dec_exu_tlu_exu_exu_pmu_i0_br_misp = i0_pp_r_bits_misp; // @[exu.scala 166:47] + assign io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken = i0_pp_r_bits_ataken; // @[exu.scala 167:47] + assign io_dec_exu_tlu_exu_exu_pmu_i0_pc4 = i0_pp_r_bits_pc4; // @[exu.scala 168:47] + assign io_dec_exu_tlu_exu_exu_npc_r = _T_221[30:0]; // @[exu.scala 240:49] + assign io_exu_bp_exu_i0_br_index_r = io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[exu.scala 195:43] + assign io_exu_bp_exu_i0_br_fghr_r = predpipe_r[20:13]; // @[exu.scala 193:43] + assign io_exu_bp_exu_i0_br_way_r = i0_pp_r_bits_way; // @[exu.scala 188:43] + assign io_exu_bp_exu_mp_pkt_valid = i0_flush_upper_x & i0_predict_p_x_valid; // @[exu.scala 52:53 exu.scala 201:39] + assign io_exu_bp_exu_mp_pkt_bits_misp = i0_flush_upper_x & i0_predict_p_x_bits_misp; // @[exu.scala 203:39] + assign io_exu_bp_exu_mp_pkt_bits_ataken = i0_flush_upper_x & i0_predict_p_x_bits_ataken; // @[exu.scala 207:39] + assign io_exu_bp_exu_mp_pkt_bits_boffset = i0_flush_upper_x & i0_predict_p_x_bits_boffset; // @[exu.scala 208:39] + assign io_exu_bp_exu_mp_pkt_bits_pc4 = i0_flush_upper_x & i0_predict_p_x_bits_pc4; // @[exu.scala 209:39] + assign io_exu_bp_exu_mp_pkt_bits_hist = i0_flush_upper_x ? i0_predict_p_x_bits_hist : 2'h0; // @[exu.scala 210:39] + assign io_exu_bp_exu_mp_pkt_bits_toffset = i0_flush_upper_x ? i0_predict_p_x_bits_toffset : 12'h0; // @[exu.scala 211:39] + assign io_exu_bp_exu_mp_pkt_bits_br_error = 1'h0; // @[exu.scala 51:39] + assign io_exu_bp_exu_mp_pkt_bits_br_start_error = 1'h0; // @[exu.scala 50:44] + assign io_exu_bp_exu_mp_pkt_bits_pcall = i0_flush_upper_x & i0_predict_p_x_bits_pcall; // @[exu.scala 204:39] + assign io_exu_bp_exu_mp_pkt_bits_pja = i0_flush_upper_x & i0_predict_p_x_bits_pja; // @[exu.scala 205:39] + assign io_exu_bp_exu_mp_pkt_bits_way = i0_flush_upper_x & i0_predict_p_x_bits_way; // @[exu.scala 202:39] + assign io_exu_bp_exu_mp_pkt_bits_pret = i0_flush_upper_x & i0_predict_p_x_bits_pret; // @[exu.scala 206:39] + assign io_exu_bp_exu_mp_pkt_bits_prett = 31'h0; // @[exu.scala 49:57] + assign io_exu_bp_exu_mp_eghr = final_predpipe_mp[20:13]; // @[exu.scala 215:39] + assign io_exu_bp_exu_mp_fghr = _T_206 ? ghr_d : ghr_x; // @[exu.scala 212:39] + assign io_exu_bp_exu_mp_index = final_predpipe_mp[12:5]; // @[exu.scala 213:39] + assign io_exu_bp_exu_mp_btag = final_predpipe_mp[4:0]; // @[exu.scala 214:39] + assign io_exu_flush_final = i_alu_io_flush_final_out; // @[exu.scala 143:27] + assign io_exu_div_result = i_div_io_exu_div_result; // @[exu.scala 160:33] + assign io_exu_div_wren = i_div_io_exu_div_wren; // @[exu.scala 159:41] + assign io_lsu_exu_exu_lsu_rs1_d = _T_135 | _T_134; // @[exu.scala 113:27] + assign io_lsu_exu_exu_lsu_rs2_d = _T_148 | _T_149; // @[exu.scala 119:27] + assign io_exu_flush_path_final = _T_216 | _T_217; // @[exu.scala 236:33] + assign rvclkhdr_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_io_en = x_data_en & io_dec_exu_decode_exu_dec_i0_branch_d; // @[lib.scala 402:17] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_1_io_en = r_data_en & i0_branch_x; // @[lib.scala 402:17] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_2_io_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[lib.scala 402:17] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_3_io_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[lib.scala 402:17] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_4_io_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[lib.scala 402:17] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_5_io_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[lib.scala 402:17] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_6_io_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[lib.scala 402:17] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_7_io_en = x_data_en & io_dec_exu_dec_alu_dec_csr_ren_d; // @[lib.scala 402:17] + assign i_alu_clock = clock; + assign i_alu_reset = reset; + assign i_alu_io_dec_alu_dec_i0_alu_decode_d = io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[exu.scala 130:20] + assign i_alu_io_dec_alu_dec_csr_ren_d = io_dec_exu_dec_alu_dec_csr_ren_d; // @[exu.scala 130:20] + assign i_alu_io_dec_alu_dec_csr_rddata_d = io_dec_exu_dec_alu_dec_csr_rddata_d; // @[exu.scala 130:20] + assign i_alu_io_dec_alu_dec_i0_br_immed_d = io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[exu.scala 130:20] + assign i_alu_io_dec_i0_pc_d = io_dec_exu_ib_exu_dec_i0_pc_d; // @[exu.scala 138:33] + assign i_alu_io_flush_upper_x = i0_flush_upper_x; // @[exu.scala 134:33] + assign i_alu_io_dec_tlu_flush_lower_r = io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[exu.scala 135:41] + assign i_alu_io_enable = io_dec_exu_decode_exu_dec_data_en[1]; // @[exu.scala 132:45] + assign i_alu_io_i0_ap_clz = io_dec_exu_decode_exu_i0_ap_clz; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_ctz = io_dec_exu_decode_exu_i0_ap_ctz; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_pcnt = io_dec_exu_decode_exu_i0_ap_pcnt; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_sext_b = io_dec_exu_decode_exu_i0_ap_sext_b; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_sext_h = io_dec_exu_decode_exu_i0_ap_sext_h; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_min = io_dec_exu_decode_exu_i0_ap_min; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_max = io_dec_exu_decode_exu_i0_ap_max; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_pack = io_dec_exu_decode_exu_i0_ap_pack; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_packu = io_dec_exu_decode_exu_i0_ap_packu; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_packh = io_dec_exu_decode_exu_i0_ap_packh; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_rol = io_dec_exu_decode_exu_i0_ap_rol; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_ror = io_dec_exu_decode_exu_i0_ap_ror; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_grev = io_dec_exu_decode_exu_i0_ap_grev; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_gorc = io_dec_exu_decode_exu_i0_ap_gorc; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_zbb = io_dec_exu_decode_exu_i0_ap_zbb; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_sbset = io_dec_exu_decode_exu_i0_ap_sbset; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_sbclr = io_dec_exu_decode_exu_i0_ap_sbclr; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_sbinv = io_dec_exu_decode_exu_i0_ap_sbinv; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_sbext = io_dec_exu_decode_exu_i0_ap_sbext; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_land = io_dec_exu_decode_exu_i0_ap_land; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_lor = io_dec_exu_decode_exu_i0_ap_lor; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_lxor = io_dec_exu_decode_exu_i0_ap_lxor; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_sll = io_dec_exu_decode_exu_i0_ap_sll; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_srl = io_dec_exu_decode_exu_i0_ap_srl; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_sra = io_dec_exu_decode_exu_i0_ap_sra; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_beq = io_dec_exu_decode_exu_i0_ap_beq; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_bne = io_dec_exu_decode_exu_i0_ap_bne; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_blt = io_dec_exu_decode_exu_i0_ap_blt; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_bge = io_dec_exu_decode_exu_i0_ap_bge; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_add = io_dec_exu_decode_exu_i0_ap_add; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_sub = io_dec_exu_decode_exu_i0_ap_sub; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_slt = io_dec_exu_decode_exu_i0_ap_slt; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_unsign = io_dec_exu_decode_exu_i0_ap_unsign; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_jal = io_dec_exu_decode_exu_i0_ap_jal; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_predict_t = io_dec_exu_decode_exu_i0_ap_predict_t; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_predict_nt = io_dec_exu_decode_exu_i0_ap_predict_nt; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_csr_write = io_dec_exu_decode_exu_i0_ap_csr_write; // @[exu.scala 139:51] + assign i_alu_io_i0_ap_csr_imm = io_dec_exu_decode_exu_i0_ap_csr_imm; // @[exu.scala 139:51] + assign i_alu_io_a_in = _T_104 | _T_102; // @[exu.scala 136:39] + assign i_alu_io_b_in = i0_rs2_d; // @[exu.scala 137:39] + assign i_alu_io_pp_in_valid = io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_boffset = io_dec_exu_ib_exu_dec_i0_pc_d[0]; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_pc4 = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_hist = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_toffset = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_br_error = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_br_start_error = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_pcall = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_pja = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_way = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_pret = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[exu.scala 133:45] + assign i_alu_io_pp_in_bits_prett = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[exu.scala 133:45] + assign i_mul_clock = clock; + assign i_mul_reset = reset; + assign i_mul_io_mul_p_valid = io_dec_exu_decode_exu_mul_p_valid; // @[exu.scala 149:41] + assign i_mul_io_mul_p_bits_rs1_sign = io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[exu.scala 149:41] + assign i_mul_io_mul_p_bits_rs2_sign = io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[exu.scala 149:41] + assign i_mul_io_mul_p_bits_low = io_dec_exu_decode_exu_mul_p_bits_low; // @[exu.scala 149:41] + assign i_mul_io_rs1_in = muldiv_rs1_d & _T_161; // @[exu.scala 150:41] + assign i_mul_io_rs2_in = i0_rs2_d & _T_161; // @[exu.scala 151:41] + assign i_div_clock = clock; + assign i_div_reset = reset; + assign i_div_io_dividend = _T_156 | _T_99; // @[exu.scala 157:33] + assign i_div_io_divisor = i0_rs2_d; // @[exu.scala 158:33] + assign i_div_io_dec_div_div_p_valid = io_dec_exu_dec_div_div_p_valid; // @[exu.scala 155:20] + assign i_div_io_dec_div_div_p_bits_unsign = io_dec_exu_dec_div_div_p_bits_unsign; // @[exu.scala 155:20] + assign i_div_io_dec_div_div_p_bits_rem = io_dec_exu_dec_div_div_p_bits_rem; // @[exu.scala 155:20] + assign i_div_io_dec_div_dec_div_cancel = io_dec_exu_dec_div_dec_div_cancel; // @[exu.scala 155:20] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + i0_branch_x = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + i0_flush_path_x = _RAND_1[30:0]; + _RAND_2 = {1{`RANDOM}}; + i0_predict_p_x_valid = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + i0_predict_p_x_bits_misp = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + i0_predict_p_x_bits_ataken = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + i0_predict_p_x_bits_boffset = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + i0_predict_p_x_bits_pc4 = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + i0_predict_p_x_bits_hist = _RAND_7[1:0]; + _RAND_8 = {1{`RANDOM}}; + i0_predict_p_x_bits_toffset = _RAND_8[11:0]; + _RAND_9 = {1{`RANDOM}}; + i0_predict_p_x_bits_br_error = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + i0_predict_p_x_bits_br_start_error = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + i0_predict_p_x_bits_pcall = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + i0_predict_p_x_bits_pja = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + i0_predict_p_x_bits_way = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + i0_predict_p_x_bits_pret = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + predpipe_x = _RAND_15[20:0]; + _RAND_16 = {1{`RANDOM}}; + predpipe_r = _RAND_16[20:0]; + _RAND_17 = {1{`RANDOM}}; + ghr_x = _RAND_17[7:0]; + _RAND_18 = {1{`RANDOM}}; + i0_valid_x = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + i0_taken_x = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + i0_pred_correct_upper_x = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + i0_flush_upper_x = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + i0_pp_r_valid = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + i0_pp_r_bits_misp = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + i0_pp_r_bits_ataken = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + i0_pp_r_bits_boffset = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + i0_pp_r_bits_pc4 = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + i0_pp_r_bits_hist = _RAND_27[1:0]; + _RAND_28 = {1{`RANDOM}}; + i0_pp_r_bits_br_error = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + i0_pp_r_bits_br_start_error = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + i0_pp_r_bits_way = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + pred_temp1 = _RAND_31[5:0]; + _RAND_32 = {1{`RANDOM}}; + i0_pred_correct_upper_r = _RAND_32[0:0]; + _RAND_33 = {1{`RANDOM}}; + i0_flush_path_upper_r = _RAND_33[30:0]; + _RAND_34 = {1{`RANDOM}}; + pred_temp2 = _RAND_34[24:0]; + _RAND_35 = {1{`RANDOM}}; + ghr_d = _RAND_35[7:0]; + _RAND_36 = {1{`RANDOM}}; + mul_valid_x = _RAND_36[0:0]; + _RAND_37 = {1{`RANDOM}}; + _T_107 = _RAND_37[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + i0_branch_x = 1'h0; + end + if (reset) begin + i0_flush_path_x = 31'h0; + end + if (reset) begin + i0_predict_p_x_valid = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_misp = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_ataken = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_boffset = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_pc4 = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_hist = 2'h0; + end + if (reset) begin + i0_predict_p_x_bits_toffset = 12'h0; + end + if (reset) begin + i0_predict_p_x_bits_br_error = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_br_start_error = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_pcall = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_pja = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_way = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_pret = 1'h0; + end + if (reset) begin + predpipe_x = 21'h0; + end + if (reset) begin + predpipe_r = 21'h0; + end + if (reset) begin + ghr_x = 8'h0; + end + if (reset) begin + i0_valid_x = 1'h0; + end + if (reset) begin + i0_taken_x = 1'h0; + end + if (reset) begin + i0_pred_correct_upper_x = 1'h0; + end + if (reset) begin + i0_flush_upper_x = 1'h0; + end + if (reset) begin + i0_pp_r_valid = 1'h0; + end + if (reset) begin + i0_pp_r_bits_misp = 1'h0; + end + if (reset) begin + i0_pp_r_bits_ataken = 1'h0; + end + if (reset) begin + i0_pp_r_bits_boffset = 1'h0; + end + if (reset) begin + i0_pp_r_bits_pc4 = 1'h0; + end + if (reset) begin + i0_pp_r_bits_hist = 2'h0; + end + if (reset) begin + i0_pp_r_bits_br_error = 1'h0; + end + if (reset) begin + i0_pp_r_bits_br_start_error = 1'h0; + end + if (reset) begin + i0_pp_r_bits_way = 1'h0; + end + if (reset) begin + pred_temp1 = 6'h0; + end + if (reset) begin + i0_pred_correct_upper_r = 1'h0; + end + if (reset) begin + i0_flush_path_upper_r = 31'h0; + end + if (reset) begin + pred_temp2 = 25'h0; + end + if (reset) begin + ghr_d = 8'h0; + end + if (reset) begin + mul_valid_x = 1'h0; + end + if (reset) begin + _T_107 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_branch_x <= 1'h0; + end else if (_T_42) begin + i0_branch_x <= io_dec_exu_decode_exu_dec_i0_branch_d; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_flush_path_x <= 31'h0; + end else if (x_data_en) begin + i0_flush_path_x <= i0_flush_path_d; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_valid <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_valid <= i0_predict_p_d_valid; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_misp <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_misp <= i0_predict_p_d_bits_misp; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_ataken <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_ataken <= i0_predict_p_d_bits_ataken; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_boffset <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_boffset <= i0_predict_p_d_bits_boffset; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_pc4 <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_pc4 <= i0_predict_p_d_bits_pc4; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_hist <= 2'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_hist <= i0_predict_p_d_bits_hist; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_toffset <= 12'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_toffset <= i0_predict_p_d_bits_toffset; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_br_error <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_br_error <= i0_predict_p_d_bits_br_error; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_br_start_error <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_br_start_error <= i0_predict_p_d_bits_br_start_error; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_pcall <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_pcall <= i0_predict_p_d_bits_pcall; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_pja <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_pja <= i0_predict_p_d_bits_pja; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_way <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_way <= i0_predict_p_d_bits_way; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_pret <= 1'h0; + end else if (x_data_en) begin + i0_predict_p_x_bits_pret <= i0_predict_p_d_bits_pret; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + predpipe_x <= 21'h0; + end else if (x_data_en_q2) begin + predpipe_x <= predpipe_d; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + predpipe_r <= 21'h0; + end else if (r_data_en_q2) begin + predpipe_r <= predpipe_x; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + ghr_x <= 8'h0; + end else if (x_ctl_en) begin + if (i0_valid_x) begin + ghr_x <= _T_191; + end + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_valid_x <= 1'h0; + end else if (x_ctl_en) begin + i0_valid_x <= i0_valid_d; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_taken_x <= 1'h0; + end else if (x_ctl_en) begin + i0_taken_x <= i0_taken_d; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pred_correct_upper_x <= 1'h0; + end else if (x_ctl_en) begin + i0_pred_correct_upper_x <= i0_pred_correct_upper_d; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_flush_upper_x <= 1'h0; + end else if (x_ctl_en) begin + i0_flush_upper_x <= i0_flush_upper_d; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pp_r_valid <= 1'h0; + end else if (r_ctl_en) begin + i0_pp_r_valid <= i0_predict_p_x_valid; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pp_r_bits_misp <= 1'h0; + end else if (r_ctl_en) begin + i0_pp_r_bits_misp <= i0_predict_p_x_bits_misp; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pp_r_bits_ataken <= 1'h0; + end else if (r_ctl_en) begin + i0_pp_r_bits_ataken <= i0_predict_p_x_bits_ataken; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pp_r_bits_boffset <= 1'h0; + end else if (r_ctl_en) begin + i0_pp_r_bits_boffset <= i0_predict_p_x_bits_boffset; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pp_r_bits_pc4 <= 1'h0; + end else if (r_ctl_en) begin + i0_pp_r_bits_pc4 <= i0_predict_p_x_bits_pc4; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pp_r_bits_hist <= 2'h0; + end else if (r_ctl_en) begin + i0_pp_r_bits_hist <= i0_predict_p_x_bits_hist; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pp_r_bits_br_error <= 1'h0; + end else if (r_ctl_en) begin + i0_pp_r_bits_br_error <= i0_predict_p_x_bits_br_error; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pp_r_bits_br_start_error <= 1'h0; + end else if (r_ctl_en) begin + i0_pp_r_bits_br_start_error <= i0_predict_p_x_bits_br_start_error; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pp_r_bits_way <= 1'h0; + end else if (r_ctl_en) begin + i0_pp_r_bits_way <= i0_predict_p_x_bits_way; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + pred_temp1 <= 6'h0; + end else if (r_data_en) begin + pred_temp1 <= io_dec_exu_decode_exu_pred_correct_npc_x[5:0]; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_pred_correct_upper_r <= 1'h0; + end else if (r_ctl_en) begin + i0_pred_correct_upper_r <= i0_pred_correct_upper_x; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + i0_flush_path_upper_r <= 31'h0; + end else if (r_data_en) begin + i0_flush_path_upper_r <= i0_flush_path_x; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + pred_temp2 <= 25'h0; + end else if (r_data_en) begin + pred_temp2 <= io_dec_exu_decode_exu_pred_correct_npc_x[30:6]; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + ghr_d <= 8'h0; + end else if (_T_34) begin + ghr_d <= ghr_d_ns; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + mul_valid_x <= 1'h0; + end else if (_T_38) begin + mul_valid_x <= io_dec_exu_decode_exu_mul_p_valid; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_107 <= 32'h0; + end else if (x_data_en_q1) begin + _T_107 <= i0_rs1_d; + end + end +endmodule diff --git a/exu_alu_ctl.anno.json b/exu_alu_ctl.anno.json new file mode 100644 index 00000000..8ccb0ce7 --- /dev/null +++ b/exu_alu_ctl.anno.json @@ -0,0 +1,244 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_valid", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_misp", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_flush_upper_x", + "~exu_alu_ctl|exu_alu_ctl>io_dec_tlu_flush_lower_r", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pret", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_predict_t", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_predict_nt", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_prett", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bge", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pja", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_blt", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_jal", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pcall", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_sub", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_beq", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bne", + "~exu_alu_ctl|exu_alu_ctl>io_a_in", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_unsign", + "~exu_alu_ctl|exu_alu_ctl>io_b_in" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_toffset", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_flush_path_out", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pret", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pja", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_jal", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pcall", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_sub", + "~exu_alu_ctl|exu_alu_ctl>io_dec_i0_pc_d", + "~exu_alu_ctl|exu_alu_ctl>io_dec_alu_dec_i0_br_immed_d", + "~exu_alu_ctl|exu_alu_ctl>io_b_in", + "~exu_alu_ctl|exu_alu_ctl>io_a_in" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_pret", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pret" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_pred_correct_out", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_dec_alu_dec_i0_alu_decode_d", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_predict_nt", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pret", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_predict_t", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pja", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_jal", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pcall", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bge", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_blt", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_beq", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bne", + "~exu_alu_ctl|exu_alu_ctl>io_a_in", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_unsign", + "~exu_alu_ctl|exu_alu_ctl>io_b_in", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_sub" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_pja", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pja" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_pc4", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pc4" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_ataken", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pret", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bge", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pja", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_blt", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_jal", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pcall", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_beq", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bne", + "~exu_alu_ctl|exu_alu_ctl>io_a_in", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_unsign", + "~exu_alu_ctl|exu_alu_ctl>io_b_in", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_sub" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_way", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_way" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_pcall", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pcall" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_hist", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_hist", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pret", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bge", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pja", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_blt", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_jal", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pcall", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_beq", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bne", + "~exu_alu_ctl|exu_alu_ctl>io_a_in", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_unsign", + "~exu_alu_ctl|exu_alu_ctl>io_b_in", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_sub" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_br_start_error", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_br_start_error" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_br_error", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_br_error" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_prett", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_prett" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_flush_final_out", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_dec_tlu_flush_lower_r", + "~exu_alu_ctl|exu_alu_ctl>io_dec_alu_dec_i0_alu_decode_d", + "~exu_alu_ctl|exu_alu_ctl>io_flush_upper_x", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_jal", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pret", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_prett", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_predict_t", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_predict_nt", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bge", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pja", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_sub", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_blt", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pcall", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_beq", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bne", + "~exu_alu_ctl|exu_alu_ctl>io_a_in", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_unsign", + "~exu_alu_ctl|exu_alu_ctl>io_b_in" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_predict_p_out_bits_boffset", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_boffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_alu_ctl|exu_alu_ctl>io_flush_upper_out", + "sources":[ + "~exu_alu_ctl|exu_alu_ctl>io_dec_tlu_flush_lower_r", + "~exu_alu_ctl|exu_alu_ctl>io_dec_alu_dec_i0_alu_decode_d", + "~exu_alu_ctl|exu_alu_ctl>io_flush_upper_x", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_jal", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pret", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_prett", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_predict_t", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_predict_nt", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bge", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pja", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_sub", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_blt", + "~exu_alu_ctl|exu_alu_ctl>io_pp_in_bits_pcall", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_beq", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_bne", + "~exu_alu_ctl|exu_alu_ctl>io_a_in", + "~exu_alu_ctl|exu_alu_ctl>io_i0_ap_unsign", + "~exu_alu_ctl|exu_alu_ctl>io_b_in" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"exu_alu_ctl.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"exu_alu_ctl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/exu_alu_ctl.fir b/exu_alu_ctl.fir new file mode 100644 index 00000000..acd35e00 --- /dev/null +++ b/exu_alu_ctl.fir @@ -0,0 +1,1335 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit exu_alu_ctl : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module exu_alu_ctl : + input clock : Clock + input reset : AsyncReset + output io : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, flip csr_rddata_in : UInt<32>, flip dec_i0_pc_d : UInt<31>, flip scan_mode : UInt<1>, flip flush_upper_x : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip enable : UInt<1>, flip i0_ap : {clz : UInt<1>, ctz : UInt<1>, pcnt : UInt<1>, sext_b : UInt<1>, sext_h : UInt<1>, slo : UInt<1>, sro : UInt<1>, min : UInt<1>, max : UInt<1>, pack : UInt<1>, packu : UInt<1>, packh : UInt<1>, rol : UInt<1>, ror : UInt<1>, grev : UInt<1>, gorc : UInt<1>, zbb : UInt<1>, sbset : UInt<1>, sbclr : UInt<1>, sbinv : UInt<1>, sbext : UInt<1>, sh1add : UInt<1>, sh2add : UInt<1>, sh3add : UInt<1>, zba : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip a_in : SInt<32>, flip b_in : UInt<32>, flip pp_in : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, result_ff : UInt<32>, flush_upper_out : UInt<1>, flush_final_out : UInt<1>, flush_path_out : UInt<31>, pred_correct_out : UInt<1>, predict_p_out : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}} + + wire ap_clz : UInt<1> + ap_clz <= UInt<1>("h00") + wire ap_ctz : UInt<1> + ap_ctz <= UInt<1>("h00") + wire ap_pcnt : UInt<1> + ap_pcnt <= UInt<1>("h00") + wire ap_sext_b : UInt<1> + ap_sext_b <= UInt<1>("h00") + wire ap_sext_h : UInt<1> + ap_sext_h <= UInt<1>("h00") + wire ap_min : UInt<1> + ap_min <= UInt<1>("h00") + wire ap_max : UInt<1> + ap_max <= UInt<1>("h00") + wire ap_pack : UInt<1> + ap_pack <= UInt<1>("h00") + wire ap_packu : UInt<1> + ap_packu <= UInt<1>("h00") + wire ap_packh : UInt<1> + ap_packh <= UInt<1>("h00") + wire ap_rol : UInt<1> + ap_rol <= UInt<1>("h00") + wire ap_ror : UInt<1> + ap_ror <= UInt<1>("h00") + wire ap_rev : UInt<1> + ap_rev <= UInt<1>("h00") + wire ap_rev8 : UInt<1> + ap_rev8 <= UInt<1>("h00") + wire ap_orc_b : UInt<1> + ap_orc_b <= UInt<1>("h00") + wire ap_orc16 : UInt<1> + ap_orc16 <= UInt<1>("h00") + wire ap_zbb : UInt<1> + ap_zbb <= UInt<1>("h00") + wire ap_sbset : UInt<1> + ap_sbset <= UInt<1>("h00") + wire ap_sbclr : UInt<1> + ap_sbclr <= UInt<1>("h00") + wire ap_sbinv : UInt<1> + ap_sbinv <= UInt<1>("h00") + wire ap_sbext : UInt<1> + ap_sbext <= UInt<1>("h00") + wire ap_slo : UInt<1> + ap_slo <= UInt<1>("h00") + wire ap_sro : UInt<1> + ap_sro <= UInt<1>("h00") + wire ap_sh1add : UInt<1> + ap_sh1add <= UInt<1>("h00") + wire ap_sh2add : UInt<1> + ap_sh2add <= UInt<1>("h00") + wire ap_sh3add : UInt<1> + ap_sh3add <= UInt<1>("h00") + wire ap_zba : UInt<1> + ap_zba <= UInt<1>("h00") + ap_clz <= io.i0_ap.clz @[exu_alu_ctl.scala 65:21] + ap_ctz <= io.i0_ap.ctz @[exu_alu_ctl.scala 66:21] + ap_pcnt <= io.i0_ap.pcnt @[exu_alu_ctl.scala 67:21] + ap_sext_b <= io.i0_ap.sext_b @[exu_alu_ctl.scala 68:21] + ap_sext_h <= io.i0_ap.sext_h @[exu_alu_ctl.scala 69:21] + ap_min <= io.i0_ap.min @[exu_alu_ctl.scala 70:21] + ap_max <= io.i0_ap.max @[exu_alu_ctl.scala 71:21] + ap_pack <= io.i0_ap.pack @[exu_alu_ctl.scala 82:21] + ap_packu <= io.i0_ap.packu @[exu_alu_ctl.scala 83:21] + ap_packh <= io.i0_ap.packh @[exu_alu_ctl.scala 84:21] + ap_rol <= io.i0_ap.rol @[exu_alu_ctl.scala 85:21] + ap_ror <= io.i0_ap.ror @[exu_alu_ctl.scala 86:21] + node _T = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 87:49] + node _T_1 = eq(_T, UInt<5>("h01f")) @[exu_alu_ctl.scala 87:55] + node _T_2 = and(io.i0_ap.grev, _T_1) @[exu_alu_ctl.scala 87:39] + ap_rev <= _T_2 @[exu_alu_ctl.scala 87:21] + node _T_3 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 88:49] + node _T_4 = eq(_T_3, UInt<5>("h018")) @[exu_alu_ctl.scala 88:55] + node _T_5 = and(io.i0_ap.grev, _T_4) @[exu_alu_ctl.scala 88:39] + ap_rev8 <= _T_5 @[exu_alu_ctl.scala 88:21] + node _T_6 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 89:49] + node _T_7 = eq(_T_6, UInt<3>("h07")) @[exu_alu_ctl.scala 89:55] + node _T_8 = and(io.i0_ap.gorc, _T_7) @[exu_alu_ctl.scala 89:39] + ap_orc_b <= _T_8 @[exu_alu_ctl.scala 89:21] + node _T_9 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 90:49] + node _T_10 = eq(_T_9, UInt<5>("h010")) @[exu_alu_ctl.scala 90:55] + node _T_11 = and(io.i0_ap.gorc, _T_10) @[exu_alu_ctl.scala 90:39] + ap_orc16 <= _T_11 @[exu_alu_ctl.scala 90:21] + ap_zbb <= io.i0_ap.zbb @[exu_alu_ctl.scala 91:21] + ap_sbset <= io.i0_ap.sbset @[exu_alu_ctl.scala 105:21] + ap_sbclr <= io.i0_ap.sbclr @[exu_alu_ctl.scala 106:21] + ap_sbinv <= io.i0_ap.sbinv @[exu_alu_ctl.scala 107:21] + ap_sbext <= io.i0_ap.sbext @[exu_alu_ctl.scala 108:21] + ap_slo <= UInt<1>("h00") @[exu_alu_ctl.scala 119:21] + ap_sro <= UInt<1>("h00") @[exu_alu_ctl.scala 120:21] + ap_sh1add <= UInt<1>("h00") @[exu_alu_ctl.scala 128:21] + ap_sh2add <= UInt<1>("h00") @[exu_alu_ctl.scala 129:21] + ap_sh3add <= UInt<1>("h00") @[exu_alu_ctl.scala 130:21] + ap_zba <= UInt<1>("h00") @[exu_alu_ctl.scala 131:21] + node _T_12 = bits(io.scan_mode, 0, 0) @[exu_alu_ctl.scala 133:104] + wire _T_13 : UInt<31> @[lib.scala 598:38] + _T_13 <= UInt<1>("h00") @[lib.scala 598:38] + reg _T_14 : UInt, clock with : (reset => (reset, _T_13)) @[Reg.scala 27:20] + when io.enable : @[Reg.scala 28:19] + _T_14 <= io.dec_i0_pc_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + io.dec_alu.exu_i0_pc_x <= _T_14 @[exu_alu_ctl.scala 133:26] + wire result : UInt<32> + result <= UInt<1>("h00") + node _T_15 = and(io.enable, io.dec_alu.dec_i0_alu_decode_d) @[exu_alu_ctl.scala 135:43] + node _T_16 = bits(_T_15, 0, 0) @[lib.scala 8:44] + node _T_17 = bits(io.scan_mode, 0, 0) @[exu_alu_ctl.scala 135:95] + inst rvclkhdr of rvclkhdr @[lib.scala 399:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 401:18] + rvclkhdr.io.en <= _T_16 @[lib.scala 402:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_18 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_16 : @[Reg.scala 28:19] + _T_18 <= result @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + io.result_ff <= _T_18 @[exu_alu_ctl.scala 135:16] + node _T_19 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 138:29] + node _T_20 = cat(_T_19, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_21 = asSInt(_T_20) @[exu_alu_ctl.scala 138:46] + node _T_22 = bits(io.a_in, 29, 0) @[exu_alu_ctl.scala 139:29] + node _T_23 = cat(_T_22, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_24 = asSInt(_T_23) @[exu_alu_ctl.scala 139:46] + node _T_25 = bits(io.a_in, 28, 0) @[exu_alu_ctl.scala 140:29] + node _T_26 = cat(_T_25, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_27 = asSInt(_T_26) @[exu_alu_ctl.scala 140:46] + node _T_28 = not(ap_zba) @[exu_alu_ctl.scala 141:5] + wire _T_29 : SInt<32> @[Mux.scala 27:72] + node _T_30 = asUInt(_T_21) @[Mux.scala 27:72] + node _T_31 = asSInt(_T_30) @[Mux.scala 27:72] + _T_29 <= _T_31 @[Mux.scala 27:72] + wire _T_32 : SInt<32> @[Mux.scala 27:72] + node _T_33 = asUInt(_T_24) @[Mux.scala 27:72] + node _T_34 = asSInt(_T_33) @[Mux.scala 27:72] + _T_32 <= _T_34 @[Mux.scala 27:72] + wire _T_35 : SInt<32> @[Mux.scala 27:72] + node _T_36 = asUInt(_T_27) @[Mux.scala 27:72] + node _T_37 = asSInt(_T_36) @[Mux.scala 27:72] + _T_35 <= _T_37 @[Mux.scala 27:72] + wire _T_38 : SInt<32> @[Mux.scala 27:72] + node _T_39 = asUInt(io.a_in) @[Mux.scala 27:72] + node _T_40 = asSInt(_T_39) @[Mux.scala 27:72] + _T_38 <= _T_40 @[Mux.scala 27:72] + node _T_41 = mux(ap_sh1add, _T_29, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_42 = mux(ap_sh2add, _T_32, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_43 = mux(ap_sh3add, _T_35, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_44 = mux(_T_28, _T_38, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_45 = or(_T_41, _T_42) @[Mux.scala 27:72] + node _T_46 = asSInt(_T_45) @[Mux.scala 27:72] + node _T_47 = or(_T_46, _T_43) @[Mux.scala 27:72] + node _T_48 = asSInt(_T_47) @[Mux.scala 27:72] + node _T_49 = or(_T_48, _T_44) @[Mux.scala 27:72] + node _T_50 = asSInt(_T_49) @[Mux.scala 27:72] + wire zba_a_in : SInt<32> @[Mux.scala 27:72] + node _T_51 = asUInt(_T_50) @[Mux.scala 27:72] + node _T_52 = asSInt(_T_51) @[Mux.scala 27:72] + zba_a_in <= _T_52 @[Mux.scala 27:72] + node _T_53 = bits(io.i0_ap.sub, 0, 0) @[exu_alu_ctl.scala 143:32] + node _T_54 = not(io.b_in) @[exu_alu_ctl.scala 143:40] + node bm = mux(_T_53, _T_54, io.b_in) @[exu_alu_ctl.scala 143:17] + wire aout : UInt<33> + aout <= UInt<1>("h00") + node _T_55 = bits(io.i0_ap.sub, 0, 0) @[exu_alu_ctl.scala 146:28] + node _T_56 = asUInt(zba_a_in) @[Cat.scala 29:58] + node _T_57 = cat(UInt<1>("h00"), _T_56) @[Cat.scala 29:58] + node _T_58 = not(io.b_in) @[exu_alu_ctl.scala 146:74] + node _T_59 = cat(UInt<1>("h00"), _T_58) @[Cat.scala 29:58] + node _T_60 = add(_T_57, _T_59) @[exu_alu_ctl.scala 146:59] + node _T_61 = tail(_T_60, 1) @[exu_alu_ctl.scala 146:59] + node _T_62 = cat(UInt<32>("h00"), io.i0_ap.sub) @[Cat.scala 29:58] + node _T_63 = add(_T_61, _T_62) @[exu_alu_ctl.scala 146:84] + node _T_64 = tail(_T_63, 1) @[exu_alu_ctl.scala 146:84] + node _T_65 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_66 = cat(UInt<1>("h00"), _T_65) @[Cat.scala 29:58] + node _T_67 = cat(UInt<1>("h00"), io.b_in) @[Cat.scala 29:58] + node _T_68 = add(_T_66, _T_67) @[exu_alu_ctl.scala 146:139] + node _T_69 = tail(_T_68, 1) @[exu_alu_ctl.scala 146:139] + node _T_70 = cat(UInt<32>("h00"), io.i0_ap.sub) @[Cat.scala 29:58] + node _T_71 = add(_T_69, _T_70) @[exu_alu_ctl.scala 146:164] + node _T_72 = tail(_T_71, 1) @[exu_alu_ctl.scala 146:164] + node _T_73 = mux(_T_55, _T_64, _T_72) @[exu_alu_ctl.scala 146:14] + aout <= _T_73 @[exu_alu_ctl.scala 146:8] + node cout = bits(aout, 32, 32) @[exu_alu_ctl.scala 147:18] + node _T_74 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 149:22] + node _T_75 = eq(_T_74, UInt<1>("h00")) @[exu_alu_ctl.scala 149:14] + node _T_76 = bits(bm, 31, 31) @[exu_alu_ctl.scala 149:32] + node _T_77 = eq(_T_76, UInt<1>("h00")) @[exu_alu_ctl.scala 149:29] + node _T_78 = and(_T_75, _T_77) @[exu_alu_ctl.scala 149:27] + node _T_79 = bits(aout, 31, 31) @[exu_alu_ctl.scala 149:44] + node _T_80 = and(_T_78, _T_79) @[exu_alu_ctl.scala 149:37] + node _T_81 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 149:61] + node _T_82 = bits(bm, 31, 31) @[exu_alu_ctl.scala 149:71] + node _T_83 = and(_T_81, _T_82) @[exu_alu_ctl.scala 149:66] + node _T_84 = bits(aout, 31, 31) @[exu_alu_ctl.scala 149:83] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[exu_alu_ctl.scala 149:78] + node _T_86 = and(_T_83, _T_85) @[exu_alu_ctl.scala 149:76] + node ov = or(_T_80, _T_86) @[exu_alu_ctl.scala 149:50] + node _T_87 = asSInt(io.b_in) @[exu_alu_ctl.scala 151:50] + node eq = eq(io.a_in, _T_87) @[exu_alu_ctl.scala 151:38] + node ne = not(eq) @[exu_alu_ctl.scala 152:29] + node neg = bits(aout, 31, 31) @[exu_alu_ctl.scala 153:34] + node _T_88 = eq(io.i0_ap.unsign, UInt<1>("h00")) @[exu_alu_ctl.scala 154:30] + node _T_89 = xor(neg, ov) @[exu_alu_ctl.scala 154:54] + node _T_90 = and(_T_88, _T_89) @[exu_alu_ctl.scala 154:47] + node _T_91 = eq(cout, UInt<1>("h00")) @[exu_alu_ctl.scala 154:84] + node _T_92 = and(io.i0_ap.unsign, _T_91) @[exu_alu_ctl.scala 154:82] + node lt = or(_T_90, _T_92) @[exu_alu_ctl.scala 154:61] + node ge = eq(lt, UInt<1>("h00")) @[exu_alu_ctl.scala 155:29] + node _T_93 = asSInt(io.csr_rddata_in) @[exu_alu_ctl.scala 159:62] + node _T_94 = eq(ap_zbb, UInt<1>("h00")) @[exu_alu_ctl.scala 160:22] + node _T_95 = and(io.i0_ap.land, _T_94) @[exu_alu_ctl.scala 160:20] + node _T_96 = bits(_T_95, 0, 0) @[exu_alu_ctl.scala 160:31] + node _T_97 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_98 = cat(UInt<1>("h00"), _T_97) @[Cat.scala 29:58] + node _T_99 = asSInt(_T_98) @[exu_alu_ctl.scala 160:67] + node _T_100 = asSInt(io.b_in) @[exu_alu_ctl.scala 160:85] + node _T_101 = and(_T_99, _T_100) @[exu_alu_ctl.scala 160:74] + node _T_102 = asSInt(_T_101) @[exu_alu_ctl.scala 160:74] + node _T_103 = eq(ap_zbb, UInt<1>("h00")) @[exu_alu_ctl.scala 161:22] + node _T_104 = and(io.i0_ap.lor, _T_103) @[exu_alu_ctl.scala 161:20] + node _T_105 = bits(_T_104, 0, 0) @[exu_alu_ctl.scala 161:31] + node _T_106 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_107 = cat(UInt<1>("h00"), _T_106) @[Cat.scala 29:58] + node _T_108 = asSInt(_T_107) @[exu_alu_ctl.scala 161:67] + node _T_109 = asSInt(io.b_in) @[exu_alu_ctl.scala 161:85] + node _T_110 = or(_T_108, _T_109) @[exu_alu_ctl.scala 161:74] + node _T_111 = asSInt(_T_110) @[exu_alu_ctl.scala 161:74] + node _T_112 = eq(ap_zbb, UInt<1>("h00")) @[exu_alu_ctl.scala 162:22] + node _T_113 = and(io.i0_ap.lxor, _T_112) @[exu_alu_ctl.scala 162:20] + node _T_114 = bits(_T_113, 0, 0) @[exu_alu_ctl.scala 162:31] + node _T_115 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_116 = cat(UInt<1>("h00"), _T_115) @[Cat.scala 29:58] + node _T_117 = asSInt(_T_116) @[exu_alu_ctl.scala 162:67] + node _T_118 = asSInt(io.b_in) @[exu_alu_ctl.scala 162:85] + node _T_119 = xor(_T_117, _T_118) @[exu_alu_ctl.scala 162:74] + node _T_120 = asSInt(_T_119) @[exu_alu_ctl.scala 162:74] + node _T_121 = and(io.i0_ap.land, ap_zbb) @[exu_alu_ctl.scala 163:20] + node _T_122 = bits(_T_121, 0, 0) @[exu_alu_ctl.scala 163:31] + node _T_123 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_124 = cat(UInt<1>("h00"), _T_123) @[Cat.scala 29:58] + node _T_125 = asSInt(_T_124) @[exu_alu_ctl.scala 163:67] + node _T_126 = asSInt(io.b_in) @[exu_alu_ctl.scala 163:85] + node _T_127 = not(_T_126) @[exu_alu_ctl.scala 163:76] + node _T_128 = asSInt(_T_127) @[exu_alu_ctl.scala 163:76] + node _T_129 = and(_T_125, _T_128) @[exu_alu_ctl.scala 163:74] + node _T_130 = asSInt(_T_129) @[exu_alu_ctl.scala 163:74] + node _T_131 = and(io.i0_ap.lor, ap_zbb) @[exu_alu_ctl.scala 164:20] + node _T_132 = bits(_T_131, 0, 0) @[exu_alu_ctl.scala 164:31] + node _T_133 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_134 = cat(UInt<1>("h00"), _T_133) @[Cat.scala 29:58] + node _T_135 = asSInt(_T_134) @[exu_alu_ctl.scala 164:67] + node _T_136 = asSInt(io.b_in) @[exu_alu_ctl.scala 164:85] + node _T_137 = not(_T_136) @[exu_alu_ctl.scala 164:76] + node _T_138 = asSInt(_T_137) @[exu_alu_ctl.scala 164:76] + node _T_139 = or(_T_135, _T_138) @[exu_alu_ctl.scala 164:74] + node _T_140 = asSInt(_T_139) @[exu_alu_ctl.scala 164:74] + node _T_141 = and(io.i0_ap.lxor, ap_zbb) @[exu_alu_ctl.scala 165:20] + node _T_142 = bits(_T_141, 0, 0) @[exu_alu_ctl.scala 165:31] + node _T_143 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_144 = cat(UInt<1>("h00"), _T_143) @[Cat.scala 29:58] + node _T_145 = asSInt(_T_144) @[exu_alu_ctl.scala 165:67] + node _T_146 = asSInt(io.b_in) @[exu_alu_ctl.scala 165:85] + node _T_147 = not(_T_146) @[exu_alu_ctl.scala 165:76] + node _T_148 = asSInt(_T_147) @[exu_alu_ctl.scala 165:76] + node _T_149 = xor(_T_145, _T_148) @[exu_alu_ctl.scala 165:74] + node _T_150 = asSInt(_T_149) @[exu_alu_ctl.scala 165:74] + wire _T_151 : SInt<33> @[Mux.scala 27:72] + node _T_152 = asUInt(_T_93) @[Mux.scala 27:72] + node _T_153 = asSInt(_T_152) @[Mux.scala 27:72] + _T_151 <= _T_153 @[Mux.scala 27:72] + wire _T_154 : SInt<33> @[Mux.scala 27:72] + node _T_155 = asUInt(_T_102) @[Mux.scala 27:72] + node _T_156 = asSInt(_T_155) @[Mux.scala 27:72] + _T_154 <= _T_156 @[Mux.scala 27:72] + wire _T_157 : SInt<33> @[Mux.scala 27:72] + node _T_158 = asUInt(_T_111) @[Mux.scala 27:72] + node _T_159 = asSInt(_T_158) @[Mux.scala 27:72] + _T_157 <= _T_159 @[Mux.scala 27:72] + wire _T_160 : SInt<33> @[Mux.scala 27:72] + node _T_161 = asUInt(_T_120) @[Mux.scala 27:72] + node _T_162 = asSInt(_T_161) @[Mux.scala 27:72] + _T_160 <= _T_162 @[Mux.scala 27:72] + wire _T_163 : SInt<33> @[Mux.scala 27:72] + node _T_164 = asUInt(_T_130) @[Mux.scala 27:72] + node _T_165 = asSInt(_T_164) @[Mux.scala 27:72] + _T_163 <= _T_165 @[Mux.scala 27:72] + wire _T_166 : SInt<33> @[Mux.scala 27:72] + node _T_167 = asUInt(_T_140) @[Mux.scala 27:72] + node _T_168 = asSInt(_T_167) @[Mux.scala 27:72] + _T_166 <= _T_168 @[Mux.scala 27:72] + wire _T_169 : SInt<33> @[Mux.scala 27:72] + node _T_170 = asUInt(_T_150) @[Mux.scala 27:72] + node _T_171 = asSInt(_T_170) @[Mux.scala 27:72] + _T_169 <= _T_171 @[Mux.scala 27:72] + node _T_172 = mux(io.dec_alu.dec_csr_ren_d, _T_151, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_173 = mux(_T_96, _T_154, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_174 = mux(_T_105, _T_157, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_175 = mux(_T_114, _T_160, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_176 = mux(_T_122, _T_163, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_177 = mux(_T_132, _T_166, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_178 = mux(_T_142, _T_169, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_179 = or(_T_172, _T_173) @[Mux.scala 27:72] + node _T_180 = asSInt(_T_179) @[Mux.scala 27:72] + node _T_181 = or(_T_180, _T_174) @[Mux.scala 27:72] + node _T_182 = asSInt(_T_181) @[Mux.scala 27:72] + node _T_183 = or(_T_182, _T_175) @[Mux.scala 27:72] + node _T_184 = asSInt(_T_183) @[Mux.scala 27:72] + node _T_185 = or(_T_184, _T_176) @[Mux.scala 27:72] + node _T_186 = asSInt(_T_185) @[Mux.scala 27:72] + node _T_187 = or(_T_186, _T_177) @[Mux.scala 27:72] + node _T_188 = asSInt(_T_187) @[Mux.scala 27:72] + node _T_189 = or(_T_188, _T_178) @[Mux.scala 27:72] + node _T_190 = asSInt(_T_189) @[Mux.scala 27:72] + wire lout : SInt<33> @[Mux.scala 27:72] + node _T_191 = asUInt(_T_190) @[Mux.scala 27:72] + node _T_192 = asSInt(_T_191) @[Mux.scala 27:72] + lout <= _T_192 @[Mux.scala 27:72] + node _T_193 = bits(io.i0_ap.sll, 0, 0) @[exu_alu_ctl.scala 179:18] + node _T_194 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 179:63] + node _T_195 = cat(UInt<1>("h00"), _T_194) @[Cat.scala 29:58] + node _T_196 = sub(UInt<6>("h020"), _T_195) @[exu_alu_ctl.scala 179:41] + node _T_197 = tail(_T_196, 1) @[exu_alu_ctl.scala 179:41] + node _T_198 = bits(io.i0_ap.srl, 0, 0) @[exu_alu_ctl.scala 180:18] + node _T_199 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 180:63] + node _T_200 = cat(UInt<1>("h00"), _T_199) @[Cat.scala 29:58] + node _T_201 = bits(io.i0_ap.sra, 0, 0) @[exu_alu_ctl.scala 181:18] + node _T_202 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 181:63] + node _T_203 = cat(UInt<1>("h00"), _T_202) @[Cat.scala 29:58] + node _T_204 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 182:63] + node _T_205 = cat(UInt<1>("h00"), _T_204) @[Cat.scala 29:58] + node _T_206 = sub(UInt<6>("h020"), _T_205) @[exu_alu_ctl.scala 182:41] + node _T_207 = tail(_T_206, 1) @[exu_alu_ctl.scala 182:41] + node _T_208 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 183:63] + node _T_209 = cat(UInt<1>("h00"), _T_208) @[Cat.scala 29:58] + node _T_210 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 184:63] + node _T_211 = cat(UInt<1>("h00"), _T_210) @[Cat.scala 29:58] + node _T_212 = sub(UInt<6>("h020"), _T_211) @[exu_alu_ctl.scala 184:41] + node _T_213 = tail(_T_212, 1) @[exu_alu_ctl.scala 184:41] + node _T_214 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 185:63] + node _T_215 = cat(UInt<1>("h00"), _T_214) @[Cat.scala 29:58] + node _T_216 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 186:63] + node _T_217 = cat(UInt<1>("h00"), _T_216) @[Cat.scala 29:58] + node _T_218 = mux(_T_193, _T_197, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_219 = mux(_T_198, _T_200, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_220 = mux(_T_201, _T_203, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_221 = mux(ap_rol, _T_207, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_222 = mux(ap_ror, _T_209, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_223 = mux(ap_slo, _T_213, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_224 = mux(ap_sro, _T_215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_225 = mux(ap_sbext, _T_217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_226 = or(_T_218, _T_219) @[Mux.scala 27:72] + node _T_227 = or(_T_226, _T_220) @[Mux.scala 27:72] + node _T_228 = or(_T_227, _T_221) @[Mux.scala 27:72] + node _T_229 = or(_T_228, _T_222) @[Mux.scala 27:72] + node _T_230 = or(_T_229, _T_223) @[Mux.scala 27:72] + node _T_231 = or(_T_230, _T_224) @[Mux.scala 27:72] + node _T_232 = or(_T_231, _T_225) @[Mux.scala 27:72] + wire shift_amount : UInt<6> @[Mux.scala 27:72] + shift_amount <= _T_232 @[Mux.scala 27:72] + wire shift_mask : UInt<32> + shift_mask <= UInt<1>("h00") + node _T_233 = or(io.i0_ap.sll, ap_slo) @[exu_alu_ctl.scala 189:63] + wire _T_234 : UInt<1>[5] @[lib.scala 12:48] + _T_234[0] <= _T_233 @[lib.scala 12:48] + _T_234[1] <= _T_233 @[lib.scala 12:48] + _T_234[2] <= _T_233 @[lib.scala 12:48] + _T_234[3] <= _T_233 @[lib.scala 12:48] + _T_234[4] <= _T_233 @[lib.scala 12:48] + node _T_235 = cat(_T_234[0], _T_234[1]) @[Cat.scala 29:58] + node _T_236 = cat(_T_235, _T_234[2]) @[Cat.scala 29:58] + node _T_237 = cat(_T_236, _T_234[3]) @[Cat.scala 29:58] + node _T_238 = cat(_T_237, _T_234[4]) @[Cat.scala 29:58] + node _T_239 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 189:82] + node _T_240 = and(_T_238, _T_239) @[exu_alu_ctl.scala 189:73] + node _T_241 = dshl(UInt<32>("h0ffffffff"), _T_240) @[exu_alu_ctl.scala 189:39] + shift_mask <= _T_241 @[exu_alu_ctl.scala 189:14] + wire shift_extend : UInt<63> + shift_extend <= UInt<1>("h00") + wire _T_242 : UInt<1>[31] @[lib.scala 12:48] + _T_242[0] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[1] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[2] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[3] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[4] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[5] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[6] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[7] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[8] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[9] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[10] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[11] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[12] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[13] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[14] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[15] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[16] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[17] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[18] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[19] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[20] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[21] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[22] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[23] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[24] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[25] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[26] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[27] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[28] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[29] <= io.i0_ap.sra @[lib.scala 12:48] + _T_242[30] <= io.i0_ap.sra @[lib.scala 12:48] + node _T_243 = cat(_T_242[0], _T_242[1]) @[Cat.scala 29:58] + node _T_244 = cat(_T_243, _T_242[2]) @[Cat.scala 29:58] + node _T_245 = cat(_T_244, _T_242[3]) @[Cat.scala 29:58] + node _T_246 = cat(_T_245, _T_242[4]) @[Cat.scala 29:58] + node _T_247 = cat(_T_246, _T_242[5]) @[Cat.scala 29:58] + node _T_248 = cat(_T_247, _T_242[6]) @[Cat.scala 29:58] + node _T_249 = cat(_T_248, _T_242[7]) @[Cat.scala 29:58] + node _T_250 = cat(_T_249, _T_242[8]) @[Cat.scala 29:58] + node _T_251 = cat(_T_250, _T_242[9]) @[Cat.scala 29:58] + node _T_252 = cat(_T_251, _T_242[10]) @[Cat.scala 29:58] + node _T_253 = cat(_T_252, _T_242[11]) @[Cat.scala 29:58] + node _T_254 = cat(_T_253, _T_242[12]) @[Cat.scala 29:58] + node _T_255 = cat(_T_254, _T_242[13]) @[Cat.scala 29:58] + node _T_256 = cat(_T_255, _T_242[14]) @[Cat.scala 29:58] + node _T_257 = cat(_T_256, _T_242[15]) @[Cat.scala 29:58] + node _T_258 = cat(_T_257, _T_242[16]) @[Cat.scala 29:58] + node _T_259 = cat(_T_258, _T_242[17]) @[Cat.scala 29:58] + node _T_260 = cat(_T_259, _T_242[18]) @[Cat.scala 29:58] + node _T_261 = cat(_T_260, _T_242[19]) @[Cat.scala 29:58] + node _T_262 = cat(_T_261, _T_242[20]) @[Cat.scala 29:58] + node _T_263 = cat(_T_262, _T_242[21]) @[Cat.scala 29:58] + node _T_264 = cat(_T_263, _T_242[22]) @[Cat.scala 29:58] + node _T_265 = cat(_T_264, _T_242[23]) @[Cat.scala 29:58] + node _T_266 = cat(_T_265, _T_242[24]) @[Cat.scala 29:58] + node _T_267 = cat(_T_266, _T_242[25]) @[Cat.scala 29:58] + node _T_268 = cat(_T_267, _T_242[26]) @[Cat.scala 29:58] + node _T_269 = cat(_T_268, _T_242[27]) @[Cat.scala 29:58] + node _T_270 = cat(_T_269, _T_242[28]) @[Cat.scala 29:58] + node _T_271 = cat(_T_270, _T_242[29]) @[Cat.scala 29:58] + node _T_272 = cat(_T_271, _T_242[30]) @[Cat.scala 29:58] + node _T_273 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 192:64] + wire _T_274 : UInt<1>[31] @[lib.scala 12:48] + _T_274[0] <= _T_273 @[lib.scala 12:48] + _T_274[1] <= _T_273 @[lib.scala 12:48] + _T_274[2] <= _T_273 @[lib.scala 12:48] + _T_274[3] <= _T_273 @[lib.scala 12:48] + _T_274[4] <= _T_273 @[lib.scala 12:48] + _T_274[5] <= _T_273 @[lib.scala 12:48] + _T_274[6] <= _T_273 @[lib.scala 12:48] + _T_274[7] <= _T_273 @[lib.scala 12:48] + _T_274[8] <= _T_273 @[lib.scala 12:48] + _T_274[9] <= _T_273 @[lib.scala 12:48] + _T_274[10] <= _T_273 @[lib.scala 12:48] + _T_274[11] <= _T_273 @[lib.scala 12:48] + _T_274[12] <= _T_273 @[lib.scala 12:48] + _T_274[13] <= _T_273 @[lib.scala 12:48] + _T_274[14] <= _T_273 @[lib.scala 12:48] + _T_274[15] <= _T_273 @[lib.scala 12:48] + _T_274[16] <= _T_273 @[lib.scala 12:48] + _T_274[17] <= _T_273 @[lib.scala 12:48] + _T_274[18] <= _T_273 @[lib.scala 12:48] + _T_274[19] <= _T_273 @[lib.scala 12:48] + _T_274[20] <= _T_273 @[lib.scala 12:48] + _T_274[21] <= _T_273 @[lib.scala 12:48] + _T_274[22] <= _T_273 @[lib.scala 12:48] + _T_274[23] <= _T_273 @[lib.scala 12:48] + _T_274[24] <= _T_273 @[lib.scala 12:48] + _T_274[25] <= _T_273 @[lib.scala 12:48] + _T_274[26] <= _T_273 @[lib.scala 12:48] + _T_274[27] <= _T_273 @[lib.scala 12:48] + _T_274[28] <= _T_273 @[lib.scala 12:48] + _T_274[29] <= _T_273 @[lib.scala 12:48] + _T_274[30] <= _T_273 @[lib.scala 12:48] + node _T_275 = cat(_T_274[0], _T_274[1]) @[Cat.scala 29:58] + node _T_276 = cat(_T_275, _T_274[2]) @[Cat.scala 29:58] + node _T_277 = cat(_T_276, _T_274[3]) @[Cat.scala 29:58] + node _T_278 = cat(_T_277, _T_274[4]) @[Cat.scala 29:58] + node _T_279 = cat(_T_278, _T_274[5]) @[Cat.scala 29:58] + node _T_280 = cat(_T_279, _T_274[6]) @[Cat.scala 29:58] + node _T_281 = cat(_T_280, _T_274[7]) @[Cat.scala 29:58] + node _T_282 = cat(_T_281, _T_274[8]) @[Cat.scala 29:58] + node _T_283 = cat(_T_282, _T_274[9]) @[Cat.scala 29:58] + node _T_284 = cat(_T_283, _T_274[10]) @[Cat.scala 29:58] + node _T_285 = cat(_T_284, _T_274[11]) @[Cat.scala 29:58] + node _T_286 = cat(_T_285, _T_274[12]) @[Cat.scala 29:58] + node _T_287 = cat(_T_286, _T_274[13]) @[Cat.scala 29:58] + node _T_288 = cat(_T_287, _T_274[14]) @[Cat.scala 29:58] + node _T_289 = cat(_T_288, _T_274[15]) @[Cat.scala 29:58] + node _T_290 = cat(_T_289, _T_274[16]) @[Cat.scala 29:58] + node _T_291 = cat(_T_290, _T_274[17]) @[Cat.scala 29:58] + node _T_292 = cat(_T_291, _T_274[18]) @[Cat.scala 29:58] + node _T_293 = cat(_T_292, _T_274[19]) @[Cat.scala 29:58] + node _T_294 = cat(_T_293, _T_274[20]) @[Cat.scala 29:58] + node _T_295 = cat(_T_294, _T_274[21]) @[Cat.scala 29:58] + node _T_296 = cat(_T_295, _T_274[22]) @[Cat.scala 29:58] + node _T_297 = cat(_T_296, _T_274[23]) @[Cat.scala 29:58] + node _T_298 = cat(_T_297, _T_274[24]) @[Cat.scala 29:58] + node _T_299 = cat(_T_298, _T_274[25]) @[Cat.scala 29:58] + node _T_300 = cat(_T_299, _T_274[26]) @[Cat.scala 29:58] + node _T_301 = cat(_T_300, _T_274[27]) @[Cat.scala 29:58] + node _T_302 = cat(_T_301, _T_274[28]) @[Cat.scala 29:58] + node _T_303 = cat(_T_302, _T_274[29]) @[Cat.scala 29:58] + node _T_304 = cat(_T_303, _T_274[30]) @[Cat.scala 29:58] + node _T_305 = and(_T_272, _T_304) @[exu_alu_ctl.scala 192:47] + wire _T_306 : UInt<1>[31] @[lib.scala 12:48] + _T_306[0] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[1] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[2] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[3] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[4] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[5] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[6] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[7] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[8] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[9] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[10] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[11] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[12] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[13] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[14] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[15] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[16] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[17] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[18] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[19] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[20] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[21] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[22] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[23] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[24] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[25] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[26] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[27] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[28] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[29] <= io.i0_ap.sll @[lib.scala 12:48] + _T_306[30] <= io.i0_ap.sll @[lib.scala 12:48] + node _T_307 = cat(_T_306[0], _T_306[1]) @[Cat.scala 29:58] + node _T_308 = cat(_T_307, _T_306[2]) @[Cat.scala 29:58] + node _T_309 = cat(_T_308, _T_306[3]) @[Cat.scala 29:58] + node _T_310 = cat(_T_309, _T_306[4]) @[Cat.scala 29:58] + node _T_311 = cat(_T_310, _T_306[5]) @[Cat.scala 29:58] + node _T_312 = cat(_T_311, _T_306[6]) @[Cat.scala 29:58] + node _T_313 = cat(_T_312, _T_306[7]) @[Cat.scala 29:58] + node _T_314 = cat(_T_313, _T_306[8]) @[Cat.scala 29:58] + node _T_315 = cat(_T_314, _T_306[9]) @[Cat.scala 29:58] + node _T_316 = cat(_T_315, _T_306[10]) @[Cat.scala 29:58] + node _T_317 = cat(_T_316, _T_306[11]) @[Cat.scala 29:58] + node _T_318 = cat(_T_317, _T_306[12]) @[Cat.scala 29:58] + node _T_319 = cat(_T_318, _T_306[13]) @[Cat.scala 29:58] + node _T_320 = cat(_T_319, _T_306[14]) @[Cat.scala 29:58] + node _T_321 = cat(_T_320, _T_306[15]) @[Cat.scala 29:58] + node _T_322 = cat(_T_321, _T_306[16]) @[Cat.scala 29:58] + node _T_323 = cat(_T_322, _T_306[17]) @[Cat.scala 29:58] + node _T_324 = cat(_T_323, _T_306[18]) @[Cat.scala 29:58] + node _T_325 = cat(_T_324, _T_306[19]) @[Cat.scala 29:58] + node _T_326 = cat(_T_325, _T_306[20]) @[Cat.scala 29:58] + node _T_327 = cat(_T_326, _T_306[21]) @[Cat.scala 29:58] + node _T_328 = cat(_T_327, _T_306[22]) @[Cat.scala 29:58] + node _T_329 = cat(_T_328, _T_306[23]) @[Cat.scala 29:58] + node _T_330 = cat(_T_329, _T_306[24]) @[Cat.scala 29:58] + node _T_331 = cat(_T_330, _T_306[25]) @[Cat.scala 29:58] + node _T_332 = cat(_T_331, _T_306[26]) @[Cat.scala 29:58] + node _T_333 = cat(_T_332, _T_306[27]) @[Cat.scala 29:58] + node _T_334 = cat(_T_333, _T_306[28]) @[Cat.scala 29:58] + node _T_335 = cat(_T_334, _T_306[29]) @[Cat.scala 29:58] + node _T_336 = cat(_T_335, _T_306[30]) @[Cat.scala 29:58] + node _T_337 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 192:105] + node _T_338 = and(_T_336, _T_337) @[exu_alu_ctl.scala 192:96] + node _T_339 = or(_T_305, _T_338) @[exu_alu_ctl.scala 192:71] + node _T_340 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_341 = cat(_T_339, _T_340) @[Cat.scala 29:58] + shift_extend <= _T_341 @[exu_alu_ctl.scala 192:16] + node _T_342 = bits(io.i0_ap.sra, 0, 0) @[exu_alu_ctl.scala 194:54] + node _T_343 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 194:75] + node _T_344 = bits(_T_343, 0, 0) @[Bitwise.scala 72:15] + node _T_345 = mux(_T_344, UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_346 = bits(io.i0_ap.sll, 0, 0) @[exu_alu_ctl.scala 195:24] + node _T_347 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 195:41] + node _T_348 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 196:41] + node _T_349 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 197:41] + node _T_350 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 198:41] + node _T_351 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_352 = mux(_T_342, _T_345, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_353 = mux(_T_346, _T_347, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_354 = mux(ap_rol, _T_348, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_355 = mux(ap_ror, _T_349, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_356 = mux(ap_slo, _T_350, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_357 = mux(ap_sro, _T_351, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_358 = or(_T_352, _T_353) @[Mux.scala 27:72] + node _T_359 = or(_T_358, _T_354) @[Mux.scala 27:72] + node _T_360 = or(_T_359, _T_355) @[Mux.scala 27:72] + node _T_361 = or(_T_360, _T_356) @[Mux.scala 27:72] + node _T_362 = or(_T_361, _T_357) @[Mux.scala 27:72] + wire _T_363 : UInt<31> @[Mux.scala 27:72] + _T_363 <= _T_362 @[Mux.scala 27:72] + node _T_364 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_365 = cat(_T_363, _T_364) @[Cat.scala 29:58] + shift_extend <= _T_365 @[exu_alu_ctl.scala 194:16] + wire shift_long : UInt<63> + shift_long <= UInt<1>("h00") + node _T_366 = bits(shift_amount, 4, 0) @[exu_alu_ctl.scala 202:47] + node _T_367 = dshr(shift_extend, _T_366) @[exu_alu_ctl.scala 202:32] + shift_long <= _T_367 @[exu_alu_ctl.scala 202:14] + node _T_368 = bits(shift_long, 31, 0) @[exu_alu_ctl.scala 204:27] + node _T_369 = bits(shift_mask, 31, 0) @[exu_alu_ctl.scala 204:46] + node _T_370 = and(_T_368, _T_369) @[exu_alu_ctl.scala 204:34] + node _T_371 = bits(ap_slo, 0, 0) @[Bitwise.scala 72:15] + node _T_372 = mux(_T_371, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_373 = bits(shift_mask, 31, 0) @[exu_alu_ctl.scala 204:88] + node _T_374 = not(_T_373) @[exu_alu_ctl.scala 204:77] + node _T_375 = and(_T_372, _T_374) @[exu_alu_ctl.scala 204:75] + node sout = or(_T_370, _T_375) @[exu_alu_ctl.scala 204:55] + node _T_376 = bits(io.a_in, 0, 0) @[exu_alu_ctl.scala 208:74] + node _T_377 = bits(io.a_in, 1, 1) @[exu_alu_ctl.scala 208:74] + node _T_378 = bits(io.a_in, 2, 2) @[exu_alu_ctl.scala 208:74] + node _T_379 = bits(io.a_in, 3, 3) @[exu_alu_ctl.scala 208:74] + node _T_380 = bits(io.a_in, 4, 4) @[exu_alu_ctl.scala 208:74] + node _T_381 = bits(io.a_in, 5, 5) @[exu_alu_ctl.scala 208:74] + node _T_382 = bits(io.a_in, 6, 6) @[exu_alu_ctl.scala 208:74] + node _T_383 = bits(io.a_in, 7, 7) @[exu_alu_ctl.scala 208:74] + node _T_384 = bits(io.a_in, 8, 8) @[exu_alu_ctl.scala 208:74] + node _T_385 = bits(io.a_in, 9, 9) @[exu_alu_ctl.scala 208:74] + node _T_386 = bits(io.a_in, 10, 10) @[exu_alu_ctl.scala 208:74] + node _T_387 = bits(io.a_in, 11, 11) @[exu_alu_ctl.scala 208:74] + node _T_388 = bits(io.a_in, 12, 12) @[exu_alu_ctl.scala 208:74] + node _T_389 = bits(io.a_in, 13, 13) @[exu_alu_ctl.scala 208:74] + node _T_390 = bits(io.a_in, 14, 14) @[exu_alu_ctl.scala 208:74] + node _T_391 = bits(io.a_in, 15, 15) @[exu_alu_ctl.scala 208:74] + node _T_392 = bits(io.a_in, 16, 16) @[exu_alu_ctl.scala 208:74] + node _T_393 = bits(io.a_in, 17, 17) @[exu_alu_ctl.scala 208:74] + node _T_394 = bits(io.a_in, 18, 18) @[exu_alu_ctl.scala 208:74] + node _T_395 = bits(io.a_in, 19, 19) @[exu_alu_ctl.scala 208:74] + node _T_396 = bits(io.a_in, 20, 20) @[exu_alu_ctl.scala 208:74] + node _T_397 = bits(io.a_in, 21, 21) @[exu_alu_ctl.scala 208:74] + node _T_398 = bits(io.a_in, 22, 22) @[exu_alu_ctl.scala 208:74] + node _T_399 = bits(io.a_in, 23, 23) @[exu_alu_ctl.scala 208:74] + node _T_400 = bits(io.a_in, 24, 24) @[exu_alu_ctl.scala 208:74] + node _T_401 = bits(io.a_in, 25, 25) @[exu_alu_ctl.scala 208:74] + node _T_402 = bits(io.a_in, 26, 26) @[exu_alu_ctl.scala 208:74] + node _T_403 = bits(io.a_in, 27, 27) @[exu_alu_ctl.scala 208:74] + node _T_404 = bits(io.a_in, 28, 28) @[exu_alu_ctl.scala 208:74] + node _T_405 = bits(io.a_in, 29, 29) @[exu_alu_ctl.scala 208:74] + node _T_406 = bits(io.a_in, 30, 30) @[exu_alu_ctl.scala 208:74] + node _T_407 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 208:74] + node _T_408 = cat(_T_376, _T_377) @[Cat.scala 29:58] + node _T_409 = cat(_T_408, _T_378) @[Cat.scala 29:58] + node _T_410 = cat(_T_409, _T_379) @[Cat.scala 29:58] + node _T_411 = cat(_T_410, _T_380) @[Cat.scala 29:58] + node _T_412 = cat(_T_411, _T_381) @[Cat.scala 29:58] + node _T_413 = cat(_T_412, _T_382) @[Cat.scala 29:58] + node _T_414 = cat(_T_413, _T_383) @[Cat.scala 29:58] + node _T_415 = cat(_T_414, _T_384) @[Cat.scala 29:58] + node _T_416 = cat(_T_415, _T_385) @[Cat.scala 29:58] + node _T_417 = cat(_T_416, _T_386) @[Cat.scala 29:58] + node _T_418 = cat(_T_417, _T_387) @[Cat.scala 29:58] + node _T_419 = cat(_T_418, _T_388) @[Cat.scala 29:58] + node _T_420 = cat(_T_419, _T_389) @[Cat.scala 29:58] + node _T_421 = cat(_T_420, _T_390) @[Cat.scala 29:58] + node _T_422 = cat(_T_421, _T_391) @[Cat.scala 29:58] + node _T_423 = cat(_T_422, _T_392) @[Cat.scala 29:58] + node _T_424 = cat(_T_423, _T_393) @[Cat.scala 29:58] + node _T_425 = cat(_T_424, _T_394) @[Cat.scala 29:58] + node _T_426 = cat(_T_425, _T_395) @[Cat.scala 29:58] + node _T_427 = cat(_T_426, _T_396) @[Cat.scala 29:58] + node _T_428 = cat(_T_427, _T_397) @[Cat.scala 29:58] + node _T_429 = cat(_T_428, _T_398) @[Cat.scala 29:58] + node _T_430 = cat(_T_429, _T_399) @[Cat.scala 29:58] + node _T_431 = cat(_T_430, _T_400) @[Cat.scala 29:58] + node _T_432 = cat(_T_431, _T_401) @[Cat.scala 29:58] + node _T_433 = cat(_T_432, _T_402) @[Cat.scala 29:58] + node _T_434 = cat(_T_433, _T_403) @[Cat.scala 29:58] + node _T_435 = cat(_T_434, _T_404) @[Cat.scala 29:58] + node _T_436 = cat(_T_435, _T_405) @[Cat.scala 29:58] + node _T_437 = cat(_T_436, _T_406) @[Cat.scala 29:58] + node bitmanip_a_reverse_ff = cat(_T_437, _T_407) @[Cat.scala 29:58] + node _T_438 = asSInt(bitmanip_a_reverse_ff) @[exu_alu_ctl.scala 214:93] + wire _T_439 : SInt<32> @[Mux.scala 27:72] + node _T_440 = asUInt(io.a_in) @[Mux.scala 27:72] + node _T_441 = asSInt(_T_440) @[Mux.scala 27:72] + _T_439 <= _T_441 @[Mux.scala 27:72] + wire _T_442 : SInt<32> @[Mux.scala 27:72] + node _T_443 = asUInt(_T_438) @[Mux.scala 27:72] + node _T_444 = asSInt(_T_443) @[Mux.scala 27:72] + _T_442 <= _T_444 @[Mux.scala 27:72] + node _T_445 = mux(ap_clz, _T_439, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_446 = mux(ap_ctz, _T_442, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_447 = or(_T_445, _T_446) @[Mux.scala 27:72] + node _T_448 = asSInt(_T_447) @[Mux.scala 27:72] + wire bitmanip_lzd_in : SInt<32> @[Mux.scala 27:72] + node _T_449 = asUInt(_T_448) @[Mux.scala 27:72] + node _T_450 = asSInt(_T_449) @[Mux.scala 27:72] + bitmanip_lzd_in <= _T_450 @[Mux.scala 27:72] + wire bitmanip_dw_lzd_enc : UInt<6> + bitmanip_dw_lzd_enc <= UInt<1>("h00") + node _T_451 = bits(bitmanip_lzd_in, 31, 0) @[exu_alu_ctl.scala 219:75] + node _T_452 = eq(_T_451, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_453 = bits(bitmanip_lzd_in, 31, 1) @[exu_alu_ctl.scala 219:75] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_455 = bits(bitmanip_lzd_in, 31, 2) @[exu_alu_ctl.scala 219:75] + node _T_456 = eq(_T_455, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_457 = bits(bitmanip_lzd_in, 31, 3) @[exu_alu_ctl.scala 219:75] + node _T_458 = eq(_T_457, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_459 = bits(bitmanip_lzd_in, 31, 4) @[exu_alu_ctl.scala 219:75] + node _T_460 = eq(_T_459, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_461 = bits(bitmanip_lzd_in, 31, 5) @[exu_alu_ctl.scala 219:75] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_463 = bits(bitmanip_lzd_in, 31, 6) @[exu_alu_ctl.scala 219:75] + node _T_464 = eq(_T_463, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_465 = bits(bitmanip_lzd_in, 31, 7) @[exu_alu_ctl.scala 219:75] + node _T_466 = eq(_T_465, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_467 = bits(bitmanip_lzd_in, 31, 8) @[exu_alu_ctl.scala 219:75] + node _T_468 = eq(_T_467, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_469 = bits(bitmanip_lzd_in, 31, 9) @[exu_alu_ctl.scala 219:75] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_471 = bits(bitmanip_lzd_in, 31, 10) @[exu_alu_ctl.scala 219:75] + node _T_472 = eq(_T_471, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_473 = bits(bitmanip_lzd_in, 31, 11) @[exu_alu_ctl.scala 219:75] + node _T_474 = eq(_T_473, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_475 = bits(bitmanip_lzd_in, 31, 12) @[exu_alu_ctl.scala 219:75] + node _T_476 = eq(_T_475, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_477 = bits(bitmanip_lzd_in, 31, 13) @[exu_alu_ctl.scala 219:75] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_479 = bits(bitmanip_lzd_in, 31, 14) @[exu_alu_ctl.scala 219:75] + node _T_480 = eq(_T_479, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_481 = bits(bitmanip_lzd_in, 31, 15) @[exu_alu_ctl.scala 219:75] + node _T_482 = eq(_T_481, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_483 = bits(bitmanip_lzd_in, 31, 16) @[exu_alu_ctl.scala 219:75] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_485 = bits(bitmanip_lzd_in, 31, 17) @[exu_alu_ctl.scala 219:75] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_487 = bits(bitmanip_lzd_in, 31, 18) @[exu_alu_ctl.scala 219:75] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_489 = bits(bitmanip_lzd_in, 31, 19) @[exu_alu_ctl.scala 219:75] + node _T_490 = eq(_T_489, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_491 = bits(bitmanip_lzd_in, 31, 20) @[exu_alu_ctl.scala 219:75] + node _T_492 = eq(_T_491, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_493 = bits(bitmanip_lzd_in, 31, 21) @[exu_alu_ctl.scala 219:75] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_495 = bits(bitmanip_lzd_in, 31, 22) @[exu_alu_ctl.scala 219:75] + node _T_496 = eq(_T_495, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_497 = bits(bitmanip_lzd_in, 31, 23) @[exu_alu_ctl.scala 219:75] + node _T_498 = eq(_T_497, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_499 = bits(bitmanip_lzd_in, 31, 24) @[exu_alu_ctl.scala 219:75] + node _T_500 = eq(_T_499, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_501 = bits(bitmanip_lzd_in, 31, 25) @[exu_alu_ctl.scala 219:75] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_503 = bits(bitmanip_lzd_in, 31, 26) @[exu_alu_ctl.scala 219:75] + node _T_504 = eq(_T_503, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_505 = bits(bitmanip_lzd_in, 31, 27) @[exu_alu_ctl.scala 219:75] + node _T_506 = eq(_T_505, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_507 = bits(bitmanip_lzd_in, 31, 28) @[exu_alu_ctl.scala 219:75] + node _T_508 = eq(_T_507, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_509 = bits(bitmanip_lzd_in, 31, 29) @[exu_alu_ctl.scala 219:75] + node _T_510 = eq(_T_509, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_511 = bits(bitmanip_lzd_in, 31, 30) @[exu_alu_ctl.scala 219:75] + node _T_512 = eq(_T_511, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_513 = bits(bitmanip_lzd_in, 31, 31) @[exu_alu_ctl.scala 219:75] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[exu_alu_ctl.scala 219:81] + node _T_515 = mux(_T_514, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_516 = mux(_T_512, UInt<2>("h02"), _T_515) @[Mux.scala 98:16] + node _T_517 = mux(_T_510, UInt<2>("h03"), _T_516) @[Mux.scala 98:16] + node _T_518 = mux(_T_508, UInt<3>("h04"), _T_517) @[Mux.scala 98:16] + node _T_519 = mux(_T_506, UInt<3>("h05"), _T_518) @[Mux.scala 98:16] + node _T_520 = mux(_T_504, UInt<3>("h06"), _T_519) @[Mux.scala 98:16] + node _T_521 = mux(_T_502, UInt<3>("h07"), _T_520) @[Mux.scala 98:16] + node _T_522 = mux(_T_500, UInt<4>("h08"), _T_521) @[Mux.scala 98:16] + node _T_523 = mux(_T_498, UInt<4>("h09"), _T_522) @[Mux.scala 98:16] + node _T_524 = mux(_T_496, UInt<4>("h0a"), _T_523) @[Mux.scala 98:16] + node _T_525 = mux(_T_494, UInt<4>("h0b"), _T_524) @[Mux.scala 98:16] + node _T_526 = mux(_T_492, UInt<4>("h0c"), _T_525) @[Mux.scala 98:16] + node _T_527 = mux(_T_490, UInt<4>("h0d"), _T_526) @[Mux.scala 98:16] + node _T_528 = mux(_T_488, UInt<4>("h0e"), _T_527) @[Mux.scala 98:16] + node _T_529 = mux(_T_486, UInt<4>("h0f"), _T_528) @[Mux.scala 98:16] + node _T_530 = mux(_T_484, UInt<5>("h010"), _T_529) @[Mux.scala 98:16] + node _T_531 = mux(_T_482, UInt<5>("h011"), _T_530) @[Mux.scala 98:16] + node _T_532 = mux(_T_480, UInt<5>("h012"), _T_531) @[Mux.scala 98:16] + node _T_533 = mux(_T_478, UInt<5>("h013"), _T_532) @[Mux.scala 98:16] + node _T_534 = mux(_T_476, UInt<5>("h014"), _T_533) @[Mux.scala 98:16] + node _T_535 = mux(_T_474, UInt<5>("h015"), _T_534) @[Mux.scala 98:16] + node _T_536 = mux(_T_472, UInt<5>("h016"), _T_535) @[Mux.scala 98:16] + node _T_537 = mux(_T_470, UInt<5>("h017"), _T_536) @[Mux.scala 98:16] + node _T_538 = mux(_T_468, UInt<5>("h018"), _T_537) @[Mux.scala 98:16] + node _T_539 = mux(_T_466, UInt<5>("h019"), _T_538) @[Mux.scala 98:16] + node _T_540 = mux(_T_464, UInt<5>("h01a"), _T_539) @[Mux.scala 98:16] + node _T_541 = mux(_T_462, UInt<5>("h01b"), _T_540) @[Mux.scala 98:16] + node _T_542 = mux(_T_460, UInt<5>("h01c"), _T_541) @[Mux.scala 98:16] + node _T_543 = mux(_T_458, UInt<5>("h01d"), _T_542) @[Mux.scala 98:16] + node _T_544 = mux(_T_456, UInt<5>("h01e"), _T_543) @[Mux.scala 98:16] + node _T_545 = mux(_T_454, UInt<5>("h01f"), _T_544) @[Mux.scala 98:16] + node _T_546 = mux(_T_452, UInt<6>("h020"), _T_545) @[Mux.scala 98:16] + bitmanip_dw_lzd_enc <= _T_546 @[exu_alu_ctl.scala 219:23] + node _T_547 = or(ap_clz, ap_ctz) @[exu_alu_ctl.scala 221:52] + node _T_548 = bits(_T_547, 0, 0) @[Bitwise.scala 72:15] + node _T_549 = mux(_T_548, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_550 = bits(bitmanip_dw_lzd_enc, 5, 5) @[exu_alu_ctl.scala 221:83] + node _T_551 = and(_T_549, _T_550) @[exu_alu_ctl.scala 221:62] + node _T_552 = bits(bitmanip_dw_lzd_enc, 5, 5) @[exu_alu_ctl.scala 221:116] + node _T_553 = eq(_T_552, UInt<1>("h00")) @[exu_alu_ctl.scala 221:96] + node _T_554 = bits(_T_553, 0, 0) @[Bitwise.scala 72:15] + node _T_555 = mux(_T_554, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_556 = bits(bitmanip_dw_lzd_enc, 4, 0) @[exu_alu_ctl.scala 221:142] + node _T_557 = and(_T_555, _T_556) @[exu_alu_ctl.scala 221:121] + node bitmanip_clz_ctz_result = cat(_T_551, _T_557) @[Cat.scala 29:58] + node _T_558 = bits(ap_pcnt, 0, 0) @[Bitwise.scala 72:15] + node _T_559 = mux(_T_558, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_560 = bits(io.a_in, 0, 0) @[Bitwise.scala 49:65] + node _T_561 = bits(io.a_in, 1, 1) @[Bitwise.scala 49:65] + node _T_562 = bits(io.a_in, 2, 2) @[Bitwise.scala 49:65] + node _T_563 = bits(io.a_in, 3, 3) @[Bitwise.scala 49:65] + node _T_564 = bits(io.a_in, 4, 4) @[Bitwise.scala 49:65] + node _T_565 = bits(io.a_in, 5, 5) @[Bitwise.scala 49:65] + node _T_566 = bits(io.a_in, 6, 6) @[Bitwise.scala 49:65] + node _T_567 = bits(io.a_in, 7, 7) @[Bitwise.scala 49:65] + node _T_568 = bits(io.a_in, 8, 8) @[Bitwise.scala 49:65] + node _T_569 = bits(io.a_in, 9, 9) @[Bitwise.scala 49:65] + node _T_570 = bits(io.a_in, 10, 10) @[Bitwise.scala 49:65] + node _T_571 = bits(io.a_in, 11, 11) @[Bitwise.scala 49:65] + node _T_572 = bits(io.a_in, 12, 12) @[Bitwise.scala 49:65] + node _T_573 = bits(io.a_in, 13, 13) @[Bitwise.scala 49:65] + node _T_574 = bits(io.a_in, 14, 14) @[Bitwise.scala 49:65] + node _T_575 = bits(io.a_in, 15, 15) @[Bitwise.scala 49:65] + node _T_576 = bits(io.a_in, 16, 16) @[Bitwise.scala 49:65] + node _T_577 = bits(io.a_in, 17, 17) @[Bitwise.scala 49:65] + node _T_578 = bits(io.a_in, 18, 18) @[Bitwise.scala 49:65] + node _T_579 = bits(io.a_in, 19, 19) @[Bitwise.scala 49:65] + node _T_580 = bits(io.a_in, 20, 20) @[Bitwise.scala 49:65] + node _T_581 = bits(io.a_in, 21, 21) @[Bitwise.scala 49:65] + node _T_582 = bits(io.a_in, 22, 22) @[Bitwise.scala 49:65] + node _T_583 = bits(io.a_in, 23, 23) @[Bitwise.scala 49:65] + node _T_584 = bits(io.a_in, 24, 24) @[Bitwise.scala 49:65] + node _T_585 = bits(io.a_in, 25, 25) @[Bitwise.scala 49:65] + node _T_586 = bits(io.a_in, 26, 26) @[Bitwise.scala 49:65] + node _T_587 = bits(io.a_in, 27, 27) @[Bitwise.scala 49:65] + node _T_588 = bits(io.a_in, 28, 28) @[Bitwise.scala 49:65] + node _T_589 = bits(io.a_in, 29, 29) @[Bitwise.scala 49:65] + node _T_590 = bits(io.a_in, 30, 30) @[Bitwise.scala 49:65] + node _T_591 = bits(io.a_in, 31, 31) @[Bitwise.scala 49:65] + node _T_592 = add(_T_560, _T_561) @[Bitwise.scala 47:55] + node _T_593 = bits(_T_592, 1, 0) @[Bitwise.scala 47:55] + node _T_594 = add(_T_562, _T_563) @[Bitwise.scala 47:55] + node _T_595 = bits(_T_594, 1, 0) @[Bitwise.scala 47:55] + node _T_596 = add(_T_593, _T_595) @[Bitwise.scala 47:55] + node _T_597 = bits(_T_596, 2, 0) @[Bitwise.scala 47:55] + node _T_598 = add(_T_564, _T_565) @[Bitwise.scala 47:55] + node _T_599 = bits(_T_598, 1, 0) @[Bitwise.scala 47:55] + node _T_600 = add(_T_566, _T_567) @[Bitwise.scala 47:55] + node _T_601 = bits(_T_600, 1, 0) @[Bitwise.scala 47:55] + node _T_602 = add(_T_599, _T_601) @[Bitwise.scala 47:55] + node _T_603 = bits(_T_602, 2, 0) @[Bitwise.scala 47:55] + node _T_604 = add(_T_597, _T_603) @[Bitwise.scala 47:55] + node _T_605 = bits(_T_604, 3, 0) @[Bitwise.scala 47:55] + node _T_606 = add(_T_568, _T_569) @[Bitwise.scala 47:55] + node _T_607 = bits(_T_606, 1, 0) @[Bitwise.scala 47:55] + node _T_608 = add(_T_570, _T_571) @[Bitwise.scala 47:55] + node _T_609 = bits(_T_608, 1, 0) @[Bitwise.scala 47:55] + node _T_610 = add(_T_607, _T_609) @[Bitwise.scala 47:55] + node _T_611 = bits(_T_610, 2, 0) @[Bitwise.scala 47:55] + node _T_612 = add(_T_572, _T_573) @[Bitwise.scala 47:55] + node _T_613 = bits(_T_612, 1, 0) @[Bitwise.scala 47:55] + node _T_614 = add(_T_574, _T_575) @[Bitwise.scala 47:55] + node _T_615 = bits(_T_614, 1, 0) @[Bitwise.scala 47:55] + node _T_616 = add(_T_613, _T_615) @[Bitwise.scala 47:55] + node _T_617 = bits(_T_616, 2, 0) @[Bitwise.scala 47:55] + node _T_618 = add(_T_611, _T_617) @[Bitwise.scala 47:55] + node _T_619 = bits(_T_618, 3, 0) @[Bitwise.scala 47:55] + node _T_620 = add(_T_605, _T_619) @[Bitwise.scala 47:55] + node _T_621 = bits(_T_620, 4, 0) @[Bitwise.scala 47:55] + node _T_622 = add(_T_576, _T_577) @[Bitwise.scala 47:55] + node _T_623 = bits(_T_622, 1, 0) @[Bitwise.scala 47:55] + node _T_624 = add(_T_578, _T_579) @[Bitwise.scala 47:55] + node _T_625 = bits(_T_624, 1, 0) @[Bitwise.scala 47:55] + node _T_626 = add(_T_623, _T_625) @[Bitwise.scala 47:55] + node _T_627 = bits(_T_626, 2, 0) @[Bitwise.scala 47:55] + node _T_628 = add(_T_580, _T_581) @[Bitwise.scala 47:55] + node _T_629 = bits(_T_628, 1, 0) @[Bitwise.scala 47:55] + node _T_630 = add(_T_582, _T_583) @[Bitwise.scala 47:55] + node _T_631 = bits(_T_630, 1, 0) @[Bitwise.scala 47:55] + node _T_632 = add(_T_629, _T_631) @[Bitwise.scala 47:55] + node _T_633 = bits(_T_632, 2, 0) @[Bitwise.scala 47:55] + node _T_634 = add(_T_627, _T_633) @[Bitwise.scala 47:55] + node _T_635 = bits(_T_634, 3, 0) @[Bitwise.scala 47:55] + node _T_636 = add(_T_584, _T_585) @[Bitwise.scala 47:55] + node _T_637 = bits(_T_636, 1, 0) @[Bitwise.scala 47:55] + node _T_638 = add(_T_586, _T_587) @[Bitwise.scala 47:55] + node _T_639 = bits(_T_638, 1, 0) @[Bitwise.scala 47:55] + node _T_640 = add(_T_637, _T_639) @[Bitwise.scala 47:55] + node _T_641 = bits(_T_640, 2, 0) @[Bitwise.scala 47:55] + node _T_642 = add(_T_588, _T_589) @[Bitwise.scala 47:55] + node _T_643 = bits(_T_642, 1, 0) @[Bitwise.scala 47:55] + node _T_644 = add(_T_590, _T_591) @[Bitwise.scala 47:55] + node _T_645 = bits(_T_644, 1, 0) @[Bitwise.scala 47:55] + node _T_646 = add(_T_643, _T_645) @[Bitwise.scala 47:55] + node _T_647 = bits(_T_646, 2, 0) @[Bitwise.scala 47:55] + node _T_648 = add(_T_641, _T_647) @[Bitwise.scala 47:55] + node _T_649 = bits(_T_648, 3, 0) @[Bitwise.scala 47:55] + node _T_650 = add(_T_635, _T_649) @[Bitwise.scala 47:55] + node _T_651 = bits(_T_650, 4, 0) @[Bitwise.scala 47:55] + node _T_652 = add(_T_621, _T_651) @[Bitwise.scala 47:55] + node _T_653 = bits(_T_652, 5, 0) @[Bitwise.scala 47:55] + node bitmanip_pcnt_result = and(_T_559, _T_653) @[exu_alu_ctl.scala 224:50] + node _T_654 = bits(io.a_in, 7, 7) @[exu_alu_ctl.scala 228:75] + node _T_655 = bits(_T_654, 0, 0) @[Bitwise.scala 72:15] + node _T_656 = mux(_T_655, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_657 = bits(io.a_in, 7, 0) @[exu_alu_ctl.scala 228:88] + node _T_658 = cat(_T_656, _T_657) @[Cat.scala 29:58] + node _T_659 = bits(io.a_in, 15, 15) @[exu_alu_ctl.scala 229:38] + node _T_660 = bits(_T_659, 0, 0) @[Bitwise.scala 72:15] + node _T_661 = mux(_T_660, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_662 = bits(io.a_in, 15, 0) @[exu_alu_ctl.scala 229:51] + node _T_663 = cat(_T_661, _T_662) @[Cat.scala 29:58] + node _T_664 = mux(ap_sext_b, _T_658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_665 = mux(ap_sext_h, _T_663, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_666 = or(_T_664, _T_665) @[Mux.scala 27:72] + wire bitmanip_sext_result : UInt<32> @[Mux.scala 27:72] + bitmanip_sext_result <= _T_666 @[Mux.scala 27:72] + node bitmanip_minmax_sel = or(ap_min, ap_max) @[exu_alu_ctl.scala 233:46] + node bitmanip_minmax_sel_a = xor(ge, ap_min) @[exu_alu_ctl.scala 235:43] + node _T_667 = and(bitmanip_minmax_sel, bitmanip_minmax_sel_a) @[exu_alu_ctl.scala 238:26] + node _T_668 = eq(bitmanip_minmax_sel_a, UInt<1>("h00")) @[exu_alu_ctl.scala 239:28] + node _T_669 = and(bitmanip_minmax_sel, _T_668) @[exu_alu_ctl.scala 239:26] + node _T_670 = asSInt(io.b_in) @[exu_alu_ctl.scala 239:65] + wire _T_671 : SInt<32> @[Mux.scala 27:72] + node _T_672 = asUInt(io.a_in) @[Mux.scala 27:72] + node _T_673 = asSInt(_T_672) @[Mux.scala 27:72] + _T_671 <= _T_673 @[Mux.scala 27:72] + wire _T_674 : SInt<32> @[Mux.scala 27:72] + node _T_675 = asUInt(_T_670) @[Mux.scala 27:72] + node _T_676 = asSInt(_T_675) @[Mux.scala 27:72] + _T_674 <= _T_676 @[Mux.scala 27:72] + node _T_677 = mux(_T_667, _T_671, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_678 = mux(_T_669, _T_674, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_679 = or(_T_677, _T_678) @[Mux.scala 27:72] + node _T_680 = asSInt(_T_679) @[Mux.scala 27:72] + wire bitmanip_minmax_result : SInt<32> @[Mux.scala 27:72] + node _T_681 = asUInt(_T_680) @[Mux.scala 27:72] + node _T_682 = asSInt(_T_681) @[Mux.scala 27:72] + bitmanip_minmax_result <= _T_682 @[Mux.scala 27:72] + node _T_683 = bits(ap_pack, 0, 0) @[Bitwise.scala 72:15] + node _T_684 = mux(_T_683, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_685 = bits(io.b_in, 15, 0) @[exu_alu_ctl.scala 244:63] + node _T_686 = bits(io.a_in, 15, 0) @[exu_alu_ctl.scala 244:78] + node _T_687 = cat(_T_685, _T_686) @[Cat.scala 29:58] + node bitmanip_pack_result = and(_T_684, _T_687) @[exu_alu_ctl.scala 244:50] + node _T_688 = bits(ap_packu, 0, 0) @[Bitwise.scala 72:15] + node _T_689 = mux(_T_688, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_690 = bits(io.b_in, 31, 16) @[exu_alu_ctl.scala 245:63] + node _T_691 = bits(io.a_in, 31, 16) @[exu_alu_ctl.scala 245:78] + node _T_692 = cat(_T_690, _T_691) @[Cat.scala 29:58] + node bitmanip_packu_result = and(_T_689, _T_692) @[exu_alu_ctl.scala 245:50] + node _T_693 = bits(ap_packh, 0, 0) @[Bitwise.scala 72:15] + node _T_694 = mux(_T_693, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_695 = bits(io.b_in, 7, 0) @[exu_alu_ctl.scala 246:73] + node _T_696 = bits(io.a_in, 7, 0) @[exu_alu_ctl.scala 246:86] + node _T_697 = cat(UInt<16>("h00"), _T_695) @[Cat.scala 29:58] + node _T_698 = cat(_T_697, _T_696) @[Cat.scala 29:58] + node bitmanip_packh_result = and(_T_694, _T_698) @[exu_alu_ctl.scala 246:50] + node _T_699 = bits(ap_rev, 0, 0) @[Bitwise.scala 72:15] + node _T_700 = mux(_T_699, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_701 = bits(io.a_in, 0, 0) @[exu_alu_ctl.scala 252:92] + node _T_702 = bits(io.a_in, 1, 1) @[exu_alu_ctl.scala 252:92] + node _T_703 = bits(io.a_in, 2, 2) @[exu_alu_ctl.scala 252:92] + node _T_704 = bits(io.a_in, 3, 3) @[exu_alu_ctl.scala 252:92] + node _T_705 = bits(io.a_in, 4, 4) @[exu_alu_ctl.scala 252:92] + node _T_706 = bits(io.a_in, 5, 5) @[exu_alu_ctl.scala 252:92] + node _T_707 = bits(io.a_in, 6, 6) @[exu_alu_ctl.scala 252:92] + node _T_708 = bits(io.a_in, 7, 7) @[exu_alu_ctl.scala 252:92] + node _T_709 = bits(io.a_in, 8, 8) @[exu_alu_ctl.scala 252:92] + node _T_710 = bits(io.a_in, 9, 9) @[exu_alu_ctl.scala 252:92] + node _T_711 = bits(io.a_in, 10, 10) @[exu_alu_ctl.scala 252:92] + node _T_712 = bits(io.a_in, 11, 11) @[exu_alu_ctl.scala 252:92] + node _T_713 = bits(io.a_in, 12, 12) @[exu_alu_ctl.scala 252:92] + node _T_714 = bits(io.a_in, 13, 13) @[exu_alu_ctl.scala 252:92] + node _T_715 = bits(io.a_in, 14, 14) @[exu_alu_ctl.scala 252:92] + node _T_716 = bits(io.a_in, 15, 15) @[exu_alu_ctl.scala 252:92] + node _T_717 = bits(io.a_in, 16, 16) @[exu_alu_ctl.scala 252:92] + node _T_718 = bits(io.a_in, 17, 17) @[exu_alu_ctl.scala 252:92] + node _T_719 = bits(io.a_in, 18, 18) @[exu_alu_ctl.scala 252:92] + node _T_720 = bits(io.a_in, 19, 19) @[exu_alu_ctl.scala 252:92] + node _T_721 = bits(io.a_in, 20, 20) @[exu_alu_ctl.scala 252:92] + node _T_722 = bits(io.a_in, 21, 21) @[exu_alu_ctl.scala 252:92] + node _T_723 = bits(io.a_in, 22, 22) @[exu_alu_ctl.scala 252:92] + node _T_724 = bits(io.a_in, 23, 23) @[exu_alu_ctl.scala 252:92] + node _T_725 = bits(io.a_in, 24, 24) @[exu_alu_ctl.scala 252:92] + node _T_726 = bits(io.a_in, 25, 25) @[exu_alu_ctl.scala 252:92] + node _T_727 = bits(io.a_in, 26, 26) @[exu_alu_ctl.scala 252:92] + node _T_728 = bits(io.a_in, 27, 27) @[exu_alu_ctl.scala 252:92] + node _T_729 = bits(io.a_in, 28, 28) @[exu_alu_ctl.scala 252:92] + node _T_730 = bits(io.a_in, 29, 29) @[exu_alu_ctl.scala 252:92] + node _T_731 = bits(io.a_in, 30, 30) @[exu_alu_ctl.scala 252:92] + node _T_732 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 252:92] + node _T_733 = cat(_T_701, _T_702) @[Cat.scala 29:58] + node _T_734 = cat(_T_733, _T_703) @[Cat.scala 29:58] + node _T_735 = cat(_T_734, _T_704) @[Cat.scala 29:58] + node _T_736 = cat(_T_735, _T_705) @[Cat.scala 29:58] + node _T_737 = cat(_T_736, _T_706) @[Cat.scala 29:58] + node _T_738 = cat(_T_737, _T_707) @[Cat.scala 29:58] + node _T_739 = cat(_T_738, _T_708) @[Cat.scala 29:58] + node _T_740 = cat(_T_739, _T_709) @[Cat.scala 29:58] + node _T_741 = cat(_T_740, _T_710) @[Cat.scala 29:58] + node _T_742 = cat(_T_741, _T_711) @[Cat.scala 29:58] + node _T_743 = cat(_T_742, _T_712) @[Cat.scala 29:58] + node _T_744 = cat(_T_743, _T_713) @[Cat.scala 29:58] + node _T_745 = cat(_T_744, _T_714) @[Cat.scala 29:58] + node _T_746 = cat(_T_745, _T_715) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_716) @[Cat.scala 29:58] + node _T_748 = cat(_T_747, _T_717) @[Cat.scala 29:58] + node _T_749 = cat(_T_748, _T_718) @[Cat.scala 29:58] + node _T_750 = cat(_T_749, _T_719) @[Cat.scala 29:58] + node _T_751 = cat(_T_750, _T_720) @[Cat.scala 29:58] + node _T_752 = cat(_T_751, _T_721) @[Cat.scala 29:58] + node _T_753 = cat(_T_752, _T_722) @[Cat.scala 29:58] + node _T_754 = cat(_T_753, _T_723) @[Cat.scala 29:58] + node _T_755 = cat(_T_754, _T_724) @[Cat.scala 29:58] + node _T_756 = cat(_T_755, _T_725) @[Cat.scala 29:58] + node _T_757 = cat(_T_756, _T_726) @[Cat.scala 29:58] + node _T_758 = cat(_T_757, _T_727) @[Cat.scala 29:58] + node _T_759 = cat(_T_758, _T_728) @[Cat.scala 29:58] + node _T_760 = cat(_T_759, _T_729) @[Cat.scala 29:58] + node _T_761 = cat(_T_760, _T_730) @[Cat.scala 29:58] + node _T_762 = cat(_T_761, _T_731) @[Cat.scala 29:58] + node _T_763 = cat(_T_762, _T_732) @[Cat.scala 29:58] + node bitmanip_rev_result = and(_T_700, _T_763) @[exu_alu_ctl.scala 252:48] + node _T_764 = bits(ap_rev8, 0, 0) @[Bitwise.scala 72:15] + node _T_765 = mux(_T_764, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_766 = bits(io.a_in, 7, 0) @[exu_alu_ctl.scala 254:96] + node _T_767 = bits(io.a_in, 15, 8) @[exu_alu_ctl.scala 254:96] + node _T_768 = bits(io.a_in, 23, 16) @[exu_alu_ctl.scala 254:96] + node _T_769 = bits(io.a_in, 31, 24) @[exu_alu_ctl.scala 254:96] + node _T_770 = cat(_T_766, _T_767) @[Cat.scala 29:58] + node _T_771 = cat(_T_770, _T_768) @[Cat.scala 29:58] + node _T_772 = cat(_T_771, _T_769) @[Cat.scala 29:58] + node bitmanip_rev8_result = and(_T_765, _T_772) @[exu_alu_ctl.scala 254:50] + node _T_773 = bits(ap_orc_b, 0, 0) @[Bitwise.scala 72:15] + node _T_774 = mux(_T_773, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_775 = bits(io.a_in, 7, 0) @[exu_alu_ctl.scala 279:103] + node _T_776 = orr(_T_775) @[exu_alu_ctl.scala 279:117] + node _T_777 = bits(_T_776, 0, 0) @[Bitwise.scala 72:15] + node _T_778 = mux(_T_777, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_779 = bits(io.a_in, 15, 8) @[exu_alu_ctl.scala 279:103] + node _T_780 = orr(_T_779) @[exu_alu_ctl.scala 279:117] + node _T_781 = bits(_T_780, 0, 0) @[Bitwise.scala 72:15] + node _T_782 = mux(_T_781, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_783 = bits(io.a_in, 23, 16) @[exu_alu_ctl.scala 279:103] + node _T_784 = orr(_T_783) @[exu_alu_ctl.scala 279:117] + node _T_785 = bits(_T_784, 0, 0) @[Bitwise.scala 72:15] + node _T_786 = mux(_T_785, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_787 = bits(io.a_in, 31, 24) @[exu_alu_ctl.scala 279:103] + node _T_788 = orr(_T_787) @[exu_alu_ctl.scala 279:117] + node _T_789 = bits(_T_788, 0, 0) @[Bitwise.scala 72:15] + node _T_790 = mux(_T_789, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_791 = cat(_T_790, _T_786) @[Cat.scala 29:58] + node _T_792 = cat(_T_791, _T_782) @[Cat.scala 29:58] + node _T_793 = cat(_T_792, _T_778) @[Cat.scala 29:58] + node bitmanip_orc_b_result = and(_T_774, _T_793) @[exu_alu_ctl.scala 279:50] + node _T_794 = bits(ap_orc16, 0, 0) @[Bitwise.scala 72:15] + node _T_795 = mux(_T_794, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_796 = bits(io.a_in, 31, 16) @[exu_alu_ctl.scala 281:63] + node _T_797 = bits(io.a_in, 15, 0) @[exu_alu_ctl.scala 281:80] + node _T_798 = or(_T_796, _T_797) @[exu_alu_ctl.scala 281:71] + node _T_799 = bits(io.a_in, 31, 16) @[exu_alu_ctl.scala 281:95] + node _T_800 = bits(io.a_in, 15, 0) @[exu_alu_ctl.scala 281:112] + node _T_801 = or(_T_799, _T_800) @[exu_alu_ctl.scala 281:103] + node _T_802 = cat(_T_798, _T_801) @[Cat.scala 29:58] + node bitmanip_orc16_result = and(_T_795, _T_802) @[exu_alu_ctl.scala 281:50] + node _T_803 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 285:63] + node bitmanip_sb_1hot = dshl(UInt<32>("h01"), _T_803) @[exu_alu_ctl.scala 285:53] + node _T_804 = bits(bitmanip_sb_1hot, 31, 0) @[exu_alu_ctl.scala 288:46] + node _T_805 = asSInt(_T_804) @[exu_alu_ctl.scala 288:53] + node _T_806 = or(io.a_in, _T_805) @[exu_alu_ctl.scala 288:27] + node _T_807 = asSInt(_T_806) @[exu_alu_ctl.scala 288:27] + node _T_808 = bits(bitmanip_sb_1hot, 31, 0) @[exu_alu_ctl.scala 289:46] + node _T_809 = asSInt(_T_808) @[exu_alu_ctl.scala 289:53] + node _T_810 = not(_T_809) @[exu_alu_ctl.scala 289:29] + node _T_811 = asSInt(_T_810) @[exu_alu_ctl.scala 289:29] + node _T_812 = and(io.a_in, _T_811) @[exu_alu_ctl.scala 289:27] + node _T_813 = asSInt(_T_812) @[exu_alu_ctl.scala 289:27] + node _T_814 = bits(bitmanip_sb_1hot, 31, 0) @[exu_alu_ctl.scala 290:46] + node _T_815 = asSInt(_T_814) @[exu_alu_ctl.scala 290:53] + node _T_816 = xor(io.a_in, _T_815) @[exu_alu_ctl.scala 290:27] + node _T_817 = asSInt(_T_816) @[exu_alu_ctl.scala 290:27] + wire _T_818 : SInt<32> @[Mux.scala 27:72] + node _T_819 = asUInt(_T_807) @[Mux.scala 27:72] + node _T_820 = asSInt(_T_819) @[Mux.scala 27:72] + _T_818 <= _T_820 @[Mux.scala 27:72] + wire _T_821 : SInt<32> @[Mux.scala 27:72] + node _T_822 = asUInt(_T_813) @[Mux.scala 27:72] + node _T_823 = asSInt(_T_822) @[Mux.scala 27:72] + _T_821 <= _T_823 @[Mux.scala 27:72] + wire _T_824 : SInt<32> @[Mux.scala 27:72] + node _T_825 = asUInt(_T_817) @[Mux.scala 27:72] + node _T_826 = asSInt(_T_825) @[Mux.scala 27:72] + _T_824 <= _T_826 @[Mux.scala 27:72] + node _T_827 = mux(ap_sbset, _T_818, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_828 = mux(ap_sbclr, _T_821, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_829 = mux(ap_sbinv, _T_824, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_830 = or(_T_827, _T_828) @[Mux.scala 27:72] + node _T_831 = asSInt(_T_830) @[Mux.scala 27:72] + node _T_832 = or(_T_831, _T_829) @[Mux.scala 27:72] + node _T_833 = asSInt(_T_832) @[Mux.scala 27:72] + wire bitmanip_sb_data : SInt<32> @[Mux.scala 27:72] + node _T_834 = asUInt(_T_833) @[Mux.scala 27:72] + node _T_835 = asSInt(_T_834) @[Mux.scala 27:72] + bitmanip_sb_data <= _T_835 @[Mux.scala 27:72] + node _T_836 = or(io.i0_ap.sll, io.i0_ap.srl) @[exu_alu_ctl.scala 293:44] + node _T_837 = or(_T_836, io.i0_ap.sra) @[exu_alu_ctl.scala 293:59] + node _T_838 = or(_T_837, ap_slo) @[exu_alu_ctl.scala 293:74] + node _T_839 = or(_T_838, ap_sro) @[exu_alu_ctl.scala 293:83] + node _T_840 = or(_T_839, ap_rol) @[exu_alu_ctl.scala 293:92] + node sel_shift = or(_T_840, ap_ror) @[exu_alu_ctl.scala 293:101] + node _T_841 = or(io.i0_ap.add, io.i0_ap.sub) @[exu_alu_ctl.scala 294:44] + node _T_842 = or(_T_841, ap_zba) @[exu_alu_ctl.scala 294:59] + node _T_843 = eq(io.i0_ap.slt, UInt<1>("h00")) @[exu_alu_ctl.scala 294:71] + node _T_844 = and(_T_842, _T_843) @[exu_alu_ctl.scala 294:69] + node _T_845 = eq(ap_min, UInt<1>("h00")) @[exu_alu_ctl.scala 294:87] + node _T_846 = and(_T_844, _T_845) @[exu_alu_ctl.scala 294:85] + node _T_847 = eq(ap_max, UInt<1>("h00")) @[exu_alu_ctl.scala 294:97] + node sel_adder = and(_T_846, _T_847) @[exu_alu_ctl.scala 294:95] + node _T_848 = or(io.i0_ap.jal, io.pp_in.bits.pcall) @[exu_alu_ctl.scala 295:44] + node _T_849 = or(_T_848, io.pp_in.bits.pja) @[exu_alu_ctl.scala 295:66] + node sel_pc = or(_T_849, io.pp_in.bits.pret) @[exu_alu_ctl.scala 295:86] + node _T_850 = bits(io.i0_ap.csr_imm, 0, 0) @[exu_alu_ctl.scala 296:50] + node _T_851 = asSInt(io.b_in) @[exu_alu_ctl.scala 296:66] + node csr_write_data = mux(_T_850, _T_851, io.a_in) @[exu_alu_ctl.scala 296:32] + node slt_one = and(io.i0_ap.slt, lt) @[exu_alu_ctl.scala 298:43] + node _T_852 = cat(io.dec_i0_pc_d, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_853 = cat(io.dec_alu.dec_i0_br_immed_d, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_854 = bits(_T_852, 12, 1) @[lib.scala 68:24] + node _T_855 = bits(_T_853, 12, 1) @[lib.scala 68:40] + node _T_856 = add(_T_854, _T_855) @[lib.scala 68:31] + node _T_857 = bits(_T_852, 31, 13) @[lib.scala 69:20] + node _T_858 = add(_T_857, UInt<1>("h01")) @[lib.scala 69:27] + node _T_859 = tail(_T_858, 1) @[lib.scala 69:27] + node _T_860 = bits(_T_852, 31, 13) @[lib.scala 70:20] + node _T_861 = sub(_T_860, UInt<1>("h01")) @[lib.scala 70:27] + node _T_862 = tail(_T_861, 1) @[lib.scala 70:27] + node _T_863 = bits(_T_853, 12, 12) @[lib.scala 71:22] + node _T_864 = bits(_T_856, 12, 12) @[lib.scala 72:39] + node _T_865 = eq(_T_864, UInt<1>("h00")) @[lib.scala 72:28] + node _T_866 = xor(_T_863, _T_865) @[lib.scala 72:26] + node _T_867 = bits(_T_866, 0, 0) @[lib.scala 72:64] + node _T_868 = bits(_T_852, 31, 13) @[lib.scala 72:76] + node _T_869 = eq(_T_863, UInt<1>("h00")) @[lib.scala 73:20] + node _T_870 = bits(_T_856, 12, 12) @[lib.scala 73:39] + node _T_871 = and(_T_869, _T_870) @[lib.scala 73:26] + node _T_872 = bits(_T_871, 0, 0) @[lib.scala 73:64] + node _T_873 = bits(_T_856, 12, 12) @[lib.scala 74:39] + node _T_874 = eq(_T_873, UInt<1>("h00")) @[lib.scala 74:28] + node _T_875 = and(_T_863, _T_874) @[lib.scala 74:26] + node _T_876 = bits(_T_875, 0, 0) @[lib.scala 74:64] + node _T_877 = mux(_T_867, _T_868, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_878 = mux(_T_872, _T_859, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_879 = mux(_T_876, _T_862, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_880 = or(_T_877, _T_878) @[Mux.scala 27:72] + node _T_881 = or(_T_880, _T_879) @[Mux.scala 27:72] + wire _T_882 : UInt<19> @[Mux.scala 27:72] + _T_882 <= _T_881 @[Mux.scala 27:72] + node _T_883 = bits(_T_856, 11, 0) @[lib.scala 74:94] + node _T_884 = cat(_T_882, _T_883) @[Cat.scala 29:58] + node pcout = cat(_T_884, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_885 = bits(lout, 31, 0) @[exu_alu_ctl.scala 304:24] + node _T_886 = bits(sel_shift, 0, 0) @[Bitwise.scala 72:15] + node _T_887 = mux(_T_886, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_888 = bits(sout, 31, 0) @[exu_alu_ctl.scala 304:63] + node _T_889 = and(_T_887, _T_888) @[exu_alu_ctl.scala 304:56] + node _T_890 = or(_T_885, _T_889) @[exu_alu_ctl.scala 304:31] + node _T_891 = bits(sel_adder, 0, 0) @[Bitwise.scala 72:15] + node _T_892 = mux(_T_891, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_893 = bits(aout, 31, 0) @[exu_alu_ctl.scala 305:35] + node _T_894 = and(_T_892, _T_893) @[exu_alu_ctl.scala 305:28] + node _T_895 = or(_T_890, _T_894) @[exu_alu_ctl.scala 304:71] + node _T_896 = bits(sel_pc, 0, 0) @[Bitwise.scala 72:15] + node _T_897 = mux(_T_896, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_898 = and(_T_897, pcout) @[exu_alu_ctl.scala 306:28] + node _T_899 = or(_T_895, _T_898) @[exu_alu_ctl.scala 305:43] + node _T_900 = bits(io.i0_ap.csr_write, 0, 0) @[Bitwise.scala 72:15] + node _T_901 = mux(_T_900, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_902 = bits(csr_write_data, 31, 0) @[exu_alu_ctl.scala 307:51] + node _T_903 = and(_T_901, _T_902) @[exu_alu_ctl.scala 307:34] + node _T_904 = or(_T_899, _T_903) @[exu_alu_ctl.scala 306:41] + node _T_905 = cat(UInt<31>("h00"), slt_one) @[Cat.scala 29:58] + node _T_906 = or(_T_904, _T_905) @[exu_alu_ctl.scala 307:59] + node _T_907 = bits(ap_sbext, 0, 0) @[Bitwise.scala 72:15] + node _T_908 = mux(_T_907, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_909 = bits(sout, 0, 0) @[exu_alu_ctl.scala 309:50] + node _T_910 = cat(UInt<31>("h00"), _T_909) @[Cat.scala 29:58] + node _T_911 = and(_T_908, _T_910) @[exu_alu_ctl.scala 309:28] + node _T_912 = or(_T_906, _T_911) @[exu_alu_ctl.scala 308:56] + node _T_913 = bits(bitmanip_clz_ctz_result, 5, 0) @[exu_alu_ctl.scala 310:44] + node _T_914 = cat(UInt<26>("h00"), _T_913) @[Cat.scala 29:58] + node _T_915 = or(_T_912, _T_914) @[exu_alu_ctl.scala 309:56] + node _T_916 = bits(bitmanip_pcnt_result, 5, 0) @[exu_alu_ctl.scala 311:41] + node _T_917 = cat(UInt<26>("h00"), _T_916) @[Cat.scala 29:58] + node _T_918 = or(_T_915, _T_917) @[exu_alu_ctl.scala 310:52] + node _T_919 = bits(bitmanip_sext_result, 31, 0) @[exu_alu_ctl.scala 312:25] + node _T_920 = or(_T_918, _T_919) @[exu_alu_ctl.scala 311:52] + node _T_921 = bits(bitmanip_minmax_result, 31, 0) @[exu_alu_ctl.scala 313:27] + node _T_922 = or(_T_920, _T_921) @[exu_alu_ctl.scala 312:35] + node _T_923 = bits(bitmanip_pack_result, 31, 0) @[exu_alu_ctl.scala 314:25] + node _T_924 = or(_T_922, _T_923) @[exu_alu_ctl.scala 313:35] + node _T_925 = bits(bitmanip_packu_result, 31, 0) @[exu_alu_ctl.scala 315:26] + node _T_926 = or(_T_924, _T_925) @[exu_alu_ctl.scala 314:35] + node _T_927 = bits(bitmanip_packh_result, 31, 0) @[exu_alu_ctl.scala 316:26] + node _T_928 = or(_T_926, _T_927) @[exu_alu_ctl.scala 315:35] + node _T_929 = bits(bitmanip_rev_result, 31, 0) @[exu_alu_ctl.scala 317:24] + node _T_930 = or(_T_928, _T_929) @[exu_alu_ctl.scala 316:35] + node _T_931 = bits(bitmanip_rev8_result, 31, 0) @[exu_alu_ctl.scala 318:25] + node _T_932 = or(_T_930, _T_931) @[exu_alu_ctl.scala 317:35] + node _T_933 = bits(bitmanip_orc_b_result, 31, 0) @[exu_alu_ctl.scala 319:26] + node _T_934 = or(_T_932, _T_933) @[exu_alu_ctl.scala 318:35] + node _T_935 = bits(bitmanip_orc16_result, 31, 0) @[exu_alu_ctl.scala 320:26] + node _T_936 = or(_T_934, _T_935) @[exu_alu_ctl.scala 319:35] + node _T_937 = bits(bitmanip_sb_data, 31, 0) @[exu_alu_ctl.scala 321:21] + node _T_938 = or(_T_936, _T_937) @[exu_alu_ctl.scala 320:35] + result <= _T_938 @[exu_alu_ctl.scala 304:16] + node _T_939 = or(io.i0_ap.jal, io.pp_in.bits.pcall) @[exu_alu_ctl.scala 330:48] + node _T_940 = or(_T_939, io.pp_in.bits.pja) @[exu_alu_ctl.scala 331:25] + node any_jal = or(_T_940, io.pp_in.bits.pret) @[exu_alu_ctl.scala 332:25] + node _T_941 = and(io.i0_ap.beq, eq) @[exu_alu_ctl.scala 335:43] + node _T_942 = and(io.i0_ap.bne, ne) @[exu_alu_ctl.scala 335:65] + node _T_943 = or(_T_941, _T_942) @[exu_alu_ctl.scala 335:49] + node _T_944 = and(io.i0_ap.blt, lt) @[exu_alu_ctl.scala 335:94] + node _T_945 = or(_T_943, _T_944) @[exu_alu_ctl.scala 335:78] + node _T_946 = and(io.i0_ap.bge, ge) @[exu_alu_ctl.scala 335:116] + node _T_947 = or(_T_945, _T_946) @[exu_alu_ctl.scala 335:100] + node actual_taken = or(_T_947, any_jal) @[exu_alu_ctl.scala 335:122] + node _T_948 = and(io.dec_alu.dec_i0_alu_decode_d, io.i0_ap.predict_nt) @[exu_alu_ctl.scala 340:61] + node _T_949 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 340:85] + node _T_950 = and(_T_948, _T_949) @[exu_alu_ctl.scala 340:83] + node _T_951 = eq(any_jal, UInt<1>("h00")) @[exu_alu_ctl.scala 340:101] + node _T_952 = and(_T_950, _T_951) @[exu_alu_ctl.scala 340:99] + node _T_953 = and(io.dec_alu.dec_i0_alu_decode_d, io.i0_ap.predict_t) @[exu_alu_ctl.scala 340:145] + node _T_954 = and(_T_953, actual_taken) @[exu_alu_ctl.scala 340:167] + node _T_955 = eq(any_jal, UInt<1>("h00")) @[exu_alu_ctl.scala 340:185] + node _T_956 = and(_T_954, _T_955) @[exu_alu_ctl.scala 340:183] + node _T_957 = or(_T_952, _T_956) @[exu_alu_ctl.scala 340:111] + io.pred_correct_out <= _T_957 @[exu_alu_ctl.scala 340:26] + node _T_958 = bits(any_jal, 0, 0) @[exu_alu_ctl.scala 342:37] + node _T_959 = bits(aout, 31, 1) @[exu_alu_ctl.scala 342:49] + node _T_960 = bits(pcout, 31, 1) @[exu_alu_ctl.scala 342:62] + node _T_961 = mux(_T_958, _T_959, _T_960) @[exu_alu_ctl.scala 342:28] + io.flush_path_out <= _T_961 @[exu_alu_ctl.scala 342:22] + node _T_962 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 345:50] + node _T_963 = and(io.i0_ap.predict_t, _T_962) @[exu_alu_ctl.scala 345:48] + node _T_964 = and(io.i0_ap.predict_nt, actual_taken) @[exu_alu_ctl.scala 345:88] + node cond_mispredict = or(_T_963, _T_964) @[exu_alu_ctl.scala 345:65] + node _T_965 = bits(aout, 31, 1) @[exu_alu_ctl.scala 348:80] + node _T_966 = neq(io.pp_in.bits.prett, _T_965) @[exu_alu_ctl.scala 348:72] + node target_mispredict = and(io.pp_in.bits.pret, _T_966) @[exu_alu_ctl.scala 348:49] + node _T_967 = or(io.i0_ap.jal, cond_mispredict) @[exu_alu_ctl.scala 350:45] + node _T_968 = or(_T_967, target_mispredict) @[exu_alu_ctl.scala 350:63] + node _T_969 = and(_T_968, io.dec_alu.dec_i0_alu_decode_d) @[exu_alu_ctl.scala 350:84] + node _T_970 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 350:119] + node _T_971 = and(_T_969, _T_970) @[exu_alu_ctl.scala 350:117] + node _T_972 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu_alu_ctl.scala 350:141] + node _T_973 = and(_T_971, _T_972) @[exu_alu_ctl.scala 350:139] + io.flush_upper_out <= _T_973 @[exu_alu_ctl.scala 350:26] + node _T_974 = or(io.i0_ap.jal, cond_mispredict) @[exu_alu_ctl.scala 351:45] + node _T_975 = or(_T_974, target_mispredict) @[exu_alu_ctl.scala 351:63] + node _T_976 = and(_T_975, io.dec_alu.dec_i0_alu_decode_d) @[exu_alu_ctl.scala 351:84] + node _T_977 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 351:119] + node _T_978 = and(_T_976, _T_977) @[exu_alu_ctl.scala 351:117] + node _T_979 = or(_T_978, io.dec_tlu_flush_lower_r) @[exu_alu_ctl.scala 351:139] + io.flush_final_out <= _T_979 @[exu_alu_ctl.scala 351:26] + wire newhist : UInt<2> + newhist <= UInt<1>("h00") + node _T_980 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 355:40] + node _T_981 = bits(io.pp_in.bits.hist, 0, 0) @[exu_alu_ctl.scala 355:65] + node _T_982 = and(_T_980, _T_981) @[exu_alu_ctl.scala 355:44] + node _T_983 = bits(io.pp_in.bits.hist, 0, 0) @[exu_alu_ctl.scala 355:92] + node _T_984 = eq(_T_983, UInt<1>("h00")) @[exu_alu_ctl.scala 355:73] + node _T_985 = and(_T_984, actual_taken) @[exu_alu_ctl.scala 355:96] + node _T_986 = or(_T_982, _T_985) @[exu_alu_ctl.scala 355:70] + node _T_987 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 356:25] + node _T_988 = eq(_T_987, UInt<1>("h00")) @[exu_alu_ctl.scala 356:6] + node _T_989 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 356:31] + node _T_990 = and(_T_988, _T_989) @[exu_alu_ctl.scala 356:29] + node _T_991 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 356:68] + node _T_992 = and(_T_991, actual_taken) @[exu_alu_ctl.scala 356:72] + node _T_993 = or(_T_990, _T_992) @[exu_alu_ctl.scala 356:47] + node _T_994 = cat(_T_986, _T_993) @[Cat.scala 29:58] + newhist <= _T_994 @[exu_alu_ctl.scala 355:14] + io.predict_p_out.bits.way <= io.pp_in.bits.way @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.pja <= io.pp_in.bits.pja @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.pret <= io.pp_in.bits.pret @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.pcall <= io.pp_in.bits.pcall @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.prett <= io.pp_in.bits.prett @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.br_start_error <= io.pp_in.bits.br_start_error @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.br_error <= io.pp_in.bits.br_error @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.toffset <= io.pp_in.bits.toffset @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.hist <= io.pp_in.bits.hist @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.pc4 <= io.pp_in.bits.pc4 @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.boffset <= io.pp_in.bits.boffset @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.ataken <= io.pp_in.bits.ataken @[exu_alu_ctl.scala 358:30] + io.predict_p_out.bits.misp <= io.pp_in.bits.misp @[exu_alu_ctl.scala 358:30] + io.predict_p_out.valid <= io.pp_in.valid @[exu_alu_ctl.scala 358:30] + node _T_995 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 359:38] + node _T_996 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu_alu_ctl.scala 359:58] + node _T_997 = and(_T_995, _T_996) @[exu_alu_ctl.scala 359:56] + node _T_998 = or(cond_mispredict, target_mispredict) @[exu_alu_ctl.scala 359:103] + node _T_999 = and(_T_997, _T_998) @[exu_alu_ctl.scala 359:84] + io.predict_p_out.bits.misp <= _T_999 @[exu_alu_ctl.scala 359:35] + io.predict_p_out.bits.ataken <= actual_taken @[exu_alu_ctl.scala 360:35] + io.predict_p_out.bits.hist <= newhist @[exu_alu_ctl.scala 361:35] + diff --git a/exu_alu_ctl.v b/exu_alu_ctl.v new file mode 100644 index 00000000..e621f9dc --- /dev/null +++ b/exu_alu_ctl.v @@ -0,0 +1,582 @@ +module rvclkhdr( + input io_clk, + input io_en +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = 1'h0; // @[lib.scala 338:18] +endmodule +module exu_alu_ctl( + input clock, + input reset, + input io_dec_alu_dec_i0_alu_decode_d, + input io_dec_alu_dec_csr_ren_d, + input [11:0] io_dec_alu_dec_i0_br_immed_d, + output [30:0] io_dec_alu_exu_i0_pc_x, + input [31:0] io_csr_rddata_in, + input [30:0] io_dec_i0_pc_d, + input io_scan_mode, + input io_flush_upper_x, + input io_dec_tlu_flush_lower_r, + input io_enable, + input io_i0_ap_clz, + input io_i0_ap_ctz, + input io_i0_ap_pcnt, + input io_i0_ap_sext_b, + input io_i0_ap_sext_h, + input io_i0_ap_slo, + input io_i0_ap_sro, + input io_i0_ap_min, + input io_i0_ap_max, + input io_i0_ap_pack, + input io_i0_ap_packu, + input io_i0_ap_packh, + input io_i0_ap_rol, + input io_i0_ap_ror, + input io_i0_ap_grev, + input io_i0_ap_gorc, + input io_i0_ap_zbb, + input io_i0_ap_sbset, + input io_i0_ap_sbclr, + input io_i0_ap_sbinv, + input io_i0_ap_sbext, + input io_i0_ap_sh1add, + input io_i0_ap_sh2add, + input io_i0_ap_sh3add, + input io_i0_ap_zba, + input io_i0_ap_land, + input io_i0_ap_lor, + input io_i0_ap_lxor, + input io_i0_ap_sll, + input io_i0_ap_srl, + input io_i0_ap_sra, + input io_i0_ap_beq, + input io_i0_ap_bne, + input io_i0_ap_blt, + input io_i0_ap_bge, + input io_i0_ap_add, + input io_i0_ap_sub, + input io_i0_ap_slt, + input io_i0_ap_unsign, + input io_i0_ap_jal, + input io_i0_ap_predict_t, + input io_i0_ap_predict_nt, + input io_i0_ap_csr_write, + input io_i0_ap_csr_imm, + input [31:0] io_a_in, + input [31:0] io_b_in, + input io_pp_in_valid, + input io_pp_in_bits_misp, + input io_pp_in_bits_ataken, + input io_pp_in_bits_boffset, + input io_pp_in_bits_pc4, + input [1:0] io_pp_in_bits_hist, + input [11:0] io_pp_in_bits_toffset, + input io_pp_in_bits_br_error, + input io_pp_in_bits_br_start_error, + input [30:0] io_pp_in_bits_prett, + input io_pp_in_bits_pcall, + input io_pp_in_bits_pret, + input io_pp_in_bits_pja, + input io_pp_in_bits_way, + output [31:0] io_result_ff, + output io_flush_upper_out, + output io_flush_final_out, + output [30:0] io_flush_path_out, + output io_pred_correct_out, + output io_predict_p_out_valid, + output io_predict_p_out_bits_misp, + output io_predict_p_out_bits_ataken, + output io_predict_p_out_bits_boffset, + output io_predict_p_out_bits_pc4, + output [1:0] io_predict_p_out_bits_hist, + output [11:0] io_predict_p_out_bits_toffset, + output io_predict_p_out_bits_br_error, + output io_predict_p_out_bits_br_start_error, + output [30:0] io_predict_p_out_bits_prett, + output io_predict_p_out_bits_pcall, + output io_predict_p_out_bits_pret, + output io_predict_p_out_bits_pja, + output io_predict_p_out_bits_way +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_io_en; // @[lib.scala 399:23] + wire _T_1 = io_b_in[4:0] == 5'h1f; // @[exu_alu_ctl.scala 87:55] + wire ap_rev = io_i0_ap_grev & _T_1; // @[exu_alu_ctl.scala 87:39] + wire _T_4 = io_b_in[4:0] == 5'h18; // @[exu_alu_ctl.scala 88:55] + wire ap_rev8 = io_i0_ap_grev & _T_4; // @[exu_alu_ctl.scala 88:39] + wire _T_7 = io_b_in[4:0] == 5'h7; // @[exu_alu_ctl.scala 89:55] + wire ap_orc_b = io_i0_ap_gorc & _T_7; // @[exu_alu_ctl.scala 89:39] + wire _T_10 = io_b_in[4:0] == 5'h10; // @[exu_alu_ctl.scala 90:55] + wire ap_orc16 = io_i0_ap_gorc & _T_10; // @[exu_alu_ctl.scala 90:39] + reg [30:0] _T_14; // @[Reg.scala 27:20] + wire _T_15 = io_enable & io_dec_alu_dec_i0_alu_decode_d; // @[exu_alu_ctl.scala 135:43] + reg [31:0] _T_18; // @[Reg.scala 27:20] + wire [31:0] _T_153 = io_csr_rddata_in; // @[Mux.scala 27:72] + wire [32:0] _T_151 = {{1{_T_153[31]}},_T_153}; // @[Mux.scala 27:72 Mux.scala 27:72] + wire [32:0] _T_172 = io_dec_alu_dec_csr_ren_d ? $signed(_T_151) : $signed(33'sh0); // @[Mux.scala 27:72] + wire _T_94 = ~io_i0_ap_zbb; // @[exu_alu_ctl.scala 160:22] + wire _T_95 = io_i0_ap_land & _T_94; // @[exu_alu_ctl.scala 160:20] + wire [32:0] _T_98 = {1'h0,io_a_in}; // @[Cat.scala 29:58] + wire [32:0] _T_99 = {1'h0,io_a_in}; // @[exu_alu_ctl.scala 160:67] + wire [31:0] _T_100 = io_b_in; // @[exu_alu_ctl.scala 160:85] + wire [32:0] _GEN_2 = {{1{_T_100[31]}},_T_100}; // @[exu_alu_ctl.scala 160:74] + wire [32:0] _T_156 = $signed(_T_99) & $signed(_GEN_2); // @[Mux.scala 27:72] + wire [32:0] _T_173 = _T_95 ? $signed(_T_156) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] _T_180 = $signed(_T_172) | $signed(_T_173); // @[Mux.scala 27:72] + wire _T_104 = io_i0_ap_lor & _T_94; // @[exu_alu_ctl.scala 161:20] + wire [32:0] _T_159 = $signed(_T_99) | $signed(_GEN_2); // @[Mux.scala 27:72] + wire [32:0] _T_174 = _T_104 ? $signed(_T_159) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] _T_182 = $signed(_T_180) | $signed(_T_174); // @[Mux.scala 27:72] + wire _T_113 = io_i0_ap_lxor & _T_94; // @[exu_alu_ctl.scala 162:20] + wire [32:0] _T_162 = $signed(_T_99) ^ $signed(_GEN_2); // @[Mux.scala 27:72] + wire [32:0] _T_175 = _T_113 ? $signed(_T_162) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] _T_184 = $signed(_T_182) | $signed(_T_175); // @[Mux.scala 27:72] + wire _T_121 = io_i0_ap_land & io_i0_ap_zbb; // @[exu_alu_ctl.scala 163:20] + wire [31:0] _T_128 = ~io_b_in; // @[exu_alu_ctl.scala 163:76] + wire [32:0] _GEN_5 = {{1{_T_128[31]}},_T_128}; // @[exu_alu_ctl.scala 163:74] + wire [32:0] _T_165 = $signed(_T_99) & $signed(_GEN_5); // @[Mux.scala 27:72] + wire [32:0] _T_176 = _T_121 ? $signed(_T_165) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] _T_186 = $signed(_T_184) | $signed(_T_176); // @[Mux.scala 27:72] + wire _T_131 = io_i0_ap_lor & io_i0_ap_zbb; // @[exu_alu_ctl.scala 164:20] + wire [32:0] _T_168 = $signed(_T_99) | $signed(_GEN_5); // @[Mux.scala 27:72] + wire [32:0] _T_177 = _T_131 ? $signed(_T_168) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] _T_188 = $signed(_T_186) | $signed(_T_177); // @[Mux.scala 27:72] + wire _T_141 = io_i0_ap_lxor & io_i0_ap_zbb; // @[exu_alu_ctl.scala 165:20] + wire [32:0] _T_171 = $signed(_T_99) ^ $signed(_GEN_5); // @[Mux.scala 27:72] + wire [32:0] _T_178 = _T_141 ? $signed(_T_171) : $signed(33'sh0); // @[Mux.scala 27:72] + wire [32:0] lout = $signed(_T_188) | $signed(_T_178); // @[Mux.scala 27:72] + wire _T_836 = io_i0_ap_sll | io_i0_ap_srl; // @[exu_alu_ctl.scala 293:44] + wire _T_837 = _T_836 | io_i0_ap_sra; // @[exu_alu_ctl.scala 293:59] + wire _T_840 = _T_837 | io_i0_ap_rol; // @[exu_alu_ctl.scala 293:92] + wire sel_shift = _T_840 | io_i0_ap_ror; // @[exu_alu_ctl.scala 293:101] + wire [31:0] _T_887 = sel_shift ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [30:0] _T_345 = io_a_in[31] ? 31'h7fffffff : 31'h0; // @[Bitwise.scala 72:12] + wire [30:0] _T_352 = io_i0_ap_sra ? _T_345 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_353 = io_i0_ap_sll ? io_a_in[30:0] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_358 = _T_352 | _T_353; // @[Mux.scala 27:72] + wire [30:0] _T_354 = io_i0_ap_rol ? io_a_in[30:0] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_359 = _T_358 | _T_354; // @[Mux.scala 27:72] + wire [30:0] _T_355 = io_i0_ap_ror ? io_a_in[30:0] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_360 = _T_359 | _T_355; // @[Mux.scala 27:72] + wire [62:0] shift_extend = {_T_360,io_a_in}; // @[Cat.scala 29:58] + wire [5:0] _T_195 = {1'h0,io_b_in[4:0]}; // @[Cat.scala 29:58] + wire [5:0] _T_197 = 6'h20 - _T_195; // @[exu_alu_ctl.scala 179:41] + wire [5:0] _T_218 = io_i0_ap_sll ? _T_197 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_219 = io_i0_ap_srl ? _T_195 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_226 = _T_218 | _T_219; // @[Mux.scala 27:72] + wire [5:0] _T_220 = io_i0_ap_sra ? _T_195 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_227 = _T_226 | _T_220; // @[Mux.scala 27:72] + wire [5:0] _T_221 = io_i0_ap_rol ? _T_197 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_228 = _T_227 | _T_221; // @[Mux.scala 27:72] + wire [5:0] _T_222 = io_i0_ap_ror ? _T_195 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_229 = _T_228 | _T_222; // @[Mux.scala 27:72] + wire [5:0] _T_225 = io_i0_ap_sbext ? _T_195 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] shift_amount = _T_229 | _T_225; // @[Mux.scala 27:72] + wire [62:0] shift_long = shift_extend >> shift_amount[4:0]; // @[exu_alu_ctl.scala 202:32] + wire [4:0] _T_238 = {io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll}; // @[Cat.scala 29:58] + wire [4:0] _T_240 = _T_238 & io_b_in[4:0]; // @[exu_alu_ctl.scala 189:73] + wire [62:0] _T_241 = 63'hffffffff << _T_240; // @[exu_alu_ctl.scala 189:39] + wire [31:0] shift_mask = _T_241[31:0]; // @[exu_alu_ctl.scala 189:14] + wire [31:0] sout = shift_long[31:0] & shift_mask; // @[exu_alu_ctl.scala 204:34] + wire [31:0] _T_889 = _T_887 & sout; // @[exu_alu_ctl.scala 304:56] + wire [31:0] _T_890 = lout[31:0] | _T_889; // @[exu_alu_ctl.scala 304:31] + wire _T_841 = io_i0_ap_add | io_i0_ap_sub; // @[exu_alu_ctl.scala 294:44] + wire _T_843 = ~io_i0_ap_slt; // @[exu_alu_ctl.scala 294:71] + wire _T_844 = _T_841 & _T_843; // @[exu_alu_ctl.scala 294:69] + wire _T_845 = ~io_i0_ap_min; // @[exu_alu_ctl.scala 294:87] + wire _T_846 = _T_844 & _T_845; // @[exu_alu_ctl.scala 294:85] + wire _T_847 = ~io_i0_ap_max; // @[exu_alu_ctl.scala 294:97] + wire sel_adder = _T_846 & _T_847; // @[exu_alu_ctl.scala 294:95] + wire [31:0] _T_892 = sel_adder ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [32:0] _T_57 = {1'h0,io_a_in}; // @[Cat.scala 29:58] + wire [31:0] _T_58 = ~io_b_in; // @[exu_alu_ctl.scala 146:74] + wire [32:0] _T_59 = {1'h0,_T_58}; // @[Cat.scala 29:58] + wire [32:0] _T_61 = _T_57 + _T_59; // @[exu_alu_ctl.scala 146:59] + wire [32:0] _T_62 = {32'h0,io_i0_ap_sub}; // @[Cat.scala 29:58] + wire [32:0] _T_64 = _T_61 + _T_62; // @[exu_alu_ctl.scala 146:84] + wire [32:0] _T_67 = {1'h0,io_b_in}; // @[Cat.scala 29:58] + wire [32:0] _T_69 = _T_98 + _T_67; // @[exu_alu_ctl.scala 146:139] + wire [32:0] _T_72 = _T_69 + _T_62; // @[exu_alu_ctl.scala 146:164] + wire [32:0] aout = io_i0_ap_sub ? _T_64 : _T_72; // @[exu_alu_ctl.scala 146:14] + wire [31:0] _T_894 = _T_892 & aout[31:0]; // @[exu_alu_ctl.scala 305:28] + wire [31:0] _T_895 = _T_890 | _T_894; // @[exu_alu_ctl.scala 304:71] + wire _T_848 = io_i0_ap_jal | io_pp_in_bits_pcall; // @[exu_alu_ctl.scala 295:44] + wire _T_849 = _T_848 | io_pp_in_bits_pja; // @[exu_alu_ctl.scala 295:66] + wire sel_pc = _T_849 | io_pp_in_bits_pret; // @[exu_alu_ctl.scala 295:86] + wire [31:0] _T_897 = sel_pc ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [12:0] _T_853 = {io_dec_alu_dec_i0_br_immed_d,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_852 = {io_dec_i0_pc_d,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_856 = _T_852[12:1] + _T_853[12:1]; // @[lib.scala 68:31] + wire _T_865 = ~_T_856[12]; // @[lib.scala 72:28] + wire _T_866 = _T_853[12] ^ _T_865; // @[lib.scala 72:26] + wire [18:0] _T_877 = _T_866 ? _T_852[31:13] : 19'h0; // @[Mux.scala 27:72] + wire _T_869 = ~_T_853[12]; // @[lib.scala 73:20] + wire _T_871 = _T_869 & _T_856[12]; // @[lib.scala 73:26] + wire [18:0] _T_859 = _T_852[31:13] + 19'h1; // @[lib.scala 69:27] + wire [18:0] _T_878 = _T_871 ? _T_859 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_880 = _T_877 | _T_878; // @[Mux.scala 27:72] + wire _T_875 = _T_853[12] & _T_865; // @[lib.scala 74:26] + wire [18:0] _T_862 = _T_852[31:13] - 19'h1; // @[lib.scala 70:27] + wire [18:0] _T_879 = _T_875 ? _T_862 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_881 = _T_880 | _T_879; // @[Mux.scala 27:72] + wire [31:0] pcout = {_T_881,_T_856[11:0],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_898 = _T_897 & pcout; // @[exu_alu_ctl.scala 306:28] + wire [31:0] _T_899 = _T_895 | _T_898; // @[exu_alu_ctl.scala 305:43] + wire [31:0] _T_901 = io_i0_ap_csr_write ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_902 = io_i0_ap_csr_imm ? $signed(io_b_in) : $signed(io_a_in); // @[exu_alu_ctl.scala 307:51] + wire [31:0] _T_903 = _T_901 & _T_902; // @[exu_alu_ctl.scala 307:34] + wire [31:0] _T_904 = _T_899 | _T_903; // @[exu_alu_ctl.scala 306:41] + wire _T_88 = ~io_i0_ap_unsign; // @[exu_alu_ctl.scala 154:30] + wire neg = aout[31]; // @[exu_alu_ctl.scala 153:34] + wire _T_75 = ~io_a_in[31]; // @[exu_alu_ctl.scala 149:14] + wire [31:0] bm = io_i0_ap_sub ? _T_58 : io_b_in; // @[exu_alu_ctl.scala 143:17] + wire _T_77 = ~bm[31]; // @[exu_alu_ctl.scala 149:29] + wire _T_78 = _T_75 & _T_77; // @[exu_alu_ctl.scala 149:27] + wire _T_80 = _T_78 & neg; // @[exu_alu_ctl.scala 149:37] + wire _T_83 = io_a_in[31] & bm[31]; // @[exu_alu_ctl.scala 149:66] + wire _T_85 = ~neg; // @[exu_alu_ctl.scala 149:78] + wire _T_86 = _T_83 & _T_85; // @[exu_alu_ctl.scala 149:76] + wire ov = _T_80 | _T_86; // @[exu_alu_ctl.scala 149:50] + wire _T_89 = neg ^ ov; // @[exu_alu_ctl.scala 154:54] + wire _T_90 = _T_88 & _T_89; // @[exu_alu_ctl.scala 154:47] + wire cout = aout[32]; // @[exu_alu_ctl.scala 147:18] + wire _T_91 = ~cout; // @[exu_alu_ctl.scala 154:84] + wire _T_92 = io_i0_ap_unsign & _T_91; // @[exu_alu_ctl.scala 154:82] + wire lt = _T_90 | _T_92; // @[exu_alu_ctl.scala 154:61] + wire slt_one = io_i0_ap_slt & lt; // @[exu_alu_ctl.scala 298:43] + wire [31:0] _T_905 = {31'h0,slt_one}; // @[Cat.scala 29:58] + wire [31:0] _T_906 = _T_904 | _T_905; // @[exu_alu_ctl.scala 307:59] + wire [31:0] _T_908 = io_i0_ap_sbext ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_910 = {31'h0,sout[0]}; // @[Cat.scala 29:58] + wire [31:0] _T_911 = _T_908 & _T_910; // @[exu_alu_ctl.scala 309:28] + wire [31:0] _T_912 = _T_906 | _T_911; // @[exu_alu_ctl.scala 308:56] + wire _T_547 = io_i0_ap_clz | io_i0_ap_ctz; // @[exu_alu_ctl.scala 221:52] + wire [5:0] _T_549 = _T_547 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_445 = io_i0_ap_clz ? $signed(io_a_in) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [9:0] _T_416 = {io_a_in[0],io_a_in[1],io_a_in[2],io_a_in[3],io_a_in[4],io_a_in[5],io_a_in[6],io_a_in[7],io_a_in[8],io_a_in[9]}; // @[Cat.scala 29:58] + wire [18:0] _T_425 = {_T_416,io_a_in[10],io_a_in[11],io_a_in[12],io_a_in[13],io_a_in[14],io_a_in[15],io_a_in[16],io_a_in[17],io_a_in[18]}; // @[Cat.scala 29:58] + wire [27:0] _T_434 = {_T_425,io_a_in[19],io_a_in[20],io_a_in[21],io_a_in[22],io_a_in[23],io_a_in[24],io_a_in[25],io_a_in[26],io_a_in[27]}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_a_reverse_ff = {_T_434,io_a_in[28],io_a_in[29],io_a_in[30],io_a_in[31]}; // @[Cat.scala 29:58] + wire [31:0] _T_444 = {_T_434,io_a_in[28],io_a_in[29],io_a_in[30],io_a_in[31]}; // @[Mux.scala 27:72] + wire [31:0] _T_446 = io_i0_ap_ctz ? $signed(_T_444) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] bitmanip_lzd_in = $signed(_T_445) | $signed(_T_446); // @[Mux.scala 27:72] + wire [31:0] _T_451 = $signed(_T_445) | $signed(_T_446); // @[exu_alu_ctl.scala 219:75] + wire _T_452 = _T_451 == 32'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_454 = bitmanip_lzd_in[31:1] == 31'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_456 = bitmanip_lzd_in[31:2] == 30'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_458 = bitmanip_lzd_in[31:3] == 29'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_460 = bitmanip_lzd_in[31:4] == 28'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_462 = bitmanip_lzd_in[31:5] == 27'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_464 = bitmanip_lzd_in[31:6] == 26'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_466 = bitmanip_lzd_in[31:7] == 25'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_468 = bitmanip_lzd_in[31:8] == 24'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_470 = bitmanip_lzd_in[31:9] == 23'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_472 = bitmanip_lzd_in[31:10] == 22'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_474 = bitmanip_lzd_in[31:11] == 21'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_476 = bitmanip_lzd_in[31:12] == 20'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_478 = bitmanip_lzd_in[31:13] == 19'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_480 = bitmanip_lzd_in[31:14] == 18'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_482 = bitmanip_lzd_in[31:15] == 17'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_484 = bitmanip_lzd_in[31:16] == 16'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_486 = bitmanip_lzd_in[31:17] == 15'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_488 = bitmanip_lzd_in[31:18] == 14'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_490 = bitmanip_lzd_in[31:19] == 13'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_492 = bitmanip_lzd_in[31:20] == 12'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_494 = bitmanip_lzd_in[31:21] == 11'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_496 = bitmanip_lzd_in[31:22] == 10'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_498 = bitmanip_lzd_in[31:23] == 9'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_500 = bitmanip_lzd_in[31:24] == 8'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_502 = bitmanip_lzd_in[31:25] == 7'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_504 = bitmanip_lzd_in[31:26] == 6'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_506 = bitmanip_lzd_in[31:27] == 5'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_508 = bitmanip_lzd_in[31:28] == 4'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_510 = bitmanip_lzd_in[31:29] == 3'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_512 = bitmanip_lzd_in[31:30] == 2'h0; // @[exu_alu_ctl.scala 219:81] + wire _T_514 = ~bitmanip_lzd_in[31]; // @[exu_alu_ctl.scala 219:81] + wire [1:0] _T_516 = _T_512 ? 2'h2 : {{1'd0}, _T_514}; // @[Mux.scala 98:16] + wire [1:0] _T_517 = _T_510 ? 2'h3 : _T_516; // @[Mux.scala 98:16] + wire [2:0] _T_518 = _T_508 ? 3'h4 : {{1'd0}, _T_517}; // @[Mux.scala 98:16] + wire [2:0] _T_519 = _T_506 ? 3'h5 : _T_518; // @[Mux.scala 98:16] + wire [2:0] _T_520 = _T_504 ? 3'h6 : _T_519; // @[Mux.scala 98:16] + wire [2:0] _T_521 = _T_502 ? 3'h7 : _T_520; // @[Mux.scala 98:16] + wire [3:0] _T_522 = _T_500 ? 4'h8 : {{1'd0}, _T_521}; // @[Mux.scala 98:16] + wire [3:0] _T_523 = _T_498 ? 4'h9 : _T_522; // @[Mux.scala 98:16] + wire [3:0] _T_524 = _T_496 ? 4'ha : _T_523; // @[Mux.scala 98:16] + wire [3:0] _T_525 = _T_494 ? 4'hb : _T_524; // @[Mux.scala 98:16] + wire [3:0] _T_526 = _T_492 ? 4'hc : _T_525; // @[Mux.scala 98:16] + wire [3:0] _T_527 = _T_490 ? 4'hd : _T_526; // @[Mux.scala 98:16] + wire [3:0] _T_528 = _T_488 ? 4'he : _T_527; // @[Mux.scala 98:16] + wire [3:0] _T_529 = _T_486 ? 4'hf : _T_528; // @[Mux.scala 98:16] + wire [4:0] _T_530 = _T_484 ? 5'h10 : {{1'd0}, _T_529}; // @[Mux.scala 98:16] + wire [4:0] _T_531 = _T_482 ? 5'h11 : _T_530; // @[Mux.scala 98:16] + wire [4:0] _T_532 = _T_480 ? 5'h12 : _T_531; // @[Mux.scala 98:16] + wire [4:0] _T_533 = _T_478 ? 5'h13 : _T_532; // @[Mux.scala 98:16] + wire [4:0] _T_534 = _T_476 ? 5'h14 : _T_533; // @[Mux.scala 98:16] + wire [4:0] _T_535 = _T_474 ? 5'h15 : _T_534; // @[Mux.scala 98:16] + wire [4:0] _T_536 = _T_472 ? 5'h16 : _T_535; // @[Mux.scala 98:16] + wire [4:0] _T_537 = _T_470 ? 5'h17 : _T_536; // @[Mux.scala 98:16] + wire [4:0] _T_538 = _T_468 ? 5'h18 : _T_537; // @[Mux.scala 98:16] + wire [4:0] _T_539 = _T_466 ? 5'h19 : _T_538; // @[Mux.scala 98:16] + wire [4:0] _T_540 = _T_464 ? 5'h1a : _T_539; // @[Mux.scala 98:16] + wire [4:0] _T_541 = _T_462 ? 5'h1b : _T_540; // @[Mux.scala 98:16] + wire [4:0] _T_542 = _T_460 ? 5'h1c : _T_541; // @[Mux.scala 98:16] + wire [4:0] _T_543 = _T_458 ? 5'h1d : _T_542; // @[Mux.scala 98:16] + wire [4:0] _T_544 = _T_456 ? 5'h1e : _T_543; // @[Mux.scala 98:16] + wire [4:0] _T_545 = _T_454 ? 5'h1f : _T_544; // @[Mux.scala 98:16] + wire [5:0] bitmanip_dw_lzd_enc = _T_452 ? 6'h20 : {{1'd0}, _T_545}; // @[Mux.scala 98:16] + wire [5:0] _GEN_8 = {{5'd0}, bitmanip_dw_lzd_enc[5]}; // @[exu_alu_ctl.scala 221:62] + wire [5:0] _T_551 = _T_549 & _GEN_8; // @[exu_alu_ctl.scala 221:62] + wire _T_553 = ~bitmanip_dw_lzd_enc[5]; // @[exu_alu_ctl.scala 221:96] + wire [4:0] _T_555 = _T_553 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_557 = _T_555 & bitmanip_dw_lzd_enc[4:0]; // @[exu_alu_ctl.scala 221:121] + wire [10:0] bitmanip_clz_ctz_result = {_T_551,_T_557}; // @[Cat.scala 29:58] + wire [31:0] _T_914 = {26'h0,bitmanip_clz_ctz_result[5:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_915 = _T_912 | _T_914; // @[exu_alu_ctl.scala 309:56] + wire [5:0] _T_559 = io_i0_ap_pcnt ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_592 = io_a_in[0] + io_a_in[1]; // @[Bitwise.scala 47:55] + wire [1:0] _T_594 = io_a_in[2] + io_a_in[3]; // @[Bitwise.scala 47:55] + wire [2:0] _T_596 = _T_592 + _T_594; // @[Bitwise.scala 47:55] + wire [1:0] _T_598 = io_a_in[4] + io_a_in[5]; // @[Bitwise.scala 47:55] + wire [1:0] _T_600 = io_a_in[6] + io_a_in[7]; // @[Bitwise.scala 47:55] + wire [2:0] _T_602 = _T_598 + _T_600; // @[Bitwise.scala 47:55] + wire [3:0] _T_604 = _T_596 + _T_602; // @[Bitwise.scala 47:55] + wire [1:0] _T_606 = io_a_in[8] + io_a_in[9]; // @[Bitwise.scala 47:55] + wire [1:0] _T_608 = io_a_in[10] + io_a_in[11]; // @[Bitwise.scala 47:55] + wire [2:0] _T_610 = _T_606 + _T_608; // @[Bitwise.scala 47:55] + wire [1:0] _T_612 = io_a_in[12] + io_a_in[13]; // @[Bitwise.scala 47:55] + wire [1:0] _T_614 = io_a_in[14] + io_a_in[15]; // @[Bitwise.scala 47:55] + wire [2:0] _T_616 = _T_612 + _T_614; // @[Bitwise.scala 47:55] + wire [3:0] _T_618 = _T_610 + _T_616; // @[Bitwise.scala 47:55] + wire [4:0] _T_620 = _T_604 + _T_618; // @[Bitwise.scala 47:55] + wire [1:0] _T_622 = io_a_in[16] + io_a_in[17]; // @[Bitwise.scala 47:55] + wire [1:0] _T_624 = io_a_in[18] + io_a_in[19]; // @[Bitwise.scala 47:55] + wire [2:0] _T_626 = _T_622 + _T_624; // @[Bitwise.scala 47:55] + wire [1:0] _T_628 = io_a_in[20] + io_a_in[21]; // @[Bitwise.scala 47:55] + wire [1:0] _T_630 = io_a_in[22] + io_a_in[23]; // @[Bitwise.scala 47:55] + wire [2:0] _T_632 = _T_628 + _T_630; // @[Bitwise.scala 47:55] + wire [3:0] _T_634 = _T_626 + _T_632; // @[Bitwise.scala 47:55] + wire [1:0] _T_636 = io_a_in[24] + io_a_in[25]; // @[Bitwise.scala 47:55] + wire [1:0] _T_638 = io_a_in[26] + io_a_in[27]; // @[Bitwise.scala 47:55] + wire [2:0] _T_640 = _T_636 + _T_638; // @[Bitwise.scala 47:55] + wire [1:0] _T_642 = io_a_in[28] + io_a_in[29]; // @[Bitwise.scala 47:55] + wire [1:0] _T_644 = io_a_in[30] + io_a_in[31]; // @[Bitwise.scala 47:55] + wire [2:0] _T_646 = _T_642 + _T_644; // @[Bitwise.scala 47:55] + wire [3:0] _T_648 = _T_640 + _T_646; // @[Bitwise.scala 47:55] + wire [4:0] _T_650 = _T_634 + _T_648; // @[Bitwise.scala 47:55] + wire [5:0] _T_652 = _T_620 + _T_650; // @[Bitwise.scala 47:55] + wire [5:0] bitmanip_pcnt_result = _T_559 & _T_652; // @[exu_alu_ctl.scala 224:50] + wire [31:0] _T_917 = {26'h0,bitmanip_pcnt_result}; // @[Cat.scala 29:58] + wire [31:0] _T_918 = _T_915 | _T_917; // @[exu_alu_ctl.scala 310:52] + wire [23:0] _T_656 = io_a_in[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_658 = {_T_656,io_a_in[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_664 = io_i0_ap_sext_b ? _T_658 : 32'h0; // @[Mux.scala 27:72] + wire [15:0] _T_661 = io_a_in[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_663 = {_T_661,io_a_in[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_665 = io_i0_ap_sext_h ? _T_663 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] bitmanip_sext_result = _T_664 | _T_665; // @[Mux.scala 27:72] + wire [31:0] _T_920 = _T_918 | bitmanip_sext_result; // @[exu_alu_ctl.scala 311:52] + wire bitmanip_minmax_sel = io_i0_ap_min | io_i0_ap_max; // @[exu_alu_ctl.scala 233:46] + wire ge = ~lt; // @[exu_alu_ctl.scala 155:29] + wire bitmanip_minmax_sel_a = ge ^ io_i0_ap_min; // @[exu_alu_ctl.scala 235:43] + wire _T_667 = bitmanip_minmax_sel & bitmanip_minmax_sel_a; // @[exu_alu_ctl.scala 238:26] + wire [31:0] _T_677 = _T_667 ? $signed(io_a_in) : $signed(32'sh0); // @[Mux.scala 27:72] + wire _T_668 = ~bitmanip_minmax_sel_a; // @[exu_alu_ctl.scala 239:28] + wire _T_669 = bitmanip_minmax_sel & _T_668; // @[exu_alu_ctl.scala 239:26] + wire [31:0] _T_678 = _T_669 ? $signed(io_b_in) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_921 = $signed(_T_677) | $signed(_T_678); // @[exu_alu_ctl.scala 313:27] + wire [31:0] _T_922 = _T_920 | _T_921; // @[exu_alu_ctl.scala 312:35] + wire [31:0] _T_684 = io_i0_ap_pack ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_687 = {io_b_in[15:0],io_a_in[15:0]}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_pack_result = _T_684 & _T_687; // @[exu_alu_ctl.scala 244:50] + wire [31:0] _T_924 = _T_922 | bitmanip_pack_result; // @[exu_alu_ctl.scala 313:35] + wire [31:0] _T_689 = io_i0_ap_packu ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_692 = {io_b_in[31:16],io_a_in[31:16]}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_packu_result = _T_689 & _T_692; // @[exu_alu_ctl.scala 245:50] + wire [31:0] _T_926 = _T_924 | bitmanip_packu_result; // @[exu_alu_ctl.scala 314:35] + wire [31:0] _T_694 = io_i0_ap_packh ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_698 = {16'h0,io_b_in[7:0],io_a_in[7:0]}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_packh_result = _T_694 & _T_698; // @[exu_alu_ctl.scala 246:50] + wire [31:0] _T_928 = _T_926 | bitmanip_packh_result; // @[exu_alu_ctl.scala 315:35] + wire [31:0] _T_700 = ap_rev ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] bitmanip_rev_result = _T_700 & bitmanip_a_reverse_ff; // @[exu_alu_ctl.scala 252:48] + wire [31:0] _T_930 = _T_928 | bitmanip_rev_result; // @[exu_alu_ctl.scala 316:35] + wire [31:0] _T_765 = ap_rev8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_772 = {io_a_in[7:0],io_a_in[15:8],io_a_in[23:16],io_a_in[31:24]}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_rev8_result = _T_765 & _T_772; // @[exu_alu_ctl.scala 254:50] + wire [31:0] _T_932 = _T_930 | bitmanip_rev8_result; // @[exu_alu_ctl.scala 317:35] + wire [31:0] _T_774 = ap_orc_b ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire _T_788 = |io_a_in[31:24]; // @[exu_alu_ctl.scala 279:117] + wire [7:0] _T_790 = _T_788 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_784 = |io_a_in[23:16]; // @[exu_alu_ctl.scala 279:117] + wire [7:0] _T_786 = _T_784 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_780 = |io_a_in[15:8]; // @[exu_alu_ctl.scala 279:117] + wire [7:0] _T_782 = _T_780 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_776 = |io_a_in[7:0]; // @[exu_alu_ctl.scala 279:117] + wire [7:0] _T_778 = _T_776 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_793 = {_T_790,_T_786,_T_782,_T_778}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_orc_b_result = _T_774 & _T_793; // @[exu_alu_ctl.scala 279:50] + wire [31:0] _T_934 = _T_932 | bitmanip_orc_b_result; // @[exu_alu_ctl.scala 318:35] + wire [31:0] _T_795 = ap_orc16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_798 = io_a_in[31:16] | io_a_in[15:0]; // @[exu_alu_ctl.scala 281:71] + wire [31:0] _T_802 = {_T_798,_T_798}; // @[Cat.scala 29:58] + wire [31:0] bitmanip_orc16_result = _T_795 & _T_802; // @[exu_alu_ctl.scala 281:50] + wire [31:0] _T_936 = _T_934 | bitmanip_orc16_result; // @[exu_alu_ctl.scala 319:35] + wire [62:0] bitmanip_sb_1hot = 63'h1 << io_b_in[4:0]; // @[exu_alu_ctl.scala 285:53] + wire [31:0] _T_805 = bitmanip_sb_1hot[31:0]; // @[exu_alu_ctl.scala 288:53] + wire [31:0] _T_820 = $signed(io_a_in) | $signed(_T_805); // @[Mux.scala 27:72] + wire [31:0] _T_827 = io_i0_ap_sbset ? $signed(_T_820) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_811 = ~_T_805; // @[exu_alu_ctl.scala 289:29] + wire [31:0] _T_823 = $signed(io_a_in) & $signed(_T_811); // @[Mux.scala 27:72] + wire [31:0] _T_828 = io_i0_ap_sbclr ? $signed(_T_823) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_831 = $signed(_T_827) | $signed(_T_828); // @[Mux.scala 27:72] + wire [31:0] _T_826 = $signed(io_a_in) ^ $signed(_T_805); // @[Mux.scala 27:72] + wire [31:0] _T_829 = io_i0_ap_sbinv ? $signed(_T_826) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_937 = $signed(_T_831) | $signed(_T_829); // @[exu_alu_ctl.scala 321:21] + wire [31:0] result = _T_936 | _T_937; // @[exu_alu_ctl.scala 320:35] + wire eq = $signed(io_a_in) == $signed(io_b_in); // @[exu_alu_ctl.scala 151:38] + wire ne = ~eq; // @[exu_alu_ctl.scala 152:29] + wire _T_941 = io_i0_ap_beq & eq; // @[exu_alu_ctl.scala 335:43] + wire _T_942 = io_i0_ap_bne & ne; // @[exu_alu_ctl.scala 335:65] + wire _T_943 = _T_941 | _T_942; // @[exu_alu_ctl.scala 335:49] + wire _T_944 = io_i0_ap_blt & lt; // @[exu_alu_ctl.scala 335:94] + wire _T_945 = _T_943 | _T_944; // @[exu_alu_ctl.scala 335:78] + wire _T_946 = io_i0_ap_bge & ge; // @[exu_alu_ctl.scala 335:116] + wire _T_947 = _T_945 | _T_946; // @[exu_alu_ctl.scala 335:100] + wire actual_taken = _T_947 | sel_pc; // @[exu_alu_ctl.scala 335:122] + wire _T_948 = io_dec_alu_dec_i0_alu_decode_d & io_i0_ap_predict_nt; // @[exu_alu_ctl.scala 340:61] + wire _T_949 = ~actual_taken; // @[exu_alu_ctl.scala 340:85] + wire _T_950 = _T_948 & _T_949; // @[exu_alu_ctl.scala 340:83] + wire _T_951 = ~sel_pc; // @[exu_alu_ctl.scala 340:101] + wire _T_952 = _T_950 & _T_951; // @[exu_alu_ctl.scala 340:99] + wire _T_953 = io_dec_alu_dec_i0_alu_decode_d & io_i0_ap_predict_t; // @[exu_alu_ctl.scala 340:145] + wire _T_954 = _T_953 & actual_taken; // @[exu_alu_ctl.scala 340:167] + wire _T_956 = _T_954 & _T_951; // @[exu_alu_ctl.scala 340:183] + wire _T_963 = io_i0_ap_predict_t & _T_949; // @[exu_alu_ctl.scala 345:48] + wire _T_964 = io_i0_ap_predict_nt & actual_taken; // @[exu_alu_ctl.scala 345:88] + wire cond_mispredict = _T_963 | _T_964; // @[exu_alu_ctl.scala 345:65] + wire _T_966 = io_pp_in_bits_prett != aout[31:1]; // @[exu_alu_ctl.scala 348:72] + wire target_mispredict = io_pp_in_bits_pret & _T_966; // @[exu_alu_ctl.scala 348:49] + wire _T_967 = io_i0_ap_jal | cond_mispredict; // @[exu_alu_ctl.scala 350:45] + wire _T_968 = _T_967 | target_mispredict; // @[exu_alu_ctl.scala 350:63] + wire _T_969 = _T_968 & io_dec_alu_dec_i0_alu_decode_d; // @[exu_alu_ctl.scala 350:84] + wire _T_970 = ~io_flush_upper_x; // @[exu_alu_ctl.scala 350:119] + wire _T_971 = _T_969 & _T_970; // @[exu_alu_ctl.scala 350:117] + wire _T_972 = ~io_dec_tlu_flush_lower_r; // @[exu_alu_ctl.scala 350:141] + wire _T_982 = io_pp_in_bits_hist[1] & io_pp_in_bits_hist[0]; // @[exu_alu_ctl.scala 355:44] + wire _T_984 = ~io_pp_in_bits_hist[0]; // @[exu_alu_ctl.scala 355:73] + wire _T_985 = _T_984 & actual_taken; // @[exu_alu_ctl.scala 355:96] + wire _T_986 = _T_982 | _T_985; // @[exu_alu_ctl.scala 355:70] + wire _T_988 = ~io_pp_in_bits_hist[1]; // @[exu_alu_ctl.scala 356:6] + wire _T_990 = _T_988 & _T_949; // @[exu_alu_ctl.scala 356:29] + wire _T_992 = io_pp_in_bits_hist[1] & actual_taken; // @[exu_alu_ctl.scala 356:72] + wire _T_993 = _T_990 | _T_992; // @[exu_alu_ctl.scala 356:47] + wire _T_997 = _T_970 & _T_972; // @[exu_alu_ctl.scala 359:56] + wire _T_998 = cond_mispredict | target_mispredict; // @[exu_alu_ctl.scala 359:103] + rvclkhdr rvclkhdr ( // @[lib.scala 399:23] + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + assign io_dec_alu_exu_i0_pc_x = _T_14; // @[exu_alu_ctl.scala 133:26] + assign io_result_ff = _T_18; // @[exu_alu_ctl.scala 135:16] + assign io_flush_upper_out = _T_971 & _T_972; // @[exu_alu_ctl.scala 350:26] + assign io_flush_final_out = _T_971 | io_dec_tlu_flush_lower_r; // @[exu_alu_ctl.scala 351:26] + assign io_flush_path_out = sel_pc ? aout[31:1] : pcout[31:1]; // @[exu_alu_ctl.scala 342:22] + assign io_pred_correct_out = _T_952 | _T_956; // @[exu_alu_ctl.scala 340:26] + assign io_predict_p_out_valid = io_pp_in_valid; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_misp = _T_997 & _T_998; // @[exu_alu_ctl.scala 358:30 exu_alu_ctl.scala 359:35] + assign io_predict_p_out_bits_ataken = _T_947 | sel_pc; // @[exu_alu_ctl.scala 358:30 exu_alu_ctl.scala 360:35] + assign io_predict_p_out_bits_boffset = io_pp_in_bits_boffset; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_pc4 = io_pp_in_bits_pc4; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_hist = {_T_986,_T_993}; // @[exu_alu_ctl.scala 358:30 exu_alu_ctl.scala 361:35] + assign io_predict_p_out_bits_toffset = io_pp_in_bits_toffset; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_br_error = io_pp_in_bits_br_error; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_br_start_error = io_pp_in_bits_br_start_error; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_prett = io_pp_in_bits_prett; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_pcall = io_pp_in_bits_pcall; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_pret = io_pp_in_bits_pret; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_pja = io_pp_in_bits_pja; // @[exu_alu_ctl.scala 358:30] + assign io_predict_p_out_bits_way = io_pp_in_bits_way; // @[exu_alu_ctl.scala 358:30] + assign rvclkhdr_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_io_en = io_enable & io_dec_alu_dec_i0_alu_decode_d; // @[lib.scala 402:17] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_14 = _RAND_0[30:0]; + _RAND_1 = {1{`RANDOM}}; + _T_18 = _RAND_1[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_14 = 31'h0; + end + if (reset) begin + _T_18 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_14 <= 31'h0; + end else if (io_enable) begin + _T_14 <= io_dec_i0_pc_d; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_18 <= 32'h0; + end else if (_T_15) begin + _T_18 <= result; + end + end +endmodule diff --git a/exu_mul_ctl.anno.json b/exu_mul_ctl.anno.json new file mode 100644 index 00000000..eb7086c6 --- /dev/null +++ b/exu_mul_ctl.anno.json @@ -0,0 +1,23 @@ +[ + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"exu_mul_ctl.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"exu_mul_ctl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/exu_mul_ctl.fir b/exu_mul_ctl.fir new file mode 100644 index 00000000..cb77692b --- /dev/null +++ b/exu_mul_ctl.fir @@ -0,0 +1,40174 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit exu_mul_ctl : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module exu_mul_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, gorc : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip rs1_in : UInt<32>, flip rs2_in : UInt<32>, result_x : UInt<32>} + + wire rs1_ext_in : SInt<33> + rs1_ext_in <= asSInt(UInt<1>("h00")) + wire rs2_ext_in : SInt<33> + rs2_ext_in <= asSInt(UInt<1>("h00")) + wire rs1_x : SInt<33> + rs1_x <= asSInt(UInt<1>("h00")) + wire rs2_x : SInt<33> + rs2_x <= asSInt(UInt<1>("h00")) + wire prod_x : SInt<66> + prod_x <= asSInt(UInt<1>("h00")) + wire low_x : UInt<1> + low_x <= UInt<1>("h00") + wire ap_bext : UInt<1> + ap_bext <= UInt<1>("h00") + wire ap_bdep : UInt<1> + ap_bdep <= UInt<1>("h00") + wire ap_clmul : UInt<1> + ap_clmul <= UInt<1>("h00") + wire ap_clmulh : UInt<1> + ap_clmulh <= UInt<1>("h00") + wire ap_clmulr : UInt<1> + ap_clmulr <= UInt<1>("h00") + wire ap_grev : UInt<1> + ap_grev <= UInt<1>("h00") + wire ap_gorc : UInt<1> + ap_gorc <= UInt<1>("h00") + wire ap_shfl : UInt<1> + ap_shfl <= UInt<1>("h00") + wire ap_unshfl : UInt<1> + ap_unshfl <= UInt<1>("h00") + wire ap_crc32_b : UInt<1> + ap_crc32_b <= UInt<1>("h00") + wire ap_crc32_h : UInt<1> + ap_crc32_h <= UInt<1>("h00") + wire ap_crc32_w : UInt<1> + ap_crc32_w <= UInt<1>("h00") + wire ap_crc32c_b : UInt<1> + ap_crc32c_b <= UInt<1>("h00") + wire ap_crc32c_h : UInt<1> + ap_crc32c_h <= UInt<1>("h00") + wire ap_crc32c_w : UInt<1> + ap_crc32c_w <= UInt<1>("h00") + wire ap_bfp : UInt<1> + ap_bfp <= UInt<1>("h00") + ap_bext <= UInt<1>("h00") @[exu_mul_ctl.scala 67:21] + ap_bdep <= UInt<1>("h00") @[exu_mul_ctl.scala 68:21] + ap_clmul <= UInt<1>("h00") @[exu_mul_ctl.scala 77:21] + ap_clmulh <= UInt<1>("h00") @[exu_mul_ctl.scala 78:21] + ap_clmulr <= UInt<1>("h00") @[exu_mul_ctl.scala 79:21] + ap_grev <= UInt<1>("h00") @[exu_mul_ctl.scala 89:21] + ap_gorc <= UInt<1>("h00") @[exu_mul_ctl.scala 90:21] + ap_shfl <= UInt<1>("h00") @[exu_mul_ctl.scala 91:21] + ap_unshfl <= UInt<1>("h00") @[exu_mul_ctl.scala 92:21] + ap_crc32_b <= UInt<1>("h00") @[exu_mul_ctl.scala 104:21] + ap_crc32_h <= UInt<1>("h00") @[exu_mul_ctl.scala 105:21] + ap_crc32_w <= UInt<1>("h00") @[exu_mul_ctl.scala 106:21] + ap_crc32c_b <= UInt<1>("h00") @[exu_mul_ctl.scala 107:21] + ap_crc32c_h <= UInt<1>("h00") @[exu_mul_ctl.scala 108:21] + ap_crc32c_w <= UInt<1>("h00") @[exu_mul_ctl.scala 109:21] + ap_bfp <= UInt<1>("h00") @[exu_mul_ctl.scala 116:21] + node _T = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 123:55] + node _T_1 = and(io.mul_p.bits.rs1_sign, _T) @[exu_mul_ctl.scala 123:44] + node _T_2 = cat(_T_1, io.rs1_in) @[Cat.scala 29:58] + node _T_3 = asSInt(_T_2) @[exu_mul_ctl.scala 123:71] + rs1_ext_in <= _T_3 @[exu_mul_ctl.scala 123:14] + node _T_4 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 124:55] + node _T_5 = and(io.mul_p.bits.rs2_sign, _T_4) @[exu_mul_ctl.scala 124:44] + node _T_6 = cat(_T_5, io.rs2_in) @[Cat.scala 29:58] + node _T_7 = asSInt(_T_6) @[exu_mul_ctl.scala 124:71] + rs2_ext_in <= _T_7 @[exu_mul_ctl.scala 124:14] + node _T_8 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 126:52] + inst rvclkhdr of rvclkhdr @[lib.scala 399:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 401:18] + rvclkhdr.io.en <= _T_8 @[lib.scala 402:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg _T_9 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8 : @[Reg.scala 28:19] + _T_9 <= io.mul_p.bits.low @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + low_x <= _T_9 @[exu_mul_ctl.scala 126:9] + node _T_10 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 127:44] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 422:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 424:18] + rvclkhdr_1.io.en <= _T_10 @[lib.scala 425:17] + rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 426:24] + reg _T_11 : SInt, rvclkhdr_1.io.l1clk with : (reset => (reset, asSInt(UInt<1>("h00")))) @[lib.scala 428:16] + _T_11 <= rs1_ext_in @[lib.scala 428:16] + rs1_x <= _T_11 @[exu_mul_ctl.scala 127:9] + node _T_12 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 128:45] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 422:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 424:18] + rvclkhdr_2.io.en <= _T_12 @[lib.scala 425:17] + rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 426:24] + reg _T_13 : SInt, rvclkhdr_2.io.l1clk with : (reset => (reset, asSInt(UInt<1>("h00")))) @[lib.scala 428:16] + _T_13 <= rs2_ext_in @[lib.scala 428:16] + rs2_x <= _T_13 @[exu_mul_ctl.scala 128:9] + node _T_14 = mul(rs1_x, rs2_x) @[exu_mul_ctl.scala 130:20] + prod_x <= _T_14 @[exu_mul_ctl.scala 130:10] + node _T_15 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_16 = eq(_T_15, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_17 = bits(_T_16, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_19 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21 = add(_T_19, _T_20) @[exu_mul_ctl.scala 137:112] + node _T_22 = eq(_T_21, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_23 = bits(_T_22, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_25 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28 = add(_T_25, _T_26) @[exu_mul_ctl.scala 137:112] + node _T_29 = add(_T_28, _T_27) @[exu_mul_ctl.scala 137:112] + node _T_30 = eq(_T_29, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_31 = bits(_T_30, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_33 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37 = add(_T_33, _T_34) @[exu_mul_ctl.scala 137:112] + node _T_38 = add(_T_37, _T_35) @[exu_mul_ctl.scala 137:112] + node _T_39 = add(_T_38, _T_36) @[exu_mul_ctl.scala 137:112] + node _T_40 = eq(_T_39, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_41 = bits(_T_40, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_42 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_43 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_44 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_45 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_46 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_47 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_48 = add(_T_43, _T_44) @[exu_mul_ctl.scala 137:112] + node _T_49 = add(_T_48, _T_45) @[exu_mul_ctl.scala 137:112] + node _T_50 = add(_T_49, _T_46) @[exu_mul_ctl.scala 137:112] + node _T_51 = add(_T_50, _T_47) @[exu_mul_ctl.scala 137:112] + node _T_52 = eq(_T_51, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_53 = bits(_T_52, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_54 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_55 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_56 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_57 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_58 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_59 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_60 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_61 = add(_T_55, _T_56) @[exu_mul_ctl.scala 137:112] + node _T_62 = add(_T_61, _T_57) @[exu_mul_ctl.scala 137:112] + node _T_63 = add(_T_62, _T_58) @[exu_mul_ctl.scala 137:112] + node _T_64 = add(_T_63, _T_59) @[exu_mul_ctl.scala 137:112] + node _T_65 = add(_T_64, _T_60) @[exu_mul_ctl.scala 137:112] + node _T_66 = eq(_T_65, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_67 = bits(_T_66, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_68 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_69 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_70 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_71 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_72 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_73 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_74 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_75 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_76 = add(_T_69, _T_70) @[exu_mul_ctl.scala 137:112] + node _T_77 = add(_T_76, _T_71) @[exu_mul_ctl.scala 137:112] + node _T_78 = add(_T_77, _T_72) @[exu_mul_ctl.scala 137:112] + node _T_79 = add(_T_78, _T_73) @[exu_mul_ctl.scala 137:112] + node _T_80 = add(_T_79, _T_74) @[exu_mul_ctl.scala 137:112] + node _T_81 = add(_T_80, _T_75) @[exu_mul_ctl.scala 137:112] + node _T_82 = eq(_T_81, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_83 = bits(_T_82, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_84 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_85 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_86 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_87 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_88 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_89 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_90 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_91 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_92 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_93 = add(_T_85, _T_86) @[exu_mul_ctl.scala 137:112] + node _T_94 = add(_T_93, _T_87) @[exu_mul_ctl.scala 137:112] + node _T_95 = add(_T_94, _T_88) @[exu_mul_ctl.scala 137:112] + node _T_96 = add(_T_95, _T_89) @[exu_mul_ctl.scala 137:112] + node _T_97 = add(_T_96, _T_90) @[exu_mul_ctl.scala 137:112] + node _T_98 = add(_T_97, _T_91) @[exu_mul_ctl.scala 137:112] + node _T_99 = add(_T_98, _T_92) @[exu_mul_ctl.scala 137:112] + node _T_100 = eq(_T_99, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_101 = bits(_T_100, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_102 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_103 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_104 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_105 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_106 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_107 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_108 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_109 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_110 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_111 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_112 = add(_T_103, _T_104) @[exu_mul_ctl.scala 137:112] + node _T_113 = add(_T_112, _T_105) @[exu_mul_ctl.scala 137:112] + node _T_114 = add(_T_113, _T_106) @[exu_mul_ctl.scala 137:112] + node _T_115 = add(_T_114, _T_107) @[exu_mul_ctl.scala 137:112] + node _T_116 = add(_T_115, _T_108) @[exu_mul_ctl.scala 137:112] + node _T_117 = add(_T_116, _T_109) @[exu_mul_ctl.scala 137:112] + node _T_118 = add(_T_117, _T_110) @[exu_mul_ctl.scala 137:112] + node _T_119 = add(_T_118, _T_111) @[exu_mul_ctl.scala 137:112] + node _T_120 = eq(_T_119, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_121 = bits(_T_120, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_122 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_123 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_124 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_125 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_126 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_127 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_128 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_129 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_130 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_131 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_132 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_133 = add(_T_123, _T_124) @[exu_mul_ctl.scala 137:112] + node _T_134 = add(_T_133, _T_125) @[exu_mul_ctl.scala 137:112] + node _T_135 = add(_T_134, _T_126) @[exu_mul_ctl.scala 137:112] + node _T_136 = add(_T_135, _T_127) @[exu_mul_ctl.scala 137:112] + node _T_137 = add(_T_136, _T_128) @[exu_mul_ctl.scala 137:112] + node _T_138 = add(_T_137, _T_129) @[exu_mul_ctl.scala 137:112] + node _T_139 = add(_T_138, _T_130) @[exu_mul_ctl.scala 137:112] + node _T_140 = add(_T_139, _T_131) @[exu_mul_ctl.scala 137:112] + node _T_141 = add(_T_140, _T_132) @[exu_mul_ctl.scala 137:112] + node _T_142 = eq(_T_141, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_143 = bits(_T_142, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_144 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_145 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_146 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_147 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_148 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_149 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_150 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_151 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_152 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_153 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_154 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_155 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_156 = add(_T_145, _T_146) @[exu_mul_ctl.scala 137:112] + node _T_157 = add(_T_156, _T_147) @[exu_mul_ctl.scala 137:112] + node _T_158 = add(_T_157, _T_148) @[exu_mul_ctl.scala 137:112] + node _T_159 = add(_T_158, _T_149) @[exu_mul_ctl.scala 137:112] + node _T_160 = add(_T_159, _T_150) @[exu_mul_ctl.scala 137:112] + node _T_161 = add(_T_160, _T_151) @[exu_mul_ctl.scala 137:112] + node _T_162 = add(_T_161, _T_152) @[exu_mul_ctl.scala 137:112] + node _T_163 = add(_T_162, _T_153) @[exu_mul_ctl.scala 137:112] + node _T_164 = add(_T_163, _T_154) @[exu_mul_ctl.scala 137:112] + node _T_165 = add(_T_164, _T_155) @[exu_mul_ctl.scala 137:112] + node _T_166 = eq(_T_165, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_167 = bits(_T_166, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_168 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_169 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_170 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_171 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_172 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_173 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_174 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_175 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_176 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_177 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_178 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_179 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_180 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_181 = add(_T_169, _T_170) @[exu_mul_ctl.scala 137:112] + node _T_182 = add(_T_181, _T_171) @[exu_mul_ctl.scala 137:112] + node _T_183 = add(_T_182, _T_172) @[exu_mul_ctl.scala 137:112] + node _T_184 = add(_T_183, _T_173) @[exu_mul_ctl.scala 137:112] + node _T_185 = add(_T_184, _T_174) @[exu_mul_ctl.scala 137:112] + node _T_186 = add(_T_185, _T_175) @[exu_mul_ctl.scala 137:112] + node _T_187 = add(_T_186, _T_176) @[exu_mul_ctl.scala 137:112] + node _T_188 = add(_T_187, _T_177) @[exu_mul_ctl.scala 137:112] + node _T_189 = add(_T_188, _T_178) @[exu_mul_ctl.scala 137:112] + node _T_190 = add(_T_189, _T_179) @[exu_mul_ctl.scala 137:112] + node _T_191 = add(_T_190, _T_180) @[exu_mul_ctl.scala 137:112] + node _T_192 = eq(_T_191, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_193 = bits(_T_192, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_194 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_195 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_196 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_197 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_198 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_199 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_200 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_201 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_202 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_203 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_204 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_205 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_206 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_207 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_208 = add(_T_195, _T_196) @[exu_mul_ctl.scala 137:112] + node _T_209 = add(_T_208, _T_197) @[exu_mul_ctl.scala 137:112] + node _T_210 = add(_T_209, _T_198) @[exu_mul_ctl.scala 137:112] + node _T_211 = add(_T_210, _T_199) @[exu_mul_ctl.scala 137:112] + node _T_212 = add(_T_211, _T_200) @[exu_mul_ctl.scala 137:112] + node _T_213 = add(_T_212, _T_201) @[exu_mul_ctl.scala 137:112] + node _T_214 = add(_T_213, _T_202) @[exu_mul_ctl.scala 137:112] + node _T_215 = add(_T_214, _T_203) @[exu_mul_ctl.scala 137:112] + node _T_216 = add(_T_215, _T_204) @[exu_mul_ctl.scala 137:112] + node _T_217 = add(_T_216, _T_205) @[exu_mul_ctl.scala 137:112] + node _T_218 = add(_T_217, _T_206) @[exu_mul_ctl.scala 137:112] + node _T_219 = add(_T_218, _T_207) @[exu_mul_ctl.scala 137:112] + node _T_220 = eq(_T_219, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_221 = bits(_T_220, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_222 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_223 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_224 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_225 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_226 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_227 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_228 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_229 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_230 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_231 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_232 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_233 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_234 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_235 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_236 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_237 = add(_T_223, _T_224) @[exu_mul_ctl.scala 137:112] + node _T_238 = add(_T_237, _T_225) @[exu_mul_ctl.scala 137:112] + node _T_239 = add(_T_238, _T_226) @[exu_mul_ctl.scala 137:112] + node _T_240 = add(_T_239, _T_227) @[exu_mul_ctl.scala 137:112] + node _T_241 = add(_T_240, _T_228) @[exu_mul_ctl.scala 137:112] + node _T_242 = add(_T_241, _T_229) @[exu_mul_ctl.scala 137:112] + node _T_243 = add(_T_242, _T_230) @[exu_mul_ctl.scala 137:112] + node _T_244 = add(_T_243, _T_231) @[exu_mul_ctl.scala 137:112] + node _T_245 = add(_T_244, _T_232) @[exu_mul_ctl.scala 137:112] + node _T_246 = add(_T_245, _T_233) @[exu_mul_ctl.scala 137:112] + node _T_247 = add(_T_246, _T_234) @[exu_mul_ctl.scala 137:112] + node _T_248 = add(_T_247, _T_235) @[exu_mul_ctl.scala 137:112] + node _T_249 = add(_T_248, _T_236) @[exu_mul_ctl.scala 137:112] + node _T_250 = eq(_T_249, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_251 = bits(_T_250, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_252 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_253 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_254 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_255 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_256 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_257 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_258 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_259 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_260 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_261 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_262 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_263 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_264 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_265 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_266 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_267 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_268 = add(_T_253, _T_254) @[exu_mul_ctl.scala 137:112] + node _T_269 = add(_T_268, _T_255) @[exu_mul_ctl.scala 137:112] + node _T_270 = add(_T_269, _T_256) @[exu_mul_ctl.scala 137:112] + node _T_271 = add(_T_270, _T_257) @[exu_mul_ctl.scala 137:112] + node _T_272 = add(_T_271, _T_258) @[exu_mul_ctl.scala 137:112] + node _T_273 = add(_T_272, _T_259) @[exu_mul_ctl.scala 137:112] + node _T_274 = add(_T_273, _T_260) @[exu_mul_ctl.scala 137:112] + node _T_275 = add(_T_274, _T_261) @[exu_mul_ctl.scala 137:112] + node _T_276 = add(_T_275, _T_262) @[exu_mul_ctl.scala 137:112] + node _T_277 = add(_T_276, _T_263) @[exu_mul_ctl.scala 137:112] + node _T_278 = add(_T_277, _T_264) @[exu_mul_ctl.scala 137:112] + node _T_279 = add(_T_278, _T_265) @[exu_mul_ctl.scala 137:112] + node _T_280 = add(_T_279, _T_266) @[exu_mul_ctl.scala 137:112] + node _T_281 = add(_T_280, _T_267) @[exu_mul_ctl.scala 137:112] + node _T_282 = eq(_T_281, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_283 = bits(_T_282, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_284 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_285 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_286 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_287 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_288 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_289 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_290 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_291 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_292 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_293 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_294 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_295 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_296 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_297 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_298 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_299 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_300 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_301 = add(_T_285, _T_286) @[exu_mul_ctl.scala 137:112] + node _T_302 = add(_T_301, _T_287) @[exu_mul_ctl.scala 137:112] + node _T_303 = add(_T_302, _T_288) @[exu_mul_ctl.scala 137:112] + node _T_304 = add(_T_303, _T_289) @[exu_mul_ctl.scala 137:112] + node _T_305 = add(_T_304, _T_290) @[exu_mul_ctl.scala 137:112] + node _T_306 = add(_T_305, _T_291) @[exu_mul_ctl.scala 137:112] + node _T_307 = add(_T_306, _T_292) @[exu_mul_ctl.scala 137:112] + node _T_308 = add(_T_307, _T_293) @[exu_mul_ctl.scala 137:112] + node _T_309 = add(_T_308, _T_294) @[exu_mul_ctl.scala 137:112] + node _T_310 = add(_T_309, _T_295) @[exu_mul_ctl.scala 137:112] + node _T_311 = add(_T_310, _T_296) @[exu_mul_ctl.scala 137:112] + node _T_312 = add(_T_311, _T_297) @[exu_mul_ctl.scala 137:112] + node _T_313 = add(_T_312, _T_298) @[exu_mul_ctl.scala 137:112] + node _T_314 = add(_T_313, _T_299) @[exu_mul_ctl.scala 137:112] + node _T_315 = add(_T_314, _T_300) @[exu_mul_ctl.scala 137:112] + node _T_316 = eq(_T_315, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_317 = bits(_T_316, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_318 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_319 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_320 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_321 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_322 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_323 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_324 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_325 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_326 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_327 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_328 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_329 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_330 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_331 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_332 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_333 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_334 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_335 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_336 = add(_T_319, _T_320) @[exu_mul_ctl.scala 137:112] + node _T_337 = add(_T_336, _T_321) @[exu_mul_ctl.scala 137:112] + node _T_338 = add(_T_337, _T_322) @[exu_mul_ctl.scala 137:112] + node _T_339 = add(_T_338, _T_323) @[exu_mul_ctl.scala 137:112] + node _T_340 = add(_T_339, _T_324) @[exu_mul_ctl.scala 137:112] + node _T_341 = add(_T_340, _T_325) @[exu_mul_ctl.scala 137:112] + node _T_342 = add(_T_341, _T_326) @[exu_mul_ctl.scala 137:112] + node _T_343 = add(_T_342, _T_327) @[exu_mul_ctl.scala 137:112] + node _T_344 = add(_T_343, _T_328) @[exu_mul_ctl.scala 137:112] + node _T_345 = add(_T_344, _T_329) @[exu_mul_ctl.scala 137:112] + node _T_346 = add(_T_345, _T_330) @[exu_mul_ctl.scala 137:112] + node _T_347 = add(_T_346, _T_331) @[exu_mul_ctl.scala 137:112] + node _T_348 = add(_T_347, _T_332) @[exu_mul_ctl.scala 137:112] + node _T_349 = add(_T_348, _T_333) @[exu_mul_ctl.scala 137:112] + node _T_350 = add(_T_349, _T_334) @[exu_mul_ctl.scala 137:112] + node _T_351 = add(_T_350, _T_335) @[exu_mul_ctl.scala 137:112] + node _T_352 = eq(_T_351, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_353 = bits(_T_352, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_354 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_355 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_356 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_357 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_358 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_359 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_360 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_361 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_362 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_363 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_364 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_365 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_366 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_367 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_368 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_369 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_370 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_371 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_372 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_373 = add(_T_355, _T_356) @[exu_mul_ctl.scala 137:112] + node _T_374 = add(_T_373, _T_357) @[exu_mul_ctl.scala 137:112] + node _T_375 = add(_T_374, _T_358) @[exu_mul_ctl.scala 137:112] + node _T_376 = add(_T_375, _T_359) @[exu_mul_ctl.scala 137:112] + node _T_377 = add(_T_376, _T_360) @[exu_mul_ctl.scala 137:112] + node _T_378 = add(_T_377, _T_361) @[exu_mul_ctl.scala 137:112] + node _T_379 = add(_T_378, _T_362) @[exu_mul_ctl.scala 137:112] + node _T_380 = add(_T_379, _T_363) @[exu_mul_ctl.scala 137:112] + node _T_381 = add(_T_380, _T_364) @[exu_mul_ctl.scala 137:112] + node _T_382 = add(_T_381, _T_365) @[exu_mul_ctl.scala 137:112] + node _T_383 = add(_T_382, _T_366) @[exu_mul_ctl.scala 137:112] + node _T_384 = add(_T_383, _T_367) @[exu_mul_ctl.scala 137:112] + node _T_385 = add(_T_384, _T_368) @[exu_mul_ctl.scala 137:112] + node _T_386 = add(_T_385, _T_369) @[exu_mul_ctl.scala 137:112] + node _T_387 = add(_T_386, _T_370) @[exu_mul_ctl.scala 137:112] + node _T_388 = add(_T_387, _T_371) @[exu_mul_ctl.scala 137:112] + node _T_389 = add(_T_388, _T_372) @[exu_mul_ctl.scala 137:112] + node _T_390 = eq(_T_389, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_391 = bits(_T_390, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_392 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_393 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_394 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_395 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_396 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_397 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_398 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_399 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_400 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_401 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_402 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_403 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_404 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_405 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_406 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_407 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_408 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_409 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_410 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_411 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_412 = add(_T_393, _T_394) @[exu_mul_ctl.scala 137:112] + node _T_413 = add(_T_412, _T_395) @[exu_mul_ctl.scala 137:112] + node _T_414 = add(_T_413, _T_396) @[exu_mul_ctl.scala 137:112] + node _T_415 = add(_T_414, _T_397) @[exu_mul_ctl.scala 137:112] + node _T_416 = add(_T_415, _T_398) @[exu_mul_ctl.scala 137:112] + node _T_417 = add(_T_416, _T_399) @[exu_mul_ctl.scala 137:112] + node _T_418 = add(_T_417, _T_400) @[exu_mul_ctl.scala 137:112] + node _T_419 = add(_T_418, _T_401) @[exu_mul_ctl.scala 137:112] + node _T_420 = add(_T_419, _T_402) @[exu_mul_ctl.scala 137:112] + node _T_421 = add(_T_420, _T_403) @[exu_mul_ctl.scala 137:112] + node _T_422 = add(_T_421, _T_404) @[exu_mul_ctl.scala 137:112] + node _T_423 = add(_T_422, _T_405) @[exu_mul_ctl.scala 137:112] + node _T_424 = add(_T_423, _T_406) @[exu_mul_ctl.scala 137:112] + node _T_425 = add(_T_424, _T_407) @[exu_mul_ctl.scala 137:112] + node _T_426 = add(_T_425, _T_408) @[exu_mul_ctl.scala 137:112] + node _T_427 = add(_T_426, _T_409) @[exu_mul_ctl.scala 137:112] + node _T_428 = add(_T_427, _T_410) @[exu_mul_ctl.scala 137:112] + node _T_429 = add(_T_428, _T_411) @[exu_mul_ctl.scala 137:112] + node _T_430 = eq(_T_429, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_431 = bits(_T_430, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_432 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_433 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_434 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_435 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_436 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_437 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_438 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_439 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_440 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_441 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_442 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_443 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_444 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_445 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_446 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_447 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_448 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_449 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_450 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_451 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_452 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_453 = add(_T_433, _T_434) @[exu_mul_ctl.scala 137:112] + node _T_454 = add(_T_453, _T_435) @[exu_mul_ctl.scala 137:112] + node _T_455 = add(_T_454, _T_436) @[exu_mul_ctl.scala 137:112] + node _T_456 = add(_T_455, _T_437) @[exu_mul_ctl.scala 137:112] + node _T_457 = add(_T_456, _T_438) @[exu_mul_ctl.scala 137:112] + node _T_458 = add(_T_457, _T_439) @[exu_mul_ctl.scala 137:112] + node _T_459 = add(_T_458, _T_440) @[exu_mul_ctl.scala 137:112] + node _T_460 = add(_T_459, _T_441) @[exu_mul_ctl.scala 137:112] + node _T_461 = add(_T_460, _T_442) @[exu_mul_ctl.scala 137:112] + node _T_462 = add(_T_461, _T_443) @[exu_mul_ctl.scala 137:112] + node _T_463 = add(_T_462, _T_444) @[exu_mul_ctl.scala 137:112] + node _T_464 = add(_T_463, _T_445) @[exu_mul_ctl.scala 137:112] + node _T_465 = add(_T_464, _T_446) @[exu_mul_ctl.scala 137:112] + node _T_466 = add(_T_465, _T_447) @[exu_mul_ctl.scala 137:112] + node _T_467 = add(_T_466, _T_448) @[exu_mul_ctl.scala 137:112] + node _T_468 = add(_T_467, _T_449) @[exu_mul_ctl.scala 137:112] + node _T_469 = add(_T_468, _T_450) @[exu_mul_ctl.scala 137:112] + node _T_470 = add(_T_469, _T_451) @[exu_mul_ctl.scala 137:112] + node _T_471 = add(_T_470, _T_452) @[exu_mul_ctl.scala 137:112] + node _T_472 = eq(_T_471, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_473 = bits(_T_472, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_474 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_475 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_476 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_477 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_478 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_479 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_480 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_481 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_482 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_483 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_484 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_485 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_486 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_487 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_488 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_489 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_490 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_491 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_492 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_493 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_494 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_495 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_496 = add(_T_475, _T_476) @[exu_mul_ctl.scala 137:112] + node _T_497 = add(_T_496, _T_477) @[exu_mul_ctl.scala 137:112] + node _T_498 = add(_T_497, _T_478) @[exu_mul_ctl.scala 137:112] + node _T_499 = add(_T_498, _T_479) @[exu_mul_ctl.scala 137:112] + node _T_500 = add(_T_499, _T_480) @[exu_mul_ctl.scala 137:112] + node _T_501 = add(_T_500, _T_481) @[exu_mul_ctl.scala 137:112] + node _T_502 = add(_T_501, _T_482) @[exu_mul_ctl.scala 137:112] + node _T_503 = add(_T_502, _T_483) @[exu_mul_ctl.scala 137:112] + node _T_504 = add(_T_503, _T_484) @[exu_mul_ctl.scala 137:112] + node _T_505 = add(_T_504, _T_485) @[exu_mul_ctl.scala 137:112] + node _T_506 = add(_T_505, _T_486) @[exu_mul_ctl.scala 137:112] + node _T_507 = add(_T_506, _T_487) @[exu_mul_ctl.scala 137:112] + node _T_508 = add(_T_507, _T_488) @[exu_mul_ctl.scala 137:112] + node _T_509 = add(_T_508, _T_489) @[exu_mul_ctl.scala 137:112] + node _T_510 = add(_T_509, _T_490) @[exu_mul_ctl.scala 137:112] + node _T_511 = add(_T_510, _T_491) @[exu_mul_ctl.scala 137:112] + node _T_512 = add(_T_511, _T_492) @[exu_mul_ctl.scala 137:112] + node _T_513 = add(_T_512, _T_493) @[exu_mul_ctl.scala 137:112] + node _T_514 = add(_T_513, _T_494) @[exu_mul_ctl.scala 137:112] + node _T_515 = add(_T_514, _T_495) @[exu_mul_ctl.scala 137:112] + node _T_516 = eq(_T_515, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_517 = bits(_T_516, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_518 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_519 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_520 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_521 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_522 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_523 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_524 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_525 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_526 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_527 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_528 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_529 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_530 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_531 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_532 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_533 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_534 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_535 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_536 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_537 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_538 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_539 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_540 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_541 = add(_T_519, _T_520) @[exu_mul_ctl.scala 137:112] + node _T_542 = add(_T_541, _T_521) @[exu_mul_ctl.scala 137:112] + node _T_543 = add(_T_542, _T_522) @[exu_mul_ctl.scala 137:112] + node _T_544 = add(_T_543, _T_523) @[exu_mul_ctl.scala 137:112] + node _T_545 = add(_T_544, _T_524) @[exu_mul_ctl.scala 137:112] + node _T_546 = add(_T_545, _T_525) @[exu_mul_ctl.scala 137:112] + node _T_547 = add(_T_546, _T_526) @[exu_mul_ctl.scala 137:112] + node _T_548 = add(_T_547, _T_527) @[exu_mul_ctl.scala 137:112] + node _T_549 = add(_T_548, _T_528) @[exu_mul_ctl.scala 137:112] + node _T_550 = add(_T_549, _T_529) @[exu_mul_ctl.scala 137:112] + node _T_551 = add(_T_550, _T_530) @[exu_mul_ctl.scala 137:112] + node _T_552 = add(_T_551, _T_531) @[exu_mul_ctl.scala 137:112] + node _T_553 = add(_T_552, _T_532) @[exu_mul_ctl.scala 137:112] + node _T_554 = add(_T_553, _T_533) @[exu_mul_ctl.scala 137:112] + node _T_555 = add(_T_554, _T_534) @[exu_mul_ctl.scala 137:112] + node _T_556 = add(_T_555, _T_535) @[exu_mul_ctl.scala 137:112] + node _T_557 = add(_T_556, _T_536) @[exu_mul_ctl.scala 137:112] + node _T_558 = add(_T_557, _T_537) @[exu_mul_ctl.scala 137:112] + node _T_559 = add(_T_558, _T_538) @[exu_mul_ctl.scala 137:112] + node _T_560 = add(_T_559, _T_539) @[exu_mul_ctl.scala 137:112] + node _T_561 = add(_T_560, _T_540) @[exu_mul_ctl.scala 137:112] + node _T_562 = eq(_T_561, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_563 = bits(_T_562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_564 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_572 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_573 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_574 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_575 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_576 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_577 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_578 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_579 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_580 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_581 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_582 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_583 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_584 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_585 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_586 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_587 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_588 = add(_T_565, _T_566) @[exu_mul_ctl.scala 137:112] + node _T_589 = add(_T_588, _T_567) @[exu_mul_ctl.scala 137:112] + node _T_590 = add(_T_589, _T_568) @[exu_mul_ctl.scala 137:112] + node _T_591 = add(_T_590, _T_569) @[exu_mul_ctl.scala 137:112] + node _T_592 = add(_T_591, _T_570) @[exu_mul_ctl.scala 137:112] + node _T_593 = add(_T_592, _T_571) @[exu_mul_ctl.scala 137:112] + node _T_594 = add(_T_593, _T_572) @[exu_mul_ctl.scala 137:112] + node _T_595 = add(_T_594, _T_573) @[exu_mul_ctl.scala 137:112] + node _T_596 = add(_T_595, _T_574) @[exu_mul_ctl.scala 137:112] + node _T_597 = add(_T_596, _T_575) @[exu_mul_ctl.scala 137:112] + node _T_598 = add(_T_597, _T_576) @[exu_mul_ctl.scala 137:112] + node _T_599 = add(_T_598, _T_577) @[exu_mul_ctl.scala 137:112] + node _T_600 = add(_T_599, _T_578) @[exu_mul_ctl.scala 137:112] + node _T_601 = add(_T_600, _T_579) @[exu_mul_ctl.scala 137:112] + node _T_602 = add(_T_601, _T_580) @[exu_mul_ctl.scala 137:112] + node _T_603 = add(_T_602, _T_581) @[exu_mul_ctl.scala 137:112] + node _T_604 = add(_T_603, _T_582) @[exu_mul_ctl.scala 137:112] + node _T_605 = add(_T_604, _T_583) @[exu_mul_ctl.scala 137:112] + node _T_606 = add(_T_605, _T_584) @[exu_mul_ctl.scala 137:112] + node _T_607 = add(_T_606, _T_585) @[exu_mul_ctl.scala 137:112] + node _T_608 = add(_T_607, _T_586) @[exu_mul_ctl.scala 137:112] + node _T_609 = add(_T_608, _T_587) @[exu_mul_ctl.scala 137:112] + node _T_610 = eq(_T_609, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_611 = bits(_T_610, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_612 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_613 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_614 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_615 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_616 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_617 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_618 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_619 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_620 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_621 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_622 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_623 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_624 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_625 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_626 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_627 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_628 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_629 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_630 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_631 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_632 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_633 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_634 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_635 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_636 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_637 = add(_T_613, _T_614) @[exu_mul_ctl.scala 137:112] + node _T_638 = add(_T_637, _T_615) @[exu_mul_ctl.scala 137:112] + node _T_639 = add(_T_638, _T_616) @[exu_mul_ctl.scala 137:112] + node _T_640 = add(_T_639, _T_617) @[exu_mul_ctl.scala 137:112] + node _T_641 = add(_T_640, _T_618) @[exu_mul_ctl.scala 137:112] + node _T_642 = add(_T_641, _T_619) @[exu_mul_ctl.scala 137:112] + node _T_643 = add(_T_642, _T_620) @[exu_mul_ctl.scala 137:112] + node _T_644 = add(_T_643, _T_621) @[exu_mul_ctl.scala 137:112] + node _T_645 = add(_T_644, _T_622) @[exu_mul_ctl.scala 137:112] + node _T_646 = add(_T_645, _T_623) @[exu_mul_ctl.scala 137:112] + node _T_647 = add(_T_646, _T_624) @[exu_mul_ctl.scala 137:112] + node _T_648 = add(_T_647, _T_625) @[exu_mul_ctl.scala 137:112] + node _T_649 = add(_T_648, _T_626) @[exu_mul_ctl.scala 137:112] + node _T_650 = add(_T_649, _T_627) @[exu_mul_ctl.scala 137:112] + node _T_651 = add(_T_650, _T_628) @[exu_mul_ctl.scala 137:112] + node _T_652 = add(_T_651, _T_629) @[exu_mul_ctl.scala 137:112] + node _T_653 = add(_T_652, _T_630) @[exu_mul_ctl.scala 137:112] + node _T_654 = add(_T_653, _T_631) @[exu_mul_ctl.scala 137:112] + node _T_655 = add(_T_654, _T_632) @[exu_mul_ctl.scala 137:112] + node _T_656 = add(_T_655, _T_633) @[exu_mul_ctl.scala 137:112] + node _T_657 = add(_T_656, _T_634) @[exu_mul_ctl.scala 137:112] + node _T_658 = add(_T_657, _T_635) @[exu_mul_ctl.scala 137:112] + node _T_659 = add(_T_658, _T_636) @[exu_mul_ctl.scala 137:112] + node _T_660 = eq(_T_659, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_661 = bits(_T_660, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_662 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_663 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_664 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_665 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_666 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_667 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_668 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_669 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_670 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_671 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_672 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_673 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_674 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_675 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_676 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_677 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_678 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_679 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_680 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_681 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_682 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_683 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_684 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_685 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_686 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_687 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_688 = add(_T_663, _T_664) @[exu_mul_ctl.scala 137:112] + node _T_689 = add(_T_688, _T_665) @[exu_mul_ctl.scala 137:112] + node _T_690 = add(_T_689, _T_666) @[exu_mul_ctl.scala 137:112] + node _T_691 = add(_T_690, _T_667) @[exu_mul_ctl.scala 137:112] + node _T_692 = add(_T_691, _T_668) @[exu_mul_ctl.scala 137:112] + node _T_693 = add(_T_692, _T_669) @[exu_mul_ctl.scala 137:112] + node _T_694 = add(_T_693, _T_670) @[exu_mul_ctl.scala 137:112] + node _T_695 = add(_T_694, _T_671) @[exu_mul_ctl.scala 137:112] + node _T_696 = add(_T_695, _T_672) @[exu_mul_ctl.scala 137:112] + node _T_697 = add(_T_696, _T_673) @[exu_mul_ctl.scala 137:112] + node _T_698 = add(_T_697, _T_674) @[exu_mul_ctl.scala 137:112] + node _T_699 = add(_T_698, _T_675) @[exu_mul_ctl.scala 137:112] + node _T_700 = add(_T_699, _T_676) @[exu_mul_ctl.scala 137:112] + node _T_701 = add(_T_700, _T_677) @[exu_mul_ctl.scala 137:112] + node _T_702 = add(_T_701, _T_678) @[exu_mul_ctl.scala 137:112] + node _T_703 = add(_T_702, _T_679) @[exu_mul_ctl.scala 137:112] + node _T_704 = add(_T_703, _T_680) @[exu_mul_ctl.scala 137:112] + node _T_705 = add(_T_704, _T_681) @[exu_mul_ctl.scala 137:112] + node _T_706 = add(_T_705, _T_682) @[exu_mul_ctl.scala 137:112] + node _T_707 = add(_T_706, _T_683) @[exu_mul_ctl.scala 137:112] + node _T_708 = add(_T_707, _T_684) @[exu_mul_ctl.scala 137:112] + node _T_709 = add(_T_708, _T_685) @[exu_mul_ctl.scala 137:112] + node _T_710 = add(_T_709, _T_686) @[exu_mul_ctl.scala 137:112] + node _T_711 = add(_T_710, _T_687) @[exu_mul_ctl.scala 137:112] + node _T_712 = eq(_T_711, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_713 = bits(_T_712, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_714 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_715 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_716 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_717 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_718 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_719 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_720 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_721 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_722 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_723 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_724 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_725 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_726 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_727 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_728 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_729 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_730 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_731 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_732 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_733 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_734 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_735 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_736 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_737 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_738 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_739 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_740 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_741 = add(_T_715, _T_716) @[exu_mul_ctl.scala 137:112] + node _T_742 = add(_T_741, _T_717) @[exu_mul_ctl.scala 137:112] + node _T_743 = add(_T_742, _T_718) @[exu_mul_ctl.scala 137:112] + node _T_744 = add(_T_743, _T_719) @[exu_mul_ctl.scala 137:112] + node _T_745 = add(_T_744, _T_720) @[exu_mul_ctl.scala 137:112] + node _T_746 = add(_T_745, _T_721) @[exu_mul_ctl.scala 137:112] + node _T_747 = add(_T_746, _T_722) @[exu_mul_ctl.scala 137:112] + node _T_748 = add(_T_747, _T_723) @[exu_mul_ctl.scala 137:112] + node _T_749 = add(_T_748, _T_724) @[exu_mul_ctl.scala 137:112] + node _T_750 = add(_T_749, _T_725) @[exu_mul_ctl.scala 137:112] + node _T_751 = add(_T_750, _T_726) @[exu_mul_ctl.scala 137:112] + node _T_752 = add(_T_751, _T_727) @[exu_mul_ctl.scala 137:112] + node _T_753 = add(_T_752, _T_728) @[exu_mul_ctl.scala 137:112] + node _T_754 = add(_T_753, _T_729) @[exu_mul_ctl.scala 137:112] + node _T_755 = add(_T_754, _T_730) @[exu_mul_ctl.scala 137:112] + node _T_756 = add(_T_755, _T_731) @[exu_mul_ctl.scala 137:112] + node _T_757 = add(_T_756, _T_732) @[exu_mul_ctl.scala 137:112] + node _T_758 = add(_T_757, _T_733) @[exu_mul_ctl.scala 137:112] + node _T_759 = add(_T_758, _T_734) @[exu_mul_ctl.scala 137:112] + node _T_760 = add(_T_759, _T_735) @[exu_mul_ctl.scala 137:112] + node _T_761 = add(_T_760, _T_736) @[exu_mul_ctl.scala 137:112] + node _T_762 = add(_T_761, _T_737) @[exu_mul_ctl.scala 137:112] + node _T_763 = add(_T_762, _T_738) @[exu_mul_ctl.scala 137:112] + node _T_764 = add(_T_763, _T_739) @[exu_mul_ctl.scala 137:112] + node _T_765 = add(_T_764, _T_740) @[exu_mul_ctl.scala 137:112] + node _T_766 = eq(_T_765, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_767 = bits(_T_766, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_768 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_769 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_770 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_771 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_772 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_773 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_774 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_775 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_776 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_777 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_778 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_779 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_780 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_781 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_782 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_783 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_784 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_785 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_786 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_787 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_788 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_789 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_790 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_791 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_792 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_793 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_794 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_795 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_796 = add(_T_769, _T_770) @[exu_mul_ctl.scala 137:112] + node _T_797 = add(_T_796, _T_771) @[exu_mul_ctl.scala 137:112] + node _T_798 = add(_T_797, _T_772) @[exu_mul_ctl.scala 137:112] + node _T_799 = add(_T_798, _T_773) @[exu_mul_ctl.scala 137:112] + node _T_800 = add(_T_799, _T_774) @[exu_mul_ctl.scala 137:112] + node _T_801 = add(_T_800, _T_775) @[exu_mul_ctl.scala 137:112] + node _T_802 = add(_T_801, _T_776) @[exu_mul_ctl.scala 137:112] + node _T_803 = add(_T_802, _T_777) @[exu_mul_ctl.scala 137:112] + node _T_804 = add(_T_803, _T_778) @[exu_mul_ctl.scala 137:112] + node _T_805 = add(_T_804, _T_779) @[exu_mul_ctl.scala 137:112] + node _T_806 = add(_T_805, _T_780) @[exu_mul_ctl.scala 137:112] + node _T_807 = add(_T_806, _T_781) @[exu_mul_ctl.scala 137:112] + node _T_808 = add(_T_807, _T_782) @[exu_mul_ctl.scala 137:112] + node _T_809 = add(_T_808, _T_783) @[exu_mul_ctl.scala 137:112] + node _T_810 = add(_T_809, _T_784) @[exu_mul_ctl.scala 137:112] + node _T_811 = add(_T_810, _T_785) @[exu_mul_ctl.scala 137:112] + node _T_812 = add(_T_811, _T_786) @[exu_mul_ctl.scala 137:112] + node _T_813 = add(_T_812, _T_787) @[exu_mul_ctl.scala 137:112] + node _T_814 = add(_T_813, _T_788) @[exu_mul_ctl.scala 137:112] + node _T_815 = add(_T_814, _T_789) @[exu_mul_ctl.scala 137:112] + node _T_816 = add(_T_815, _T_790) @[exu_mul_ctl.scala 137:112] + node _T_817 = add(_T_816, _T_791) @[exu_mul_ctl.scala 137:112] + node _T_818 = add(_T_817, _T_792) @[exu_mul_ctl.scala 137:112] + node _T_819 = add(_T_818, _T_793) @[exu_mul_ctl.scala 137:112] + node _T_820 = add(_T_819, _T_794) @[exu_mul_ctl.scala 137:112] + node _T_821 = add(_T_820, _T_795) @[exu_mul_ctl.scala 137:112] + node _T_822 = eq(_T_821, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_823 = bits(_T_822, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_824 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_825 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_826 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_827 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_828 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_829 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_830 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_831 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_832 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_833 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_834 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_835 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_836 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_837 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_838 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_839 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_840 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_841 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_842 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_843 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_844 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_845 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_846 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_847 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_848 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_849 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_850 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_851 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_852 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_853 = add(_T_825, _T_826) @[exu_mul_ctl.scala 137:112] + node _T_854 = add(_T_853, _T_827) @[exu_mul_ctl.scala 137:112] + node _T_855 = add(_T_854, _T_828) @[exu_mul_ctl.scala 137:112] + node _T_856 = add(_T_855, _T_829) @[exu_mul_ctl.scala 137:112] + node _T_857 = add(_T_856, _T_830) @[exu_mul_ctl.scala 137:112] + node _T_858 = add(_T_857, _T_831) @[exu_mul_ctl.scala 137:112] + node _T_859 = add(_T_858, _T_832) @[exu_mul_ctl.scala 137:112] + node _T_860 = add(_T_859, _T_833) @[exu_mul_ctl.scala 137:112] + node _T_861 = add(_T_860, _T_834) @[exu_mul_ctl.scala 137:112] + node _T_862 = add(_T_861, _T_835) @[exu_mul_ctl.scala 137:112] + node _T_863 = add(_T_862, _T_836) @[exu_mul_ctl.scala 137:112] + node _T_864 = add(_T_863, _T_837) @[exu_mul_ctl.scala 137:112] + node _T_865 = add(_T_864, _T_838) @[exu_mul_ctl.scala 137:112] + node _T_866 = add(_T_865, _T_839) @[exu_mul_ctl.scala 137:112] + node _T_867 = add(_T_866, _T_840) @[exu_mul_ctl.scala 137:112] + node _T_868 = add(_T_867, _T_841) @[exu_mul_ctl.scala 137:112] + node _T_869 = add(_T_868, _T_842) @[exu_mul_ctl.scala 137:112] + node _T_870 = add(_T_869, _T_843) @[exu_mul_ctl.scala 137:112] + node _T_871 = add(_T_870, _T_844) @[exu_mul_ctl.scala 137:112] + node _T_872 = add(_T_871, _T_845) @[exu_mul_ctl.scala 137:112] + node _T_873 = add(_T_872, _T_846) @[exu_mul_ctl.scala 137:112] + node _T_874 = add(_T_873, _T_847) @[exu_mul_ctl.scala 137:112] + node _T_875 = add(_T_874, _T_848) @[exu_mul_ctl.scala 137:112] + node _T_876 = add(_T_875, _T_849) @[exu_mul_ctl.scala 137:112] + node _T_877 = add(_T_876, _T_850) @[exu_mul_ctl.scala 137:112] + node _T_878 = add(_T_877, _T_851) @[exu_mul_ctl.scala 137:112] + node _T_879 = add(_T_878, _T_852) @[exu_mul_ctl.scala 137:112] + node _T_880 = eq(_T_879, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_881 = bits(_T_880, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_882 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_883 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_884 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_885 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_886 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_887 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_888 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_889 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_890 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_891 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_892 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_893 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_894 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_895 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_896 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_897 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_898 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_899 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_900 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_901 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_902 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_903 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_904 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_905 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_906 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_907 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_908 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_909 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_910 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_911 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_912 = add(_T_883, _T_884) @[exu_mul_ctl.scala 137:112] + node _T_913 = add(_T_912, _T_885) @[exu_mul_ctl.scala 137:112] + node _T_914 = add(_T_913, _T_886) @[exu_mul_ctl.scala 137:112] + node _T_915 = add(_T_914, _T_887) @[exu_mul_ctl.scala 137:112] + node _T_916 = add(_T_915, _T_888) @[exu_mul_ctl.scala 137:112] + node _T_917 = add(_T_916, _T_889) @[exu_mul_ctl.scala 137:112] + node _T_918 = add(_T_917, _T_890) @[exu_mul_ctl.scala 137:112] + node _T_919 = add(_T_918, _T_891) @[exu_mul_ctl.scala 137:112] + node _T_920 = add(_T_919, _T_892) @[exu_mul_ctl.scala 137:112] + node _T_921 = add(_T_920, _T_893) @[exu_mul_ctl.scala 137:112] + node _T_922 = add(_T_921, _T_894) @[exu_mul_ctl.scala 137:112] + node _T_923 = add(_T_922, _T_895) @[exu_mul_ctl.scala 137:112] + node _T_924 = add(_T_923, _T_896) @[exu_mul_ctl.scala 137:112] + node _T_925 = add(_T_924, _T_897) @[exu_mul_ctl.scala 137:112] + node _T_926 = add(_T_925, _T_898) @[exu_mul_ctl.scala 137:112] + node _T_927 = add(_T_926, _T_899) @[exu_mul_ctl.scala 137:112] + node _T_928 = add(_T_927, _T_900) @[exu_mul_ctl.scala 137:112] + node _T_929 = add(_T_928, _T_901) @[exu_mul_ctl.scala 137:112] + node _T_930 = add(_T_929, _T_902) @[exu_mul_ctl.scala 137:112] + node _T_931 = add(_T_930, _T_903) @[exu_mul_ctl.scala 137:112] + node _T_932 = add(_T_931, _T_904) @[exu_mul_ctl.scala 137:112] + node _T_933 = add(_T_932, _T_905) @[exu_mul_ctl.scala 137:112] + node _T_934 = add(_T_933, _T_906) @[exu_mul_ctl.scala 137:112] + node _T_935 = add(_T_934, _T_907) @[exu_mul_ctl.scala 137:112] + node _T_936 = add(_T_935, _T_908) @[exu_mul_ctl.scala 137:112] + node _T_937 = add(_T_936, _T_909) @[exu_mul_ctl.scala 137:112] + node _T_938 = add(_T_937, _T_910) @[exu_mul_ctl.scala 137:112] + node _T_939 = add(_T_938, _T_911) @[exu_mul_ctl.scala 137:112] + node _T_940 = eq(_T_939, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_941 = bits(_T_940, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_942 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_943 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_944 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_945 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_946 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_947 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_948 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_949 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_950 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_951 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_952 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_953 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_954 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_955 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_956 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_957 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_958 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_959 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_960 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_961 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_962 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_963 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_964 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_965 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_966 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_967 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_968 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_969 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_970 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_971 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_972 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_973 = add(_T_943, _T_944) @[exu_mul_ctl.scala 137:112] + node _T_974 = add(_T_973, _T_945) @[exu_mul_ctl.scala 137:112] + node _T_975 = add(_T_974, _T_946) @[exu_mul_ctl.scala 137:112] + node _T_976 = add(_T_975, _T_947) @[exu_mul_ctl.scala 137:112] + node _T_977 = add(_T_976, _T_948) @[exu_mul_ctl.scala 137:112] + node _T_978 = add(_T_977, _T_949) @[exu_mul_ctl.scala 137:112] + node _T_979 = add(_T_978, _T_950) @[exu_mul_ctl.scala 137:112] + node _T_980 = add(_T_979, _T_951) @[exu_mul_ctl.scala 137:112] + node _T_981 = add(_T_980, _T_952) @[exu_mul_ctl.scala 137:112] + node _T_982 = add(_T_981, _T_953) @[exu_mul_ctl.scala 137:112] + node _T_983 = add(_T_982, _T_954) @[exu_mul_ctl.scala 137:112] + node _T_984 = add(_T_983, _T_955) @[exu_mul_ctl.scala 137:112] + node _T_985 = add(_T_984, _T_956) @[exu_mul_ctl.scala 137:112] + node _T_986 = add(_T_985, _T_957) @[exu_mul_ctl.scala 137:112] + node _T_987 = add(_T_986, _T_958) @[exu_mul_ctl.scala 137:112] + node _T_988 = add(_T_987, _T_959) @[exu_mul_ctl.scala 137:112] + node _T_989 = add(_T_988, _T_960) @[exu_mul_ctl.scala 137:112] + node _T_990 = add(_T_989, _T_961) @[exu_mul_ctl.scala 137:112] + node _T_991 = add(_T_990, _T_962) @[exu_mul_ctl.scala 137:112] + node _T_992 = add(_T_991, _T_963) @[exu_mul_ctl.scala 137:112] + node _T_993 = add(_T_992, _T_964) @[exu_mul_ctl.scala 137:112] + node _T_994 = add(_T_993, _T_965) @[exu_mul_ctl.scala 137:112] + node _T_995 = add(_T_994, _T_966) @[exu_mul_ctl.scala 137:112] + node _T_996 = add(_T_995, _T_967) @[exu_mul_ctl.scala 137:112] + node _T_997 = add(_T_996, _T_968) @[exu_mul_ctl.scala 137:112] + node _T_998 = add(_T_997, _T_969) @[exu_mul_ctl.scala 137:112] + node _T_999 = add(_T_998, _T_970) @[exu_mul_ctl.scala 137:112] + node _T_1000 = add(_T_999, _T_971) @[exu_mul_ctl.scala 137:112] + node _T_1001 = add(_T_1000, _T_972) @[exu_mul_ctl.scala 137:112] + node _T_1002 = eq(_T_1001, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_1003 = bits(_T_1002, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1004 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_1005 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1006 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1007 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1008 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1009 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1010 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1011 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1012 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1013 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1014 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1015 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1016 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1017 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1018 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1019 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1020 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1021 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1022 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1023 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1024 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1025 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1026 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1027 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1028 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1029 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_1030 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_1031 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_1032 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_1033 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_1034 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_1035 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_1036 = add(_T_1005, _T_1006) @[exu_mul_ctl.scala 137:112] + node _T_1037 = add(_T_1036, _T_1007) @[exu_mul_ctl.scala 137:112] + node _T_1038 = add(_T_1037, _T_1008) @[exu_mul_ctl.scala 137:112] + node _T_1039 = add(_T_1038, _T_1009) @[exu_mul_ctl.scala 137:112] + node _T_1040 = add(_T_1039, _T_1010) @[exu_mul_ctl.scala 137:112] + node _T_1041 = add(_T_1040, _T_1011) @[exu_mul_ctl.scala 137:112] + node _T_1042 = add(_T_1041, _T_1012) @[exu_mul_ctl.scala 137:112] + node _T_1043 = add(_T_1042, _T_1013) @[exu_mul_ctl.scala 137:112] + node _T_1044 = add(_T_1043, _T_1014) @[exu_mul_ctl.scala 137:112] + node _T_1045 = add(_T_1044, _T_1015) @[exu_mul_ctl.scala 137:112] + node _T_1046 = add(_T_1045, _T_1016) @[exu_mul_ctl.scala 137:112] + node _T_1047 = add(_T_1046, _T_1017) @[exu_mul_ctl.scala 137:112] + node _T_1048 = add(_T_1047, _T_1018) @[exu_mul_ctl.scala 137:112] + node _T_1049 = add(_T_1048, _T_1019) @[exu_mul_ctl.scala 137:112] + node _T_1050 = add(_T_1049, _T_1020) @[exu_mul_ctl.scala 137:112] + node _T_1051 = add(_T_1050, _T_1021) @[exu_mul_ctl.scala 137:112] + node _T_1052 = add(_T_1051, _T_1022) @[exu_mul_ctl.scala 137:112] + node _T_1053 = add(_T_1052, _T_1023) @[exu_mul_ctl.scala 137:112] + node _T_1054 = add(_T_1053, _T_1024) @[exu_mul_ctl.scala 137:112] + node _T_1055 = add(_T_1054, _T_1025) @[exu_mul_ctl.scala 137:112] + node _T_1056 = add(_T_1055, _T_1026) @[exu_mul_ctl.scala 137:112] + node _T_1057 = add(_T_1056, _T_1027) @[exu_mul_ctl.scala 137:112] + node _T_1058 = add(_T_1057, _T_1028) @[exu_mul_ctl.scala 137:112] + node _T_1059 = add(_T_1058, _T_1029) @[exu_mul_ctl.scala 137:112] + node _T_1060 = add(_T_1059, _T_1030) @[exu_mul_ctl.scala 137:112] + node _T_1061 = add(_T_1060, _T_1031) @[exu_mul_ctl.scala 137:112] + node _T_1062 = add(_T_1061, _T_1032) @[exu_mul_ctl.scala 137:112] + node _T_1063 = add(_T_1062, _T_1033) @[exu_mul_ctl.scala 137:112] + node _T_1064 = add(_T_1063, _T_1034) @[exu_mul_ctl.scala 137:112] + node _T_1065 = add(_T_1064, _T_1035) @[exu_mul_ctl.scala 137:112] + node _T_1066 = eq(_T_1065, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_1067 = bits(_T_1066, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1068 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_1069 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1070 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1071 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1072 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1073 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1074 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1075 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1076 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1077 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1078 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1079 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1080 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1081 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1082 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1083 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1084 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1085 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1086 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1087 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1088 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1089 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1090 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1091 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1092 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1093 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_1094 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_1095 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_1096 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_1097 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_1098 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_1099 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_1100 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_1101 = add(_T_1069, _T_1070) @[exu_mul_ctl.scala 137:112] + node _T_1102 = add(_T_1101, _T_1071) @[exu_mul_ctl.scala 137:112] + node _T_1103 = add(_T_1102, _T_1072) @[exu_mul_ctl.scala 137:112] + node _T_1104 = add(_T_1103, _T_1073) @[exu_mul_ctl.scala 137:112] + node _T_1105 = add(_T_1104, _T_1074) @[exu_mul_ctl.scala 137:112] + node _T_1106 = add(_T_1105, _T_1075) @[exu_mul_ctl.scala 137:112] + node _T_1107 = add(_T_1106, _T_1076) @[exu_mul_ctl.scala 137:112] + node _T_1108 = add(_T_1107, _T_1077) @[exu_mul_ctl.scala 137:112] + node _T_1109 = add(_T_1108, _T_1078) @[exu_mul_ctl.scala 137:112] + node _T_1110 = add(_T_1109, _T_1079) @[exu_mul_ctl.scala 137:112] + node _T_1111 = add(_T_1110, _T_1080) @[exu_mul_ctl.scala 137:112] + node _T_1112 = add(_T_1111, _T_1081) @[exu_mul_ctl.scala 137:112] + node _T_1113 = add(_T_1112, _T_1082) @[exu_mul_ctl.scala 137:112] + node _T_1114 = add(_T_1113, _T_1083) @[exu_mul_ctl.scala 137:112] + node _T_1115 = add(_T_1114, _T_1084) @[exu_mul_ctl.scala 137:112] + node _T_1116 = add(_T_1115, _T_1085) @[exu_mul_ctl.scala 137:112] + node _T_1117 = add(_T_1116, _T_1086) @[exu_mul_ctl.scala 137:112] + node _T_1118 = add(_T_1117, _T_1087) @[exu_mul_ctl.scala 137:112] + node _T_1119 = add(_T_1118, _T_1088) @[exu_mul_ctl.scala 137:112] + node _T_1120 = add(_T_1119, _T_1089) @[exu_mul_ctl.scala 137:112] + node _T_1121 = add(_T_1120, _T_1090) @[exu_mul_ctl.scala 137:112] + node _T_1122 = add(_T_1121, _T_1091) @[exu_mul_ctl.scala 137:112] + node _T_1123 = add(_T_1122, _T_1092) @[exu_mul_ctl.scala 137:112] + node _T_1124 = add(_T_1123, _T_1093) @[exu_mul_ctl.scala 137:112] + node _T_1125 = add(_T_1124, _T_1094) @[exu_mul_ctl.scala 137:112] + node _T_1126 = add(_T_1125, _T_1095) @[exu_mul_ctl.scala 137:112] + node _T_1127 = add(_T_1126, _T_1096) @[exu_mul_ctl.scala 137:112] + node _T_1128 = add(_T_1127, _T_1097) @[exu_mul_ctl.scala 137:112] + node _T_1129 = add(_T_1128, _T_1098) @[exu_mul_ctl.scala 137:112] + node _T_1130 = add(_T_1129, _T_1099) @[exu_mul_ctl.scala 137:112] + node _T_1131 = add(_T_1130, _T_1100) @[exu_mul_ctl.scala 137:112] + node _T_1132 = eq(_T_1131, UInt<1>("h01")) @[exu_mul_ctl.scala 138:87] + node _T_1133 = bits(_T_1132, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1134 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_1135 = mux(_T_1133, _T_1134, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1136 = mux(_T_1067, _T_1068, _T_1135) @[Mux.scala 98:16] + node _T_1137 = mux(_T_1003, _T_1004, _T_1136) @[Mux.scala 98:16] + node _T_1138 = mux(_T_941, _T_942, _T_1137) @[Mux.scala 98:16] + node _T_1139 = mux(_T_881, _T_882, _T_1138) @[Mux.scala 98:16] + node _T_1140 = mux(_T_823, _T_824, _T_1139) @[Mux.scala 98:16] + node _T_1141 = mux(_T_767, _T_768, _T_1140) @[Mux.scala 98:16] + node _T_1142 = mux(_T_713, _T_714, _T_1141) @[Mux.scala 98:16] + node _T_1143 = mux(_T_661, _T_662, _T_1142) @[Mux.scala 98:16] + node _T_1144 = mux(_T_611, _T_612, _T_1143) @[Mux.scala 98:16] + node _T_1145 = mux(_T_563, _T_564, _T_1144) @[Mux.scala 98:16] + node _T_1146 = mux(_T_517, _T_518, _T_1145) @[Mux.scala 98:16] + node _T_1147 = mux(_T_473, _T_474, _T_1146) @[Mux.scala 98:16] + node _T_1148 = mux(_T_431, _T_432, _T_1147) @[Mux.scala 98:16] + node _T_1149 = mux(_T_391, _T_392, _T_1148) @[Mux.scala 98:16] + node _T_1150 = mux(_T_353, _T_354, _T_1149) @[Mux.scala 98:16] + node _T_1151 = mux(_T_317, _T_318, _T_1150) @[Mux.scala 98:16] + node _T_1152 = mux(_T_283, _T_284, _T_1151) @[Mux.scala 98:16] + node _T_1153 = mux(_T_251, _T_252, _T_1152) @[Mux.scala 98:16] + node _T_1154 = mux(_T_221, _T_222, _T_1153) @[Mux.scala 98:16] + node _T_1155 = mux(_T_193, _T_194, _T_1154) @[Mux.scala 98:16] + node _T_1156 = mux(_T_167, _T_168, _T_1155) @[Mux.scala 98:16] + node _T_1157 = mux(_T_143, _T_144, _T_1156) @[Mux.scala 98:16] + node _T_1158 = mux(_T_121, _T_122, _T_1157) @[Mux.scala 98:16] + node _T_1159 = mux(_T_101, _T_102, _T_1158) @[Mux.scala 98:16] + node _T_1160 = mux(_T_83, _T_84, _T_1159) @[Mux.scala 98:16] + node _T_1161 = mux(_T_67, _T_68, _T_1160) @[Mux.scala 98:16] + node _T_1162 = mux(_T_53, _T_54, _T_1161) @[Mux.scala 98:16] + node _T_1163 = mux(_T_41, _T_42, _T_1162) @[Mux.scala 98:16] + node _T_1164 = mux(_T_31, _T_32, _T_1163) @[Mux.scala 98:16] + node _T_1165 = mux(_T_23, _T_24, _T_1164) @[Mux.scala 98:16] + node _T_1166 = mux(_T_17, _T_18, _T_1165) @[Mux.scala 98:16] + node _T_1167 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_1168 = eq(_T_1167, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1169 = bits(_T_1168, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1170 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_1171 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1172 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1173 = add(_T_1171, _T_1172) @[exu_mul_ctl.scala 137:112] + node _T_1174 = eq(_T_1173, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1175 = bits(_T_1174, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1176 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_1177 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1178 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1179 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1180 = add(_T_1177, _T_1178) @[exu_mul_ctl.scala 137:112] + node _T_1181 = add(_T_1180, _T_1179) @[exu_mul_ctl.scala 137:112] + node _T_1182 = eq(_T_1181, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1183 = bits(_T_1182, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1184 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_1185 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1186 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1187 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1188 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1189 = add(_T_1185, _T_1186) @[exu_mul_ctl.scala 137:112] + node _T_1190 = add(_T_1189, _T_1187) @[exu_mul_ctl.scala 137:112] + node _T_1191 = add(_T_1190, _T_1188) @[exu_mul_ctl.scala 137:112] + node _T_1192 = eq(_T_1191, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1193 = bits(_T_1192, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1194 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_1195 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1196 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1197 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1198 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1199 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1200 = add(_T_1195, _T_1196) @[exu_mul_ctl.scala 137:112] + node _T_1201 = add(_T_1200, _T_1197) @[exu_mul_ctl.scala 137:112] + node _T_1202 = add(_T_1201, _T_1198) @[exu_mul_ctl.scala 137:112] + node _T_1203 = add(_T_1202, _T_1199) @[exu_mul_ctl.scala 137:112] + node _T_1204 = eq(_T_1203, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1205 = bits(_T_1204, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1206 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_1207 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1208 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1209 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1210 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1211 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1212 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1213 = add(_T_1207, _T_1208) @[exu_mul_ctl.scala 137:112] + node _T_1214 = add(_T_1213, _T_1209) @[exu_mul_ctl.scala 137:112] + node _T_1215 = add(_T_1214, _T_1210) @[exu_mul_ctl.scala 137:112] + node _T_1216 = add(_T_1215, _T_1211) @[exu_mul_ctl.scala 137:112] + node _T_1217 = add(_T_1216, _T_1212) @[exu_mul_ctl.scala 137:112] + node _T_1218 = eq(_T_1217, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1219 = bits(_T_1218, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1220 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_1221 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1222 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1223 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1224 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1225 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1226 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1227 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1228 = add(_T_1221, _T_1222) @[exu_mul_ctl.scala 137:112] + node _T_1229 = add(_T_1228, _T_1223) @[exu_mul_ctl.scala 137:112] + node _T_1230 = add(_T_1229, _T_1224) @[exu_mul_ctl.scala 137:112] + node _T_1231 = add(_T_1230, _T_1225) @[exu_mul_ctl.scala 137:112] + node _T_1232 = add(_T_1231, _T_1226) @[exu_mul_ctl.scala 137:112] + node _T_1233 = add(_T_1232, _T_1227) @[exu_mul_ctl.scala 137:112] + node _T_1234 = eq(_T_1233, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1235 = bits(_T_1234, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1236 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_1237 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1238 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1239 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1240 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1241 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1242 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1243 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1244 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1245 = add(_T_1237, _T_1238) @[exu_mul_ctl.scala 137:112] + node _T_1246 = add(_T_1245, _T_1239) @[exu_mul_ctl.scala 137:112] + node _T_1247 = add(_T_1246, _T_1240) @[exu_mul_ctl.scala 137:112] + node _T_1248 = add(_T_1247, _T_1241) @[exu_mul_ctl.scala 137:112] + node _T_1249 = add(_T_1248, _T_1242) @[exu_mul_ctl.scala 137:112] + node _T_1250 = add(_T_1249, _T_1243) @[exu_mul_ctl.scala 137:112] + node _T_1251 = add(_T_1250, _T_1244) @[exu_mul_ctl.scala 137:112] + node _T_1252 = eq(_T_1251, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1253 = bits(_T_1252, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1254 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_1255 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1256 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1257 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1258 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1259 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1260 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1261 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1262 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1263 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1264 = add(_T_1255, _T_1256) @[exu_mul_ctl.scala 137:112] + node _T_1265 = add(_T_1264, _T_1257) @[exu_mul_ctl.scala 137:112] + node _T_1266 = add(_T_1265, _T_1258) @[exu_mul_ctl.scala 137:112] + node _T_1267 = add(_T_1266, _T_1259) @[exu_mul_ctl.scala 137:112] + node _T_1268 = add(_T_1267, _T_1260) @[exu_mul_ctl.scala 137:112] + node _T_1269 = add(_T_1268, _T_1261) @[exu_mul_ctl.scala 137:112] + node _T_1270 = add(_T_1269, _T_1262) @[exu_mul_ctl.scala 137:112] + node _T_1271 = add(_T_1270, _T_1263) @[exu_mul_ctl.scala 137:112] + node _T_1272 = eq(_T_1271, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1273 = bits(_T_1272, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1274 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_1275 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1276 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1277 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1278 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1279 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1280 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1281 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1282 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1283 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1284 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1285 = add(_T_1275, _T_1276) @[exu_mul_ctl.scala 137:112] + node _T_1286 = add(_T_1285, _T_1277) @[exu_mul_ctl.scala 137:112] + node _T_1287 = add(_T_1286, _T_1278) @[exu_mul_ctl.scala 137:112] + node _T_1288 = add(_T_1287, _T_1279) @[exu_mul_ctl.scala 137:112] + node _T_1289 = add(_T_1288, _T_1280) @[exu_mul_ctl.scala 137:112] + node _T_1290 = add(_T_1289, _T_1281) @[exu_mul_ctl.scala 137:112] + node _T_1291 = add(_T_1290, _T_1282) @[exu_mul_ctl.scala 137:112] + node _T_1292 = add(_T_1291, _T_1283) @[exu_mul_ctl.scala 137:112] + node _T_1293 = add(_T_1292, _T_1284) @[exu_mul_ctl.scala 137:112] + node _T_1294 = eq(_T_1293, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1295 = bits(_T_1294, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1296 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_1297 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1298 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1299 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1300 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1301 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1302 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1303 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1304 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1305 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1306 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1307 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1308 = add(_T_1297, _T_1298) @[exu_mul_ctl.scala 137:112] + node _T_1309 = add(_T_1308, _T_1299) @[exu_mul_ctl.scala 137:112] + node _T_1310 = add(_T_1309, _T_1300) @[exu_mul_ctl.scala 137:112] + node _T_1311 = add(_T_1310, _T_1301) @[exu_mul_ctl.scala 137:112] + node _T_1312 = add(_T_1311, _T_1302) @[exu_mul_ctl.scala 137:112] + node _T_1313 = add(_T_1312, _T_1303) @[exu_mul_ctl.scala 137:112] + node _T_1314 = add(_T_1313, _T_1304) @[exu_mul_ctl.scala 137:112] + node _T_1315 = add(_T_1314, _T_1305) @[exu_mul_ctl.scala 137:112] + node _T_1316 = add(_T_1315, _T_1306) @[exu_mul_ctl.scala 137:112] + node _T_1317 = add(_T_1316, _T_1307) @[exu_mul_ctl.scala 137:112] + node _T_1318 = eq(_T_1317, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1319 = bits(_T_1318, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1320 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_1321 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1322 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1323 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1324 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1325 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1326 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1327 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1328 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1329 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1330 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1331 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1332 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1333 = add(_T_1321, _T_1322) @[exu_mul_ctl.scala 137:112] + node _T_1334 = add(_T_1333, _T_1323) @[exu_mul_ctl.scala 137:112] + node _T_1335 = add(_T_1334, _T_1324) @[exu_mul_ctl.scala 137:112] + node _T_1336 = add(_T_1335, _T_1325) @[exu_mul_ctl.scala 137:112] + node _T_1337 = add(_T_1336, _T_1326) @[exu_mul_ctl.scala 137:112] + node _T_1338 = add(_T_1337, _T_1327) @[exu_mul_ctl.scala 137:112] + node _T_1339 = add(_T_1338, _T_1328) @[exu_mul_ctl.scala 137:112] + node _T_1340 = add(_T_1339, _T_1329) @[exu_mul_ctl.scala 137:112] + node _T_1341 = add(_T_1340, _T_1330) @[exu_mul_ctl.scala 137:112] + node _T_1342 = add(_T_1341, _T_1331) @[exu_mul_ctl.scala 137:112] + node _T_1343 = add(_T_1342, _T_1332) @[exu_mul_ctl.scala 137:112] + node _T_1344 = eq(_T_1343, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1345 = bits(_T_1344, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1346 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_1347 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1348 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1349 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1350 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1351 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1352 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1353 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1354 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1355 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1356 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1357 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1358 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1359 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1360 = add(_T_1347, _T_1348) @[exu_mul_ctl.scala 137:112] + node _T_1361 = add(_T_1360, _T_1349) @[exu_mul_ctl.scala 137:112] + node _T_1362 = add(_T_1361, _T_1350) @[exu_mul_ctl.scala 137:112] + node _T_1363 = add(_T_1362, _T_1351) @[exu_mul_ctl.scala 137:112] + node _T_1364 = add(_T_1363, _T_1352) @[exu_mul_ctl.scala 137:112] + node _T_1365 = add(_T_1364, _T_1353) @[exu_mul_ctl.scala 137:112] + node _T_1366 = add(_T_1365, _T_1354) @[exu_mul_ctl.scala 137:112] + node _T_1367 = add(_T_1366, _T_1355) @[exu_mul_ctl.scala 137:112] + node _T_1368 = add(_T_1367, _T_1356) @[exu_mul_ctl.scala 137:112] + node _T_1369 = add(_T_1368, _T_1357) @[exu_mul_ctl.scala 137:112] + node _T_1370 = add(_T_1369, _T_1358) @[exu_mul_ctl.scala 137:112] + node _T_1371 = add(_T_1370, _T_1359) @[exu_mul_ctl.scala 137:112] + node _T_1372 = eq(_T_1371, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1373 = bits(_T_1372, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1374 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_1375 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1376 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1377 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1378 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1379 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1380 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1381 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1382 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1383 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1384 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1385 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1386 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1387 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1388 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1389 = add(_T_1375, _T_1376) @[exu_mul_ctl.scala 137:112] + node _T_1390 = add(_T_1389, _T_1377) @[exu_mul_ctl.scala 137:112] + node _T_1391 = add(_T_1390, _T_1378) @[exu_mul_ctl.scala 137:112] + node _T_1392 = add(_T_1391, _T_1379) @[exu_mul_ctl.scala 137:112] + node _T_1393 = add(_T_1392, _T_1380) @[exu_mul_ctl.scala 137:112] + node _T_1394 = add(_T_1393, _T_1381) @[exu_mul_ctl.scala 137:112] + node _T_1395 = add(_T_1394, _T_1382) @[exu_mul_ctl.scala 137:112] + node _T_1396 = add(_T_1395, _T_1383) @[exu_mul_ctl.scala 137:112] + node _T_1397 = add(_T_1396, _T_1384) @[exu_mul_ctl.scala 137:112] + node _T_1398 = add(_T_1397, _T_1385) @[exu_mul_ctl.scala 137:112] + node _T_1399 = add(_T_1398, _T_1386) @[exu_mul_ctl.scala 137:112] + node _T_1400 = add(_T_1399, _T_1387) @[exu_mul_ctl.scala 137:112] + node _T_1401 = add(_T_1400, _T_1388) @[exu_mul_ctl.scala 137:112] + node _T_1402 = eq(_T_1401, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1403 = bits(_T_1402, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1404 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_1405 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1406 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1407 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1408 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1409 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1410 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1411 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1412 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1413 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1414 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1415 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1416 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1417 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1418 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1419 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1420 = add(_T_1405, _T_1406) @[exu_mul_ctl.scala 137:112] + node _T_1421 = add(_T_1420, _T_1407) @[exu_mul_ctl.scala 137:112] + node _T_1422 = add(_T_1421, _T_1408) @[exu_mul_ctl.scala 137:112] + node _T_1423 = add(_T_1422, _T_1409) @[exu_mul_ctl.scala 137:112] + node _T_1424 = add(_T_1423, _T_1410) @[exu_mul_ctl.scala 137:112] + node _T_1425 = add(_T_1424, _T_1411) @[exu_mul_ctl.scala 137:112] + node _T_1426 = add(_T_1425, _T_1412) @[exu_mul_ctl.scala 137:112] + node _T_1427 = add(_T_1426, _T_1413) @[exu_mul_ctl.scala 137:112] + node _T_1428 = add(_T_1427, _T_1414) @[exu_mul_ctl.scala 137:112] + node _T_1429 = add(_T_1428, _T_1415) @[exu_mul_ctl.scala 137:112] + node _T_1430 = add(_T_1429, _T_1416) @[exu_mul_ctl.scala 137:112] + node _T_1431 = add(_T_1430, _T_1417) @[exu_mul_ctl.scala 137:112] + node _T_1432 = add(_T_1431, _T_1418) @[exu_mul_ctl.scala 137:112] + node _T_1433 = add(_T_1432, _T_1419) @[exu_mul_ctl.scala 137:112] + node _T_1434 = eq(_T_1433, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1435 = bits(_T_1434, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1436 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_1437 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1438 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1439 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1440 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1441 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1442 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1443 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1444 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1445 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1446 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1447 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1448 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1449 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1450 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1451 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1452 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1453 = add(_T_1437, _T_1438) @[exu_mul_ctl.scala 137:112] + node _T_1454 = add(_T_1453, _T_1439) @[exu_mul_ctl.scala 137:112] + node _T_1455 = add(_T_1454, _T_1440) @[exu_mul_ctl.scala 137:112] + node _T_1456 = add(_T_1455, _T_1441) @[exu_mul_ctl.scala 137:112] + node _T_1457 = add(_T_1456, _T_1442) @[exu_mul_ctl.scala 137:112] + node _T_1458 = add(_T_1457, _T_1443) @[exu_mul_ctl.scala 137:112] + node _T_1459 = add(_T_1458, _T_1444) @[exu_mul_ctl.scala 137:112] + node _T_1460 = add(_T_1459, _T_1445) @[exu_mul_ctl.scala 137:112] + node _T_1461 = add(_T_1460, _T_1446) @[exu_mul_ctl.scala 137:112] + node _T_1462 = add(_T_1461, _T_1447) @[exu_mul_ctl.scala 137:112] + node _T_1463 = add(_T_1462, _T_1448) @[exu_mul_ctl.scala 137:112] + node _T_1464 = add(_T_1463, _T_1449) @[exu_mul_ctl.scala 137:112] + node _T_1465 = add(_T_1464, _T_1450) @[exu_mul_ctl.scala 137:112] + node _T_1466 = add(_T_1465, _T_1451) @[exu_mul_ctl.scala 137:112] + node _T_1467 = add(_T_1466, _T_1452) @[exu_mul_ctl.scala 137:112] + node _T_1468 = eq(_T_1467, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1469 = bits(_T_1468, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1470 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_1471 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1472 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1473 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1474 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1475 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1476 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1477 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1478 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1479 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1480 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1481 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1482 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1483 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1484 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1485 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1486 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1487 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1488 = add(_T_1471, _T_1472) @[exu_mul_ctl.scala 137:112] + node _T_1489 = add(_T_1488, _T_1473) @[exu_mul_ctl.scala 137:112] + node _T_1490 = add(_T_1489, _T_1474) @[exu_mul_ctl.scala 137:112] + node _T_1491 = add(_T_1490, _T_1475) @[exu_mul_ctl.scala 137:112] + node _T_1492 = add(_T_1491, _T_1476) @[exu_mul_ctl.scala 137:112] + node _T_1493 = add(_T_1492, _T_1477) @[exu_mul_ctl.scala 137:112] + node _T_1494 = add(_T_1493, _T_1478) @[exu_mul_ctl.scala 137:112] + node _T_1495 = add(_T_1494, _T_1479) @[exu_mul_ctl.scala 137:112] + node _T_1496 = add(_T_1495, _T_1480) @[exu_mul_ctl.scala 137:112] + node _T_1497 = add(_T_1496, _T_1481) @[exu_mul_ctl.scala 137:112] + node _T_1498 = add(_T_1497, _T_1482) @[exu_mul_ctl.scala 137:112] + node _T_1499 = add(_T_1498, _T_1483) @[exu_mul_ctl.scala 137:112] + node _T_1500 = add(_T_1499, _T_1484) @[exu_mul_ctl.scala 137:112] + node _T_1501 = add(_T_1500, _T_1485) @[exu_mul_ctl.scala 137:112] + node _T_1502 = add(_T_1501, _T_1486) @[exu_mul_ctl.scala 137:112] + node _T_1503 = add(_T_1502, _T_1487) @[exu_mul_ctl.scala 137:112] + node _T_1504 = eq(_T_1503, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1505 = bits(_T_1504, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1506 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_1507 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1508 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1509 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1510 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1511 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1512 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1513 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1514 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1515 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1516 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1517 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1518 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1519 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1520 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1521 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1522 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1523 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1524 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1525 = add(_T_1507, _T_1508) @[exu_mul_ctl.scala 137:112] + node _T_1526 = add(_T_1525, _T_1509) @[exu_mul_ctl.scala 137:112] + node _T_1527 = add(_T_1526, _T_1510) @[exu_mul_ctl.scala 137:112] + node _T_1528 = add(_T_1527, _T_1511) @[exu_mul_ctl.scala 137:112] + node _T_1529 = add(_T_1528, _T_1512) @[exu_mul_ctl.scala 137:112] + node _T_1530 = add(_T_1529, _T_1513) @[exu_mul_ctl.scala 137:112] + node _T_1531 = add(_T_1530, _T_1514) @[exu_mul_ctl.scala 137:112] + node _T_1532 = add(_T_1531, _T_1515) @[exu_mul_ctl.scala 137:112] + node _T_1533 = add(_T_1532, _T_1516) @[exu_mul_ctl.scala 137:112] + node _T_1534 = add(_T_1533, _T_1517) @[exu_mul_ctl.scala 137:112] + node _T_1535 = add(_T_1534, _T_1518) @[exu_mul_ctl.scala 137:112] + node _T_1536 = add(_T_1535, _T_1519) @[exu_mul_ctl.scala 137:112] + node _T_1537 = add(_T_1536, _T_1520) @[exu_mul_ctl.scala 137:112] + node _T_1538 = add(_T_1537, _T_1521) @[exu_mul_ctl.scala 137:112] + node _T_1539 = add(_T_1538, _T_1522) @[exu_mul_ctl.scala 137:112] + node _T_1540 = add(_T_1539, _T_1523) @[exu_mul_ctl.scala 137:112] + node _T_1541 = add(_T_1540, _T_1524) @[exu_mul_ctl.scala 137:112] + node _T_1542 = eq(_T_1541, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1543 = bits(_T_1542, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1544 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_1545 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1546 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1547 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1548 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1549 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1550 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1551 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1552 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1553 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1554 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1555 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1556 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1557 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1558 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1559 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1560 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1561 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1562 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1563 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1564 = add(_T_1545, _T_1546) @[exu_mul_ctl.scala 137:112] + node _T_1565 = add(_T_1564, _T_1547) @[exu_mul_ctl.scala 137:112] + node _T_1566 = add(_T_1565, _T_1548) @[exu_mul_ctl.scala 137:112] + node _T_1567 = add(_T_1566, _T_1549) @[exu_mul_ctl.scala 137:112] + node _T_1568 = add(_T_1567, _T_1550) @[exu_mul_ctl.scala 137:112] + node _T_1569 = add(_T_1568, _T_1551) @[exu_mul_ctl.scala 137:112] + node _T_1570 = add(_T_1569, _T_1552) @[exu_mul_ctl.scala 137:112] + node _T_1571 = add(_T_1570, _T_1553) @[exu_mul_ctl.scala 137:112] + node _T_1572 = add(_T_1571, _T_1554) @[exu_mul_ctl.scala 137:112] + node _T_1573 = add(_T_1572, _T_1555) @[exu_mul_ctl.scala 137:112] + node _T_1574 = add(_T_1573, _T_1556) @[exu_mul_ctl.scala 137:112] + node _T_1575 = add(_T_1574, _T_1557) @[exu_mul_ctl.scala 137:112] + node _T_1576 = add(_T_1575, _T_1558) @[exu_mul_ctl.scala 137:112] + node _T_1577 = add(_T_1576, _T_1559) @[exu_mul_ctl.scala 137:112] + node _T_1578 = add(_T_1577, _T_1560) @[exu_mul_ctl.scala 137:112] + node _T_1579 = add(_T_1578, _T_1561) @[exu_mul_ctl.scala 137:112] + node _T_1580 = add(_T_1579, _T_1562) @[exu_mul_ctl.scala 137:112] + node _T_1581 = add(_T_1580, _T_1563) @[exu_mul_ctl.scala 137:112] + node _T_1582 = eq(_T_1581, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1583 = bits(_T_1582, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1584 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_1585 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1586 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1587 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1588 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1589 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1590 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1591 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1592 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1593 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1594 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1595 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1596 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1597 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1598 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1599 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1600 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1601 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1602 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1603 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1604 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1605 = add(_T_1585, _T_1586) @[exu_mul_ctl.scala 137:112] + node _T_1606 = add(_T_1605, _T_1587) @[exu_mul_ctl.scala 137:112] + node _T_1607 = add(_T_1606, _T_1588) @[exu_mul_ctl.scala 137:112] + node _T_1608 = add(_T_1607, _T_1589) @[exu_mul_ctl.scala 137:112] + node _T_1609 = add(_T_1608, _T_1590) @[exu_mul_ctl.scala 137:112] + node _T_1610 = add(_T_1609, _T_1591) @[exu_mul_ctl.scala 137:112] + node _T_1611 = add(_T_1610, _T_1592) @[exu_mul_ctl.scala 137:112] + node _T_1612 = add(_T_1611, _T_1593) @[exu_mul_ctl.scala 137:112] + node _T_1613 = add(_T_1612, _T_1594) @[exu_mul_ctl.scala 137:112] + node _T_1614 = add(_T_1613, _T_1595) @[exu_mul_ctl.scala 137:112] + node _T_1615 = add(_T_1614, _T_1596) @[exu_mul_ctl.scala 137:112] + node _T_1616 = add(_T_1615, _T_1597) @[exu_mul_ctl.scala 137:112] + node _T_1617 = add(_T_1616, _T_1598) @[exu_mul_ctl.scala 137:112] + node _T_1618 = add(_T_1617, _T_1599) @[exu_mul_ctl.scala 137:112] + node _T_1619 = add(_T_1618, _T_1600) @[exu_mul_ctl.scala 137:112] + node _T_1620 = add(_T_1619, _T_1601) @[exu_mul_ctl.scala 137:112] + node _T_1621 = add(_T_1620, _T_1602) @[exu_mul_ctl.scala 137:112] + node _T_1622 = add(_T_1621, _T_1603) @[exu_mul_ctl.scala 137:112] + node _T_1623 = add(_T_1622, _T_1604) @[exu_mul_ctl.scala 137:112] + node _T_1624 = eq(_T_1623, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1625 = bits(_T_1624, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1626 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_1627 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1628 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1629 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1630 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1631 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1632 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1633 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1634 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1635 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1636 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1637 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1638 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1639 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1640 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1641 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1642 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1643 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1644 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1645 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1646 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1647 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1648 = add(_T_1627, _T_1628) @[exu_mul_ctl.scala 137:112] + node _T_1649 = add(_T_1648, _T_1629) @[exu_mul_ctl.scala 137:112] + node _T_1650 = add(_T_1649, _T_1630) @[exu_mul_ctl.scala 137:112] + node _T_1651 = add(_T_1650, _T_1631) @[exu_mul_ctl.scala 137:112] + node _T_1652 = add(_T_1651, _T_1632) @[exu_mul_ctl.scala 137:112] + node _T_1653 = add(_T_1652, _T_1633) @[exu_mul_ctl.scala 137:112] + node _T_1654 = add(_T_1653, _T_1634) @[exu_mul_ctl.scala 137:112] + node _T_1655 = add(_T_1654, _T_1635) @[exu_mul_ctl.scala 137:112] + node _T_1656 = add(_T_1655, _T_1636) @[exu_mul_ctl.scala 137:112] + node _T_1657 = add(_T_1656, _T_1637) @[exu_mul_ctl.scala 137:112] + node _T_1658 = add(_T_1657, _T_1638) @[exu_mul_ctl.scala 137:112] + node _T_1659 = add(_T_1658, _T_1639) @[exu_mul_ctl.scala 137:112] + node _T_1660 = add(_T_1659, _T_1640) @[exu_mul_ctl.scala 137:112] + node _T_1661 = add(_T_1660, _T_1641) @[exu_mul_ctl.scala 137:112] + node _T_1662 = add(_T_1661, _T_1642) @[exu_mul_ctl.scala 137:112] + node _T_1663 = add(_T_1662, _T_1643) @[exu_mul_ctl.scala 137:112] + node _T_1664 = add(_T_1663, _T_1644) @[exu_mul_ctl.scala 137:112] + node _T_1665 = add(_T_1664, _T_1645) @[exu_mul_ctl.scala 137:112] + node _T_1666 = add(_T_1665, _T_1646) @[exu_mul_ctl.scala 137:112] + node _T_1667 = add(_T_1666, _T_1647) @[exu_mul_ctl.scala 137:112] + node _T_1668 = eq(_T_1667, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1669 = bits(_T_1668, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1670 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_1671 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1672 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1673 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1674 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1675 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1676 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1677 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1678 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1679 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1680 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1681 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1682 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1683 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1684 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1685 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1686 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1687 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1688 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1689 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1690 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1691 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1692 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1693 = add(_T_1671, _T_1672) @[exu_mul_ctl.scala 137:112] + node _T_1694 = add(_T_1693, _T_1673) @[exu_mul_ctl.scala 137:112] + node _T_1695 = add(_T_1694, _T_1674) @[exu_mul_ctl.scala 137:112] + node _T_1696 = add(_T_1695, _T_1675) @[exu_mul_ctl.scala 137:112] + node _T_1697 = add(_T_1696, _T_1676) @[exu_mul_ctl.scala 137:112] + node _T_1698 = add(_T_1697, _T_1677) @[exu_mul_ctl.scala 137:112] + node _T_1699 = add(_T_1698, _T_1678) @[exu_mul_ctl.scala 137:112] + node _T_1700 = add(_T_1699, _T_1679) @[exu_mul_ctl.scala 137:112] + node _T_1701 = add(_T_1700, _T_1680) @[exu_mul_ctl.scala 137:112] + node _T_1702 = add(_T_1701, _T_1681) @[exu_mul_ctl.scala 137:112] + node _T_1703 = add(_T_1702, _T_1682) @[exu_mul_ctl.scala 137:112] + node _T_1704 = add(_T_1703, _T_1683) @[exu_mul_ctl.scala 137:112] + node _T_1705 = add(_T_1704, _T_1684) @[exu_mul_ctl.scala 137:112] + node _T_1706 = add(_T_1705, _T_1685) @[exu_mul_ctl.scala 137:112] + node _T_1707 = add(_T_1706, _T_1686) @[exu_mul_ctl.scala 137:112] + node _T_1708 = add(_T_1707, _T_1687) @[exu_mul_ctl.scala 137:112] + node _T_1709 = add(_T_1708, _T_1688) @[exu_mul_ctl.scala 137:112] + node _T_1710 = add(_T_1709, _T_1689) @[exu_mul_ctl.scala 137:112] + node _T_1711 = add(_T_1710, _T_1690) @[exu_mul_ctl.scala 137:112] + node _T_1712 = add(_T_1711, _T_1691) @[exu_mul_ctl.scala 137:112] + node _T_1713 = add(_T_1712, _T_1692) @[exu_mul_ctl.scala 137:112] + node _T_1714 = eq(_T_1713, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1715 = bits(_T_1714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1716 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_1717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1724 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1725 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1726 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1727 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1728 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1729 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1730 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1731 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1732 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1733 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1734 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1735 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1736 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1737 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1738 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1739 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1740 = add(_T_1717, _T_1718) @[exu_mul_ctl.scala 137:112] + node _T_1741 = add(_T_1740, _T_1719) @[exu_mul_ctl.scala 137:112] + node _T_1742 = add(_T_1741, _T_1720) @[exu_mul_ctl.scala 137:112] + node _T_1743 = add(_T_1742, _T_1721) @[exu_mul_ctl.scala 137:112] + node _T_1744 = add(_T_1743, _T_1722) @[exu_mul_ctl.scala 137:112] + node _T_1745 = add(_T_1744, _T_1723) @[exu_mul_ctl.scala 137:112] + node _T_1746 = add(_T_1745, _T_1724) @[exu_mul_ctl.scala 137:112] + node _T_1747 = add(_T_1746, _T_1725) @[exu_mul_ctl.scala 137:112] + node _T_1748 = add(_T_1747, _T_1726) @[exu_mul_ctl.scala 137:112] + node _T_1749 = add(_T_1748, _T_1727) @[exu_mul_ctl.scala 137:112] + node _T_1750 = add(_T_1749, _T_1728) @[exu_mul_ctl.scala 137:112] + node _T_1751 = add(_T_1750, _T_1729) @[exu_mul_ctl.scala 137:112] + node _T_1752 = add(_T_1751, _T_1730) @[exu_mul_ctl.scala 137:112] + node _T_1753 = add(_T_1752, _T_1731) @[exu_mul_ctl.scala 137:112] + node _T_1754 = add(_T_1753, _T_1732) @[exu_mul_ctl.scala 137:112] + node _T_1755 = add(_T_1754, _T_1733) @[exu_mul_ctl.scala 137:112] + node _T_1756 = add(_T_1755, _T_1734) @[exu_mul_ctl.scala 137:112] + node _T_1757 = add(_T_1756, _T_1735) @[exu_mul_ctl.scala 137:112] + node _T_1758 = add(_T_1757, _T_1736) @[exu_mul_ctl.scala 137:112] + node _T_1759 = add(_T_1758, _T_1737) @[exu_mul_ctl.scala 137:112] + node _T_1760 = add(_T_1759, _T_1738) @[exu_mul_ctl.scala 137:112] + node _T_1761 = add(_T_1760, _T_1739) @[exu_mul_ctl.scala 137:112] + node _T_1762 = eq(_T_1761, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1763 = bits(_T_1762, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1764 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_1765 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1766 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1767 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1768 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1769 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1770 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1771 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1772 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1773 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1774 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1775 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1776 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1777 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1778 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1779 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1780 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1781 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1782 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1783 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1784 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1785 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1786 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1787 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1788 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1789 = add(_T_1765, _T_1766) @[exu_mul_ctl.scala 137:112] + node _T_1790 = add(_T_1789, _T_1767) @[exu_mul_ctl.scala 137:112] + node _T_1791 = add(_T_1790, _T_1768) @[exu_mul_ctl.scala 137:112] + node _T_1792 = add(_T_1791, _T_1769) @[exu_mul_ctl.scala 137:112] + node _T_1793 = add(_T_1792, _T_1770) @[exu_mul_ctl.scala 137:112] + node _T_1794 = add(_T_1793, _T_1771) @[exu_mul_ctl.scala 137:112] + node _T_1795 = add(_T_1794, _T_1772) @[exu_mul_ctl.scala 137:112] + node _T_1796 = add(_T_1795, _T_1773) @[exu_mul_ctl.scala 137:112] + node _T_1797 = add(_T_1796, _T_1774) @[exu_mul_ctl.scala 137:112] + node _T_1798 = add(_T_1797, _T_1775) @[exu_mul_ctl.scala 137:112] + node _T_1799 = add(_T_1798, _T_1776) @[exu_mul_ctl.scala 137:112] + node _T_1800 = add(_T_1799, _T_1777) @[exu_mul_ctl.scala 137:112] + node _T_1801 = add(_T_1800, _T_1778) @[exu_mul_ctl.scala 137:112] + node _T_1802 = add(_T_1801, _T_1779) @[exu_mul_ctl.scala 137:112] + node _T_1803 = add(_T_1802, _T_1780) @[exu_mul_ctl.scala 137:112] + node _T_1804 = add(_T_1803, _T_1781) @[exu_mul_ctl.scala 137:112] + node _T_1805 = add(_T_1804, _T_1782) @[exu_mul_ctl.scala 137:112] + node _T_1806 = add(_T_1805, _T_1783) @[exu_mul_ctl.scala 137:112] + node _T_1807 = add(_T_1806, _T_1784) @[exu_mul_ctl.scala 137:112] + node _T_1808 = add(_T_1807, _T_1785) @[exu_mul_ctl.scala 137:112] + node _T_1809 = add(_T_1808, _T_1786) @[exu_mul_ctl.scala 137:112] + node _T_1810 = add(_T_1809, _T_1787) @[exu_mul_ctl.scala 137:112] + node _T_1811 = add(_T_1810, _T_1788) @[exu_mul_ctl.scala 137:112] + node _T_1812 = eq(_T_1811, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1813 = bits(_T_1812, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1814 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_1815 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1816 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1817 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1818 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1819 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1820 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1821 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1822 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1823 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1824 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1825 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1826 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1827 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1828 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1829 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1830 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1831 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1832 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1833 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1834 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1835 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1836 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1837 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1838 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1839 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_1840 = add(_T_1815, _T_1816) @[exu_mul_ctl.scala 137:112] + node _T_1841 = add(_T_1840, _T_1817) @[exu_mul_ctl.scala 137:112] + node _T_1842 = add(_T_1841, _T_1818) @[exu_mul_ctl.scala 137:112] + node _T_1843 = add(_T_1842, _T_1819) @[exu_mul_ctl.scala 137:112] + node _T_1844 = add(_T_1843, _T_1820) @[exu_mul_ctl.scala 137:112] + node _T_1845 = add(_T_1844, _T_1821) @[exu_mul_ctl.scala 137:112] + node _T_1846 = add(_T_1845, _T_1822) @[exu_mul_ctl.scala 137:112] + node _T_1847 = add(_T_1846, _T_1823) @[exu_mul_ctl.scala 137:112] + node _T_1848 = add(_T_1847, _T_1824) @[exu_mul_ctl.scala 137:112] + node _T_1849 = add(_T_1848, _T_1825) @[exu_mul_ctl.scala 137:112] + node _T_1850 = add(_T_1849, _T_1826) @[exu_mul_ctl.scala 137:112] + node _T_1851 = add(_T_1850, _T_1827) @[exu_mul_ctl.scala 137:112] + node _T_1852 = add(_T_1851, _T_1828) @[exu_mul_ctl.scala 137:112] + node _T_1853 = add(_T_1852, _T_1829) @[exu_mul_ctl.scala 137:112] + node _T_1854 = add(_T_1853, _T_1830) @[exu_mul_ctl.scala 137:112] + node _T_1855 = add(_T_1854, _T_1831) @[exu_mul_ctl.scala 137:112] + node _T_1856 = add(_T_1855, _T_1832) @[exu_mul_ctl.scala 137:112] + node _T_1857 = add(_T_1856, _T_1833) @[exu_mul_ctl.scala 137:112] + node _T_1858 = add(_T_1857, _T_1834) @[exu_mul_ctl.scala 137:112] + node _T_1859 = add(_T_1858, _T_1835) @[exu_mul_ctl.scala 137:112] + node _T_1860 = add(_T_1859, _T_1836) @[exu_mul_ctl.scala 137:112] + node _T_1861 = add(_T_1860, _T_1837) @[exu_mul_ctl.scala 137:112] + node _T_1862 = add(_T_1861, _T_1838) @[exu_mul_ctl.scala 137:112] + node _T_1863 = add(_T_1862, _T_1839) @[exu_mul_ctl.scala 137:112] + node _T_1864 = eq(_T_1863, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1865 = bits(_T_1864, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1866 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_1867 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1868 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1869 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1870 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1871 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1872 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1873 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1874 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1875 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1876 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1877 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1878 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1879 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1880 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1881 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1882 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1883 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1884 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1885 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1886 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1887 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1888 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1889 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1890 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1891 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_1892 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_1893 = add(_T_1867, _T_1868) @[exu_mul_ctl.scala 137:112] + node _T_1894 = add(_T_1893, _T_1869) @[exu_mul_ctl.scala 137:112] + node _T_1895 = add(_T_1894, _T_1870) @[exu_mul_ctl.scala 137:112] + node _T_1896 = add(_T_1895, _T_1871) @[exu_mul_ctl.scala 137:112] + node _T_1897 = add(_T_1896, _T_1872) @[exu_mul_ctl.scala 137:112] + node _T_1898 = add(_T_1897, _T_1873) @[exu_mul_ctl.scala 137:112] + node _T_1899 = add(_T_1898, _T_1874) @[exu_mul_ctl.scala 137:112] + node _T_1900 = add(_T_1899, _T_1875) @[exu_mul_ctl.scala 137:112] + node _T_1901 = add(_T_1900, _T_1876) @[exu_mul_ctl.scala 137:112] + node _T_1902 = add(_T_1901, _T_1877) @[exu_mul_ctl.scala 137:112] + node _T_1903 = add(_T_1902, _T_1878) @[exu_mul_ctl.scala 137:112] + node _T_1904 = add(_T_1903, _T_1879) @[exu_mul_ctl.scala 137:112] + node _T_1905 = add(_T_1904, _T_1880) @[exu_mul_ctl.scala 137:112] + node _T_1906 = add(_T_1905, _T_1881) @[exu_mul_ctl.scala 137:112] + node _T_1907 = add(_T_1906, _T_1882) @[exu_mul_ctl.scala 137:112] + node _T_1908 = add(_T_1907, _T_1883) @[exu_mul_ctl.scala 137:112] + node _T_1909 = add(_T_1908, _T_1884) @[exu_mul_ctl.scala 137:112] + node _T_1910 = add(_T_1909, _T_1885) @[exu_mul_ctl.scala 137:112] + node _T_1911 = add(_T_1910, _T_1886) @[exu_mul_ctl.scala 137:112] + node _T_1912 = add(_T_1911, _T_1887) @[exu_mul_ctl.scala 137:112] + node _T_1913 = add(_T_1912, _T_1888) @[exu_mul_ctl.scala 137:112] + node _T_1914 = add(_T_1913, _T_1889) @[exu_mul_ctl.scala 137:112] + node _T_1915 = add(_T_1914, _T_1890) @[exu_mul_ctl.scala 137:112] + node _T_1916 = add(_T_1915, _T_1891) @[exu_mul_ctl.scala 137:112] + node _T_1917 = add(_T_1916, _T_1892) @[exu_mul_ctl.scala 137:112] + node _T_1918 = eq(_T_1917, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1919 = bits(_T_1918, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1920 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_1921 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1922 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1923 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1924 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1925 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1926 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1927 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1928 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1929 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1930 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1931 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1932 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1933 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1934 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1935 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1936 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1937 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1938 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1939 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1940 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1941 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1942 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1943 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_1944 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_1945 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_1946 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_1947 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_1948 = add(_T_1921, _T_1922) @[exu_mul_ctl.scala 137:112] + node _T_1949 = add(_T_1948, _T_1923) @[exu_mul_ctl.scala 137:112] + node _T_1950 = add(_T_1949, _T_1924) @[exu_mul_ctl.scala 137:112] + node _T_1951 = add(_T_1950, _T_1925) @[exu_mul_ctl.scala 137:112] + node _T_1952 = add(_T_1951, _T_1926) @[exu_mul_ctl.scala 137:112] + node _T_1953 = add(_T_1952, _T_1927) @[exu_mul_ctl.scala 137:112] + node _T_1954 = add(_T_1953, _T_1928) @[exu_mul_ctl.scala 137:112] + node _T_1955 = add(_T_1954, _T_1929) @[exu_mul_ctl.scala 137:112] + node _T_1956 = add(_T_1955, _T_1930) @[exu_mul_ctl.scala 137:112] + node _T_1957 = add(_T_1956, _T_1931) @[exu_mul_ctl.scala 137:112] + node _T_1958 = add(_T_1957, _T_1932) @[exu_mul_ctl.scala 137:112] + node _T_1959 = add(_T_1958, _T_1933) @[exu_mul_ctl.scala 137:112] + node _T_1960 = add(_T_1959, _T_1934) @[exu_mul_ctl.scala 137:112] + node _T_1961 = add(_T_1960, _T_1935) @[exu_mul_ctl.scala 137:112] + node _T_1962 = add(_T_1961, _T_1936) @[exu_mul_ctl.scala 137:112] + node _T_1963 = add(_T_1962, _T_1937) @[exu_mul_ctl.scala 137:112] + node _T_1964 = add(_T_1963, _T_1938) @[exu_mul_ctl.scala 137:112] + node _T_1965 = add(_T_1964, _T_1939) @[exu_mul_ctl.scala 137:112] + node _T_1966 = add(_T_1965, _T_1940) @[exu_mul_ctl.scala 137:112] + node _T_1967 = add(_T_1966, _T_1941) @[exu_mul_ctl.scala 137:112] + node _T_1968 = add(_T_1967, _T_1942) @[exu_mul_ctl.scala 137:112] + node _T_1969 = add(_T_1968, _T_1943) @[exu_mul_ctl.scala 137:112] + node _T_1970 = add(_T_1969, _T_1944) @[exu_mul_ctl.scala 137:112] + node _T_1971 = add(_T_1970, _T_1945) @[exu_mul_ctl.scala 137:112] + node _T_1972 = add(_T_1971, _T_1946) @[exu_mul_ctl.scala 137:112] + node _T_1973 = add(_T_1972, _T_1947) @[exu_mul_ctl.scala 137:112] + node _T_1974 = eq(_T_1973, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_1975 = bits(_T_1974, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_1976 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_1977 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_1978 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_1979 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_1980 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_1981 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_1982 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_1983 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_1984 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_1985 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_1986 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_1987 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_1988 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_1989 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_1990 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_1991 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_1992 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_1993 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_1994 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_1995 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_1996 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_1997 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_1998 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_1999 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2000 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2001 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2002 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_2003 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_2004 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_2005 = add(_T_1977, _T_1978) @[exu_mul_ctl.scala 137:112] + node _T_2006 = add(_T_2005, _T_1979) @[exu_mul_ctl.scala 137:112] + node _T_2007 = add(_T_2006, _T_1980) @[exu_mul_ctl.scala 137:112] + node _T_2008 = add(_T_2007, _T_1981) @[exu_mul_ctl.scala 137:112] + node _T_2009 = add(_T_2008, _T_1982) @[exu_mul_ctl.scala 137:112] + node _T_2010 = add(_T_2009, _T_1983) @[exu_mul_ctl.scala 137:112] + node _T_2011 = add(_T_2010, _T_1984) @[exu_mul_ctl.scala 137:112] + node _T_2012 = add(_T_2011, _T_1985) @[exu_mul_ctl.scala 137:112] + node _T_2013 = add(_T_2012, _T_1986) @[exu_mul_ctl.scala 137:112] + node _T_2014 = add(_T_2013, _T_1987) @[exu_mul_ctl.scala 137:112] + node _T_2015 = add(_T_2014, _T_1988) @[exu_mul_ctl.scala 137:112] + node _T_2016 = add(_T_2015, _T_1989) @[exu_mul_ctl.scala 137:112] + node _T_2017 = add(_T_2016, _T_1990) @[exu_mul_ctl.scala 137:112] + node _T_2018 = add(_T_2017, _T_1991) @[exu_mul_ctl.scala 137:112] + node _T_2019 = add(_T_2018, _T_1992) @[exu_mul_ctl.scala 137:112] + node _T_2020 = add(_T_2019, _T_1993) @[exu_mul_ctl.scala 137:112] + node _T_2021 = add(_T_2020, _T_1994) @[exu_mul_ctl.scala 137:112] + node _T_2022 = add(_T_2021, _T_1995) @[exu_mul_ctl.scala 137:112] + node _T_2023 = add(_T_2022, _T_1996) @[exu_mul_ctl.scala 137:112] + node _T_2024 = add(_T_2023, _T_1997) @[exu_mul_ctl.scala 137:112] + node _T_2025 = add(_T_2024, _T_1998) @[exu_mul_ctl.scala 137:112] + node _T_2026 = add(_T_2025, _T_1999) @[exu_mul_ctl.scala 137:112] + node _T_2027 = add(_T_2026, _T_2000) @[exu_mul_ctl.scala 137:112] + node _T_2028 = add(_T_2027, _T_2001) @[exu_mul_ctl.scala 137:112] + node _T_2029 = add(_T_2028, _T_2002) @[exu_mul_ctl.scala 137:112] + node _T_2030 = add(_T_2029, _T_2003) @[exu_mul_ctl.scala 137:112] + node _T_2031 = add(_T_2030, _T_2004) @[exu_mul_ctl.scala 137:112] + node _T_2032 = eq(_T_2031, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_2033 = bits(_T_2032, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2034 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_2035 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2036 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2037 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2038 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2039 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2040 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2041 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2042 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2043 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2044 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2045 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2046 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2047 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2048 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2049 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2050 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2051 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2052 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2053 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2054 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2055 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2056 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2057 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2058 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2059 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2060 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_2061 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_2062 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_2063 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_2064 = add(_T_2035, _T_2036) @[exu_mul_ctl.scala 137:112] + node _T_2065 = add(_T_2064, _T_2037) @[exu_mul_ctl.scala 137:112] + node _T_2066 = add(_T_2065, _T_2038) @[exu_mul_ctl.scala 137:112] + node _T_2067 = add(_T_2066, _T_2039) @[exu_mul_ctl.scala 137:112] + node _T_2068 = add(_T_2067, _T_2040) @[exu_mul_ctl.scala 137:112] + node _T_2069 = add(_T_2068, _T_2041) @[exu_mul_ctl.scala 137:112] + node _T_2070 = add(_T_2069, _T_2042) @[exu_mul_ctl.scala 137:112] + node _T_2071 = add(_T_2070, _T_2043) @[exu_mul_ctl.scala 137:112] + node _T_2072 = add(_T_2071, _T_2044) @[exu_mul_ctl.scala 137:112] + node _T_2073 = add(_T_2072, _T_2045) @[exu_mul_ctl.scala 137:112] + node _T_2074 = add(_T_2073, _T_2046) @[exu_mul_ctl.scala 137:112] + node _T_2075 = add(_T_2074, _T_2047) @[exu_mul_ctl.scala 137:112] + node _T_2076 = add(_T_2075, _T_2048) @[exu_mul_ctl.scala 137:112] + node _T_2077 = add(_T_2076, _T_2049) @[exu_mul_ctl.scala 137:112] + node _T_2078 = add(_T_2077, _T_2050) @[exu_mul_ctl.scala 137:112] + node _T_2079 = add(_T_2078, _T_2051) @[exu_mul_ctl.scala 137:112] + node _T_2080 = add(_T_2079, _T_2052) @[exu_mul_ctl.scala 137:112] + node _T_2081 = add(_T_2080, _T_2053) @[exu_mul_ctl.scala 137:112] + node _T_2082 = add(_T_2081, _T_2054) @[exu_mul_ctl.scala 137:112] + node _T_2083 = add(_T_2082, _T_2055) @[exu_mul_ctl.scala 137:112] + node _T_2084 = add(_T_2083, _T_2056) @[exu_mul_ctl.scala 137:112] + node _T_2085 = add(_T_2084, _T_2057) @[exu_mul_ctl.scala 137:112] + node _T_2086 = add(_T_2085, _T_2058) @[exu_mul_ctl.scala 137:112] + node _T_2087 = add(_T_2086, _T_2059) @[exu_mul_ctl.scala 137:112] + node _T_2088 = add(_T_2087, _T_2060) @[exu_mul_ctl.scala 137:112] + node _T_2089 = add(_T_2088, _T_2061) @[exu_mul_ctl.scala 137:112] + node _T_2090 = add(_T_2089, _T_2062) @[exu_mul_ctl.scala 137:112] + node _T_2091 = add(_T_2090, _T_2063) @[exu_mul_ctl.scala 137:112] + node _T_2092 = eq(_T_2091, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_2093 = bits(_T_2092, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2094 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_2095 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2096 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2097 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2098 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2099 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2100 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2101 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2102 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2103 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2104 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2105 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2106 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2107 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2108 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2109 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2110 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2111 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2112 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2113 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2114 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2115 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2116 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2117 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2118 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2119 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2120 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_2121 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_2122 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_2123 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_2124 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_2125 = add(_T_2095, _T_2096) @[exu_mul_ctl.scala 137:112] + node _T_2126 = add(_T_2125, _T_2097) @[exu_mul_ctl.scala 137:112] + node _T_2127 = add(_T_2126, _T_2098) @[exu_mul_ctl.scala 137:112] + node _T_2128 = add(_T_2127, _T_2099) @[exu_mul_ctl.scala 137:112] + node _T_2129 = add(_T_2128, _T_2100) @[exu_mul_ctl.scala 137:112] + node _T_2130 = add(_T_2129, _T_2101) @[exu_mul_ctl.scala 137:112] + node _T_2131 = add(_T_2130, _T_2102) @[exu_mul_ctl.scala 137:112] + node _T_2132 = add(_T_2131, _T_2103) @[exu_mul_ctl.scala 137:112] + node _T_2133 = add(_T_2132, _T_2104) @[exu_mul_ctl.scala 137:112] + node _T_2134 = add(_T_2133, _T_2105) @[exu_mul_ctl.scala 137:112] + node _T_2135 = add(_T_2134, _T_2106) @[exu_mul_ctl.scala 137:112] + node _T_2136 = add(_T_2135, _T_2107) @[exu_mul_ctl.scala 137:112] + node _T_2137 = add(_T_2136, _T_2108) @[exu_mul_ctl.scala 137:112] + node _T_2138 = add(_T_2137, _T_2109) @[exu_mul_ctl.scala 137:112] + node _T_2139 = add(_T_2138, _T_2110) @[exu_mul_ctl.scala 137:112] + node _T_2140 = add(_T_2139, _T_2111) @[exu_mul_ctl.scala 137:112] + node _T_2141 = add(_T_2140, _T_2112) @[exu_mul_ctl.scala 137:112] + node _T_2142 = add(_T_2141, _T_2113) @[exu_mul_ctl.scala 137:112] + node _T_2143 = add(_T_2142, _T_2114) @[exu_mul_ctl.scala 137:112] + node _T_2144 = add(_T_2143, _T_2115) @[exu_mul_ctl.scala 137:112] + node _T_2145 = add(_T_2144, _T_2116) @[exu_mul_ctl.scala 137:112] + node _T_2146 = add(_T_2145, _T_2117) @[exu_mul_ctl.scala 137:112] + node _T_2147 = add(_T_2146, _T_2118) @[exu_mul_ctl.scala 137:112] + node _T_2148 = add(_T_2147, _T_2119) @[exu_mul_ctl.scala 137:112] + node _T_2149 = add(_T_2148, _T_2120) @[exu_mul_ctl.scala 137:112] + node _T_2150 = add(_T_2149, _T_2121) @[exu_mul_ctl.scala 137:112] + node _T_2151 = add(_T_2150, _T_2122) @[exu_mul_ctl.scala 137:112] + node _T_2152 = add(_T_2151, _T_2123) @[exu_mul_ctl.scala 137:112] + node _T_2153 = add(_T_2152, _T_2124) @[exu_mul_ctl.scala 137:112] + node _T_2154 = eq(_T_2153, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_2155 = bits(_T_2154, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2156 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_2157 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2158 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2159 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2160 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2161 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2162 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2163 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2164 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2165 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2166 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2167 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2168 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2169 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2170 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2171 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2172 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2173 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2174 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2175 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2176 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2177 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2178 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2179 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2180 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2181 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2182 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_2183 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_2184 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_2185 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_2186 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_2187 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_2188 = add(_T_2157, _T_2158) @[exu_mul_ctl.scala 137:112] + node _T_2189 = add(_T_2188, _T_2159) @[exu_mul_ctl.scala 137:112] + node _T_2190 = add(_T_2189, _T_2160) @[exu_mul_ctl.scala 137:112] + node _T_2191 = add(_T_2190, _T_2161) @[exu_mul_ctl.scala 137:112] + node _T_2192 = add(_T_2191, _T_2162) @[exu_mul_ctl.scala 137:112] + node _T_2193 = add(_T_2192, _T_2163) @[exu_mul_ctl.scala 137:112] + node _T_2194 = add(_T_2193, _T_2164) @[exu_mul_ctl.scala 137:112] + node _T_2195 = add(_T_2194, _T_2165) @[exu_mul_ctl.scala 137:112] + node _T_2196 = add(_T_2195, _T_2166) @[exu_mul_ctl.scala 137:112] + node _T_2197 = add(_T_2196, _T_2167) @[exu_mul_ctl.scala 137:112] + node _T_2198 = add(_T_2197, _T_2168) @[exu_mul_ctl.scala 137:112] + node _T_2199 = add(_T_2198, _T_2169) @[exu_mul_ctl.scala 137:112] + node _T_2200 = add(_T_2199, _T_2170) @[exu_mul_ctl.scala 137:112] + node _T_2201 = add(_T_2200, _T_2171) @[exu_mul_ctl.scala 137:112] + node _T_2202 = add(_T_2201, _T_2172) @[exu_mul_ctl.scala 137:112] + node _T_2203 = add(_T_2202, _T_2173) @[exu_mul_ctl.scala 137:112] + node _T_2204 = add(_T_2203, _T_2174) @[exu_mul_ctl.scala 137:112] + node _T_2205 = add(_T_2204, _T_2175) @[exu_mul_ctl.scala 137:112] + node _T_2206 = add(_T_2205, _T_2176) @[exu_mul_ctl.scala 137:112] + node _T_2207 = add(_T_2206, _T_2177) @[exu_mul_ctl.scala 137:112] + node _T_2208 = add(_T_2207, _T_2178) @[exu_mul_ctl.scala 137:112] + node _T_2209 = add(_T_2208, _T_2179) @[exu_mul_ctl.scala 137:112] + node _T_2210 = add(_T_2209, _T_2180) @[exu_mul_ctl.scala 137:112] + node _T_2211 = add(_T_2210, _T_2181) @[exu_mul_ctl.scala 137:112] + node _T_2212 = add(_T_2211, _T_2182) @[exu_mul_ctl.scala 137:112] + node _T_2213 = add(_T_2212, _T_2183) @[exu_mul_ctl.scala 137:112] + node _T_2214 = add(_T_2213, _T_2184) @[exu_mul_ctl.scala 137:112] + node _T_2215 = add(_T_2214, _T_2185) @[exu_mul_ctl.scala 137:112] + node _T_2216 = add(_T_2215, _T_2186) @[exu_mul_ctl.scala 137:112] + node _T_2217 = add(_T_2216, _T_2187) @[exu_mul_ctl.scala 137:112] + node _T_2218 = eq(_T_2217, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_2219 = bits(_T_2218, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2220 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_2221 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2222 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2223 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2224 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2225 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2226 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2227 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2228 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2229 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2230 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2231 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2232 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2233 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2234 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2235 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2236 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2237 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2238 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2239 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2240 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2241 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2242 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2243 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2244 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2245 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2246 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_2247 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_2248 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_2249 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_2250 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_2251 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_2252 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_2253 = add(_T_2221, _T_2222) @[exu_mul_ctl.scala 137:112] + node _T_2254 = add(_T_2253, _T_2223) @[exu_mul_ctl.scala 137:112] + node _T_2255 = add(_T_2254, _T_2224) @[exu_mul_ctl.scala 137:112] + node _T_2256 = add(_T_2255, _T_2225) @[exu_mul_ctl.scala 137:112] + node _T_2257 = add(_T_2256, _T_2226) @[exu_mul_ctl.scala 137:112] + node _T_2258 = add(_T_2257, _T_2227) @[exu_mul_ctl.scala 137:112] + node _T_2259 = add(_T_2258, _T_2228) @[exu_mul_ctl.scala 137:112] + node _T_2260 = add(_T_2259, _T_2229) @[exu_mul_ctl.scala 137:112] + node _T_2261 = add(_T_2260, _T_2230) @[exu_mul_ctl.scala 137:112] + node _T_2262 = add(_T_2261, _T_2231) @[exu_mul_ctl.scala 137:112] + node _T_2263 = add(_T_2262, _T_2232) @[exu_mul_ctl.scala 137:112] + node _T_2264 = add(_T_2263, _T_2233) @[exu_mul_ctl.scala 137:112] + node _T_2265 = add(_T_2264, _T_2234) @[exu_mul_ctl.scala 137:112] + node _T_2266 = add(_T_2265, _T_2235) @[exu_mul_ctl.scala 137:112] + node _T_2267 = add(_T_2266, _T_2236) @[exu_mul_ctl.scala 137:112] + node _T_2268 = add(_T_2267, _T_2237) @[exu_mul_ctl.scala 137:112] + node _T_2269 = add(_T_2268, _T_2238) @[exu_mul_ctl.scala 137:112] + node _T_2270 = add(_T_2269, _T_2239) @[exu_mul_ctl.scala 137:112] + node _T_2271 = add(_T_2270, _T_2240) @[exu_mul_ctl.scala 137:112] + node _T_2272 = add(_T_2271, _T_2241) @[exu_mul_ctl.scala 137:112] + node _T_2273 = add(_T_2272, _T_2242) @[exu_mul_ctl.scala 137:112] + node _T_2274 = add(_T_2273, _T_2243) @[exu_mul_ctl.scala 137:112] + node _T_2275 = add(_T_2274, _T_2244) @[exu_mul_ctl.scala 137:112] + node _T_2276 = add(_T_2275, _T_2245) @[exu_mul_ctl.scala 137:112] + node _T_2277 = add(_T_2276, _T_2246) @[exu_mul_ctl.scala 137:112] + node _T_2278 = add(_T_2277, _T_2247) @[exu_mul_ctl.scala 137:112] + node _T_2279 = add(_T_2278, _T_2248) @[exu_mul_ctl.scala 137:112] + node _T_2280 = add(_T_2279, _T_2249) @[exu_mul_ctl.scala 137:112] + node _T_2281 = add(_T_2280, _T_2250) @[exu_mul_ctl.scala 137:112] + node _T_2282 = add(_T_2281, _T_2251) @[exu_mul_ctl.scala 137:112] + node _T_2283 = add(_T_2282, _T_2252) @[exu_mul_ctl.scala 137:112] + node _T_2284 = eq(_T_2283, UInt<2>("h02")) @[exu_mul_ctl.scala 138:87] + node _T_2285 = bits(_T_2284, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2286 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_2287 = mux(_T_2285, _T_2286, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_2288 = mux(_T_2219, _T_2220, _T_2287) @[Mux.scala 98:16] + node _T_2289 = mux(_T_2155, _T_2156, _T_2288) @[Mux.scala 98:16] + node _T_2290 = mux(_T_2093, _T_2094, _T_2289) @[Mux.scala 98:16] + node _T_2291 = mux(_T_2033, _T_2034, _T_2290) @[Mux.scala 98:16] + node _T_2292 = mux(_T_1975, _T_1976, _T_2291) @[Mux.scala 98:16] + node _T_2293 = mux(_T_1919, _T_1920, _T_2292) @[Mux.scala 98:16] + node _T_2294 = mux(_T_1865, _T_1866, _T_2293) @[Mux.scala 98:16] + node _T_2295 = mux(_T_1813, _T_1814, _T_2294) @[Mux.scala 98:16] + node _T_2296 = mux(_T_1763, _T_1764, _T_2295) @[Mux.scala 98:16] + node _T_2297 = mux(_T_1715, _T_1716, _T_2296) @[Mux.scala 98:16] + node _T_2298 = mux(_T_1669, _T_1670, _T_2297) @[Mux.scala 98:16] + node _T_2299 = mux(_T_1625, _T_1626, _T_2298) @[Mux.scala 98:16] + node _T_2300 = mux(_T_1583, _T_1584, _T_2299) @[Mux.scala 98:16] + node _T_2301 = mux(_T_1543, _T_1544, _T_2300) @[Mux.scala 98:16] + node _T_2302 = mux(_T_1505, _T_1506, _T_2301) @[Mux.scala 98:16] + node _T_2303 = mux(_T_1469, _T_1470, _T_2302) @[Mux.scala 98:16] + node _T_2304 = mux(_T_1435, _T_1436, _T_2303) @[Mux.scala 98:16] + node _T_2305 = mux(_T_1403, _T_1404, _T_2304) @[Mux.scala 98:16] + node _T_2306 = mux(_T_1373, _T_1374, _T_2305) @[Mux.scala 98:16] + node _T_2307 = mux(_T_1345, _T_1346, _T_2306) @[Mux.scala 98:16] + node _T_2308 = mux(_T_1319, _T_1320, _T_2307) @[Mux.scala 98:16] + node _T_2309 = mux(_T_1295, _T_1296, _T_2308) @[Mux.scala 98:16] + node _T_2310 = mux(_T_1273, _T_1274, _T_2309) @[Mux.scala 98:16] + node _T_2311 = mux(_T_1253, _T_1254, _T_2310) @[Mux.scala 98:16] + node _T_2312 = mux(_T_1235, _T_1236, _T_2311) @[Mux.scala 98:16] + node _T_2313 = mux(_T_1219, _T_1220, _T_2312) @[Mux.scala 98:16] + node _T_2314 = mux(_T_1205, _T_1206, _T_2313) @[Mux.scala 98:16] + node _T_2315 = mux(_T_1193, _T_1194, _T_2314) @[Mux.scala 98:16] + node _T_2316 = mux(_T_1183, _T_1184, _T_2315) @[Mux.scala 98:16] + node _T_2317 = mux(_T_1175, _T_1176, _T_2316) @[Mux.scala 98:16] + node _T_2318 = mux(_T_1169, _T_1170, _T_2317) @[Mux.scala 98:16] + node _T_2319 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_2320 = eq(_T_2319, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2321 = bits(_T_2320, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2322 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_2323 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2324 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2325 = add(_T_2323, _T_2324) @[exu_mul_ctl.scala 137:112] + node _T_2326 = eq(_T_2325, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2327 = bits(_T_2326, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2328 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_2329 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2330 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2331 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2332 = add(_T_2329, _T_2330) @[exu_mul_ctl.scala 137:112] + node _T_2333 = add(_T_2332, _T_2331) @[exu_mul_ctl.scala 137:112] + node _T_2334 = eq(_T_2333, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2335 = bits(_T_2334, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2336 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_2337 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2338 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2339 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2340 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2341 = add(_T_2337, _T_2338) @[exu_mul_ctl.scala 137:112] + node _T_2342 = add(_T_2341, _T_2339) @[exu_mul_ctl.scala 137:112] + node _T_2343 = add(_T_2342, _T_2340) @[exu_mul_ctl.scala 137:112] + node _T_2344 = eq(_T_2343, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2345 = bits(_T_2344, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2346 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_2347 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2348 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2349 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2350 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2351 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2352 = add(_T_2347, _T_2348) @[exu_mul_ctl.scala 137:112] + node _T_2353 = add(_T_2352, _T_2349) @[exu_mul_ctl.scala 137:112] + node _T_2354 = add(_T_2353, _T_2350) @[exu_mul_ctl.scala 137:112] + node _T_2355 = add(_T_2354, _T_2351) @[exu_mul_ctl.scala 137:112] + node _T_2356 = eq(_T_2355, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2357 = bits(_T_2356, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2358 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_2359 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2360 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2361 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2362 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2363 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2364 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2365 = add(_T_2359, _T_2360) @[exu_mul_ctl.scala 137:112] + node _T_2366 = add(_T_2365, _T_2361) @[exu_mul_ctl.scala 137:112] + node _T_2367 = add(_T_2366, _T_2362) @[exu_mul_ctl.scala 137:112] + node _T_2368 = add(_T_2367, _T_2363) @[exu_mul_ctl.scala 137:112] + node _T_2369 = add(_T_2368, _T_2364) @[exu_mul_ctl.scala 137:112] + node _T_2370 = eq(_T_2369, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2371 = bits(_T_2370, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2372 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_2373 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2374 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2375 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2376 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2377 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2378 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2379 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2380 = add(_T_2373, _T_2374) @[exu_mul_ctl.scala 137:112] + node _T_2381 = add(_T_2380, _T_2375) @[exu_mul_ctl.scala 137:112] + node _T_2382 = add(_T_2381, _T_2376) @[exu_mul_ctl.scala 137:112] + node _T_2383 = add(_T_2382, _T_2377) @[exu_mul_ctl.scala 137:112] + node _T_2384 = add(_T_2383, _T_2378) @[exu_mul_ctl.scala 137:112] + node _T_2385 = add(_T_2384, _T_2379) @[exu_mul_ctl.scala 137:112] + node _T_2386 = eq(_T_2385, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2387 = bits(_T_2386, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2388 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_2389 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2390 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2391 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2392 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2393 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2394 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2395 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2396 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2397 = add(_T_2389, _T_2390) @[exu_mul_ctl.scala 137:112] + node _T_2398 = add(_T_2397, _T_2391) @[exu_mul_ctl.scala 137:112] + node _T_2399 = add(_T_2398, _T_2392) @[exu_mul_ctl.scala 137:112] + node _T_2400 = add(_T_2399, _T_2393) @[exu_mul_ctl.scala 137:112] + node _T_2401 = add(_T_2400, _T_2394) @[exu_mul_ctl.scala 137:112] + node _T_2402 = add(_T_2401, _T_2395) @[exu_mul_ctl.scala 137:112] + node _T_2403 = add(_T_2402, _T_2396) @[exu_mul_ctl.scala 137:112] + node _T_2404 = eq(_T_2403, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2405 = bits(_T_2404, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2406 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_2407 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2408 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2409 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2410 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2411 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2412 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2413 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2414 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2415 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2416 = add(_T_2407, _T_2408) @[exu_mul_ctl.scala 137:112] + node _T_2417 = add(_T_2416, _T_2409) @[exu_mul_ctl.scala 137:112] + node _T_2418 = add(_T_2417, _T_2410) @[exu_mul_ctl.scala 137:112] + node _T_2419 = add(_T_2418, _T_2411) @[exu_mul_ctl.scala 137:112] + node _T_2420 = add(_T_2419, _T_2412) @[exu_mul_ctl.scala 137:112] + node _T_2421 = add(_T_2420, _T_2413) @[exu_mul_ctl.scala 137:112] + node _T_2422 = add(_T_2421, _T_2414) @[exu_mul_ctl.scala 137:112] + node _T_2423 = add(_T_2422, _T_2415) @[exu_mul_ctl.scala 137:112] + node _T_2424 = eq(_T_2423, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2425 = bits(_T_2424, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2426 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_2427 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2428 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2429 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2430 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2431 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2432 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2433 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2434 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2435 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2436 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2437 = add(_T_2427, _T_2428) @[exu_mul_ctl.scala 137:112] + node _T_2438 = add(_T_2437, _T_2429) @[exu_mul_ctl.scala 137:112] + node _T_2439 = add(_T_2438, _T_2430) @[exu_mul_ctl.scala 137:112] + node _T_2440 = add(_T_2439, _T_2431) @[exu_mul_ctl.scala 137:112] + node _T_2441 = add(_T_2440, _T_2432) @[exu_mul_ctl.scala 137:112] + node _T_2442 = add(_T_2441, _T_2433) @[exu_mul_ctl.scala 137:112] + node _T_2443 = add(_T_2442, _T_2434) @[exu_mul_ctl.scala 137:112] + node _T_2444 = add(_T_2443, _T_2435) @[exu_mul_ctl.scala 137:112] + node _T_2445 = add(_T_2444, _T_2436) @[exu_mul_ctl.scala 137:112] + node _T_2446 = eq(_T_2445, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2447 = bits(_T_2446, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2448 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_2449 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2450 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2451 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2452 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2453 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2454 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2455 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2456 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2457 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2458 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2459 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2460 = add(_T_2449, _T_2450) @[exu_mul_ctl.scala 137:112] + node _T_2461 = add(_T_2460, _T_2451) @[exu_mul_ctl.scala 137:112] + node _T_2462 = add(_T_2461, _T_2452) @[exu_mul_ctl.scala 137:112] + node _T_2463 = add(_T_2462, _T_2453) @[exu_mul_ctl.scala 137:112] + node _T_2464 = add(_T_2463, _T_2454) @[exu_mul_ctl.scala 137:112] + node _T_2465 = add(_T_2464, _T_2455) @[exu_mul_ctl.scala 137:112] + node _T_2466 = add(_T_2465, _T_2456) @[exu_mul_ctl.scala 137:112] + node _T_2467 = add(_T_2466, _T_2457) @[exu_mul_ctl.scala 137:112] + node _T_2468 = add(_T_2467, _T_2458) @[exu_mul_ctl.scala 137:112] + node _T_2469 = add(_T_2468, _T_2459) @[exu_mul_ctl.scala 137:112] + node _T_2470 = eq(_T_2469, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2471 = bits(_T_2470, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2472 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_2473 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2474 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2475 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2476 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2477 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2478 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2479 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2480 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2481 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2482 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2483 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2484 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2485 = add(_T_2473, _T_2474) @[exu_mul_ctl.scala 137:112] + node _T_2486 = add(_T_2485, _T_2475) @[exu_mul_ctl.scala 137:112] + node _T_2487 = add(_T_2486, _T_2476) @[exu_mul_ctl.scala 137:112] + node _T_2488 = add(_T_2487, _T_2477) @[exu_mul_ctl.scala 137:112] + node _T_2489 = add(_T_2488, _T_2478) @[exu_mul_ctl.scala 137:112] + node _T_2490 = add(_T_2489, _T_2479) @[exu_mul_ctl.scala 137:112] + node _T_2491 = add(_T_2490, _T_2480) @[exu_mul_ctl.scala 137:112] + node _T_2492 = add(_T_2491, _T_2481) @[exu_mul_ctl.scala 137:112] + node _T_2493 = add(_T_2492, _T_2482) @[exu_mul_ctl.scala 137:112] + node _T_2494 = add(_T_2493, _T_2483) @[exu_mul_ctl.scala 137:112] + node _T_2495 = add(_T_2494, _T_2484) @[exu_mul_ctl.scala 137:112] + node _T_2496 = eq(_T_2495, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2497 = bits(_T_2496, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2498 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_2499 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2500 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2501 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2502 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2503 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2504 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2505 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2506 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2507 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2508 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2509 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2510 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2511 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2512 = add(_T_2499, _T_2500) @[exu_mul_ctl.scala 137:112] + node _T_2513 = add(_T_2512, _T_2501) @[exu_mul_ctl.scala 137:112] + node _T_2514 = add(_T_2513, _T_2502) @[exu_mul_ctl.scala 137:112] + node _T_2515 = add(_T_2514, _T_2503) @[exu_mul_ctl.scala 137:112] + node _T_2516 = add(_T_2515, _T_2504) @[exu_mul_ctl.scala 137:112] + node _T_2517 = add(_T_2516, _T_2505) @[exu_mul_ctl.scala 137:112] + node _T_2518 = add(_T_2517, _T_2506) @[exu_mul_ctl.scala 137:112] + node _T_2519 = add(_T_2518, _T_2507) @[exu_mul_ctl.scala 137:112] + node _T_2520 = add(_T_2519, _T_2508) @[exu_mul_ctl.scala 137:112] + node _T_2521 = add(_T_2520, _T_2509) @[exu_mul_ctl.scala 137:112] + node _T_2522 = add(_T_2521, _T_2510) @[exu_mul_ctl.scala 137:112] + node _T_2523 = add(_T_2522, _T_2511) @[exu_mul_ctl.scala 137:112] + node _T_2524 = eq(_T_2523, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2525 = bits(_T_2524, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2526 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_2527 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2528 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2529 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2530 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2531 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2532 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2533 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2534 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2535 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2536 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2537 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2538 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2539 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2540 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2541 = add(_T_2527, _T_2528) @[exu_mul_ctl.scala 137:112] + node _T_2542 = add(_T_2541, _T_2529) @[exu_mul_ctl.scala 137:112] + node _T_2543 = add(_T_2542, _T_2530) @[exu_mul_ctl.scala 137:112] + node _T_2544 = add(_T_2543, _T_2531) @[exu_mul_ctl.scala 137:112] + node _T_2545 = add(_T_2544, _T_2532) @[exu_mul_ctl.scala 137:112] + node _T_2546 = add(_T_2545, _T_2533) @[exu_mul_ctl.scala 137:112] + node _T_2547 = add(_T_2546, _T_2534) @[exu_mul_ctl.scala 137:112] + node _T_2548 = add(_T_2547, _T_2535) @[exu_mul_ctl.scala 137:112] + node _T_2549 = add(_T_2548, _T_2536) @[exu_mul_ctl.scala 137:112] + node _T_2550 = add(_T_2549, _T_2537) @[exu_mul_ctl.scala 137:112] + node _T_2551 = add(_T_2550, _T_2538) @[exu_mul_ctl.scala 137:112] + node _T_2552 = add(_T_2551, _T_2539) @[exu_mul_ctl.scala 137:112] + node _T_2553 = add(_T_2552, _T_2540) @[exu_mul_ctl.scala 137:112] + node _T_2554 = eq(_T_2553, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2555 = bits(_T_2554, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2556 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_2557 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2558 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2559 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2560 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2561 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2562 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2563 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2564 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2565 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2566 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2567 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2568 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2569 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2570 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2571 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2572 = add(_T_2557, _T_2558) @[exu_mul_ctl.scala 137:112] + node _T_2573 = add(_T_2572, _T_2559) @[exu_mul_ctl.scala 137:112] + node _T_2574 = add(_T_2573, _T_2560) @[exu_mul_ctl.scala 137:112] + node _T_2575 = add(_T_2574, _T_2561) @[exu_mul_ctl.scala 137:112] + node _T_2576 = add(_T_2575, _T_2562) @[exu_mul_ctl.scala 137:112] + node _T_2577 = add(_T_2576, _T_2563) @[exu_mul_ctl.scala 137:112] + node _T_2578 = add(_T_2577, _T_2564) @[exu_mul_ctl.scala 137:112] + node _T_2579 = add(_T_2578, _T_2565) @[exu_mul_ctl.scala 137:112] + node _T_2580 = add(_T_2579, _T_2566) @[exu_mul_ctl.scala 137:112] + node _T_2581 = add(_T_2580, _T_2567) @[exu_mul_ctl.scala 137:112] + node _T_2582 = add(_T_2581, _T_2568) @[exu_mul_ctl.scala 137:112] + node _T_2583 = add(_T_2582, _T_2569) @[exu_mul_ctl.scala 137:112] + node _T_2584 = add(_T_2583, _T_2570) @[exu_mul_ctl.scala 137:112] + node _T_2585 = add(_T_2584, _T_2571) @[exu_mul_ctl.scala 137:112] + node _T_2586 = eq(_T_2585, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2587 = bits(_T_2586, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2588 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_2589 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2590 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2591 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2592 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2593 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2594 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2595 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2596 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2597 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2598 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2599 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2600 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2601 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2602 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2603 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2604 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2605 = add(_T_2589, _T_2590) @[exu_mul_ctl.scala 137:112] + node _T_2606 = add(_T_2605, _T_2591) @[exu_mul_ctl.scala 137:112] + node _T_2607 = add(_T_2606, _T_2592) @[exu_mul_ctl.scala 137:112] + node _T_2608 = add(_T_2607, _T_2593) @[exu_mul_ctl.scala 137:112] + node _T_2609 = add(_T_2608, _T_2594) @[exu_mul_ctl.scala 137:112] + node _T_2610 = add(_T_2609, _T_2595) @[exu_mul_ctl.scala 137:112] + node _T_2611 = add(_T_2610, _T_2596) @[exu_mul_ctl.scala 137:112] + node _T_2612 = add(_T_2611, _T_2597) @[exu_mul_ctl.scala 137:112] + node _T_2613 = add(_T_2612, _T_2598) @[exu_mul_ctl.scala 137:112] + node _T_2614 = add(_T_2613, _T_2599) @[exu_mul_ctl.scala 137:112] + node _T_2615 = add(_T_2614, _T_2600) @[exu_mul_ctl.scala 137:112] + node _T_2616 = add(_T_2615, _T_2601) @[exu_mul_ctl.scala 137:112] + node _T_2617 = add(_T_2616, _T_2602) @[exu_mul_ctl.scala 137:112] + node _T_2618 = add(_T_2617, _T_2603) @[exu_mul_ctl.scala 137:112] + node _T_2619 = add(_T_2618, _T_2604) @[exu_mul_ctl.scala 137:112] + node _T_2620 = eq(_T_2619, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2621 = bits(_T_2620, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2622 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_2623 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2624 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2625 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2626 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2627 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2628 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2629 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2630 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2631 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2632 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2633 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2634 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2635 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2636 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2637 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2638 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2639 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2640 = add(_T_2623, _T_2624) @[exu_mul_ctl.scala 137:112] + node _T_2641 = add(_T_2640, _T_2625) @[exu_mul_ctl.scala 137:112] + node _T_2642 = add(_T_2641, _T_2626) @[exu_mul_ctl.scala 137:112] + node _T_2643 = add(_T_2642, _T_2627) @[exu_mul_ctl.scala 137:112] + node _T_2644 = add(_T_2643, _T_2628) @[exu_mul_ctl.scala 137:112] + node _T_2645 = add(_T_2644, _T_2629) @[exu_mul_ctl.scala 137:112] + node _T_2646 = add(_T_2645, _T_2630) @[exu_mul_ctl.scala 137:112] + node _T_2647 = add(_T_2646, _T_2631) @[exu_mul_ctl.scala 137:112] + node _T_2648 = add(_T_2647, _T_2632) @[exu_mul_ctl.scala 137:112] + node _T_2649 = add(_T_2648, _T_2633) @[exu_mul_ctl.scala 137:112] + node _T_2650 = add(_T_2649, _T_2634) @[exu_mul_ctl.scala 137:112] + node _T_2651 = add(_T_2650, _T_2635) @[exu_mul_ctl.scala 137:112] + node _T_2652 = add(_T_2651, _T_2636) @[exu_mul_ctl.scala 137:112] + node _T_2653 = add(_T_2652, _T_2637) @[exu_mul_ctl.scala 137:112] + node _T_2654 = add(_T_2653, _T_2638) @[exu_mul_ctl.scala 137:112] + node _T_2655 = add(_T_2654, _T_2639) @[exu_mul_ctl.scala 137:112] + node _T_2656 = eq(_T_2655, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2657 = bits(_T_2656, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2658 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_2659 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2660 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2661 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2662 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2663 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2664 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2665 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2666 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2667 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2668 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2669 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2670 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2671 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2672 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2673 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2674 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2675 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2676 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2677 = add(_T_2659, _T_2660) @[exu_mul_ctl.scala 137:112] + node _T_2678 = add(_T_2677, _T_2661) @[exu_mul_ctl.scala 137:112] + node _T_2679 = add(_T_2678, _T_2662) @[exu_mul_ctl.scala 137:112] + node _T_2680 = add(_T_2679, _T_2663) @[exu_mul_ctl.scala 137:112] + node _T_2681 = add(_T_2680, _T_2664) @[exu_mul_ctl.scala 137:112] + node _T_2682 = add(_T_2681, _T_2665) @[exu_mul_ctl.scala 137:112] + node _T_2683 = add(_T_2682, _T_2666) @[exu_mul_ctl.scala 137:112] + node _T_2684 = add(_T_2683, _T_2667) @[exu_mul_ctl.scala 137:112] + node _T_2685 = add(_T_2684, _T_2668) @[exu_mul_ctl.scala 137:112] + node _T_2686 = add(_T_2685, _T_2669) @[exu_mul_ctl.scala 137:112] + node _T_2687 = add(_T_2686, _T_2670) @[exu_mul_ctl.scala 137:112] + node _T_2688 = add(_T_2687, _T_2671) @[exu_mul_ctl.scala 137:112] + node _T_2689 = add(_T_2688, _T_2672) @[exu_mul_ctl.scala 137:112] + node _T_2690 = add(_T_2689, _T_2673) @[exu_mul_ctl.scala 137:112] + node _T_2691 = add(_T_2690, _T_2674) @[exu_mul_ctl.scala 137:112] + node _T_2692 = add(_T_2691, _T_2675) @[exu_mul_ctl.scala 137:112] + node _T_2693 = add(_T_2692, _T_2676) @[exu_mul_ctl.scala 137:112] + node _T_2694 = eq(_T_2693, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2695 = bits(_T_2694, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2696 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_2697 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2698 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2699 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2700 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2701 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2702 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2703 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2704 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2705 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2706 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2707 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2708 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2709 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2710 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2711 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2712 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2713 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2714 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2715 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2716 = add(_T_2697, _T_2698) @[exu_mul_ctl.scala 137:112] + node _T_2717 = add(_T_2716, _T_2699) @[exu_mul_ctl.scala 137:112] + node _T_2718 = add(_T_2717, _T_2700) @[exu_mul_ctl.scala 137:112] + node _T_2719 = add(_T_2718, _T_2701) @[exu_mul_ctl.scala 137:112] + node _T_2720 = add(_T_2719, _T_2702) @[exu_mul_ctl.scala 137:112] + node _T_2721 = add(_T_2720, _T_2703) @[exu_mul_ctl.scala 137:112] + node _T_2722 = add(_T_2721, _T_2704) @[exu_mul_ctl.scala 137:112] + node _T_2723 = add(_T_2722, _T_2705) @[exu_mul_ctl.scala 137:112] + node _T_2724 = add(_T_2723, _T_2706) @[exu_mul_ctl.scala 137:112] + node _T_2725 = add(_T_2724, _T_2707) @[exu_mul_ctl.scala 137:112] + node _T_2726 = add(_T_2725, _T_2708) @[exu_mul_ctl.scala 137:112] + node _T_2727 = add(_T_2726, _T_2709) @[exu_mul_ctl.scala 137:112] + node _T_2728 = add(_T_2727, _T_2710) @[exu_mul_ctl.scala 137:112] + node _T_2729 = add(_T_2728, _T_2711) @[exu_mul_ctl.scala 137:112] + node _T_2730 = add(_T_2729, _T_2712) @[exu_mul_ctl.scala 137:112] + node _T_2731 = add(_T_2730, _T_2713) @[exu_mul_ctl.scala 137:112] + node _T_2732 = add(_T_2731, _T_2714) @[exu_mul_ctl.scala 137:112] + node _T_2733 = add(_T_2732, _T_2715) @[exu_mul_ctl.scala 137:112] + node _T_2734 = eq(_T_2733, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2735 = bits(_T_2734, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2736 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_2737 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2738 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2739 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2740 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2741 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2742 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2743 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2744 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2745 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2746 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2747 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2748 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2749 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2750 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2751 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2752 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2753 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2754 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2755 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2756 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2757 = add(_T_2737, _T_2738) @[exu_mul_ctl.scala 137:112] + node _T_2758 = add(_T_2757, _T_2739) @[exu_mul_ctl.scala 137:112] + node _T_2759 = add(_T_2758, _T_2740) @[exu_mul_ctl.scala 137:112] + node _T_2760 = add(_T_2759, _T_2741) @[exu_mul_ctl.scala 137:112] + node _T_2761 = add(_T_2760, _T_2742) @[exu_mul_ctl.scala 137:112] + node _T_2762 = add(_T_2761, _T_2743) @[exu_mul_ctl.scala 137:112] + node _T_2763 = add(_T_2762, _T_2744) @[exu_mul_ctl.scala 137:112] + node _T_2764 = add(_T_2763, _T_2745) @[exu_mul_ctl.scala 137:112] + node _T_2765 = add(_T_2764, _T_2746) @[exu_mul_ctl.scala 137:112] + node _T_2766 = add(_T_2765, _T_2747) @[exu_mul_ctl.scala 137:112] + node _T_2767 = add(_T_2766, _T_2748) @[exu_mul_ctl.scala 137:112] + node _T_2768 = add(_T_2767, _T_2749) @[exu_mul_ctl.scala 137:112] + node _T_2769 = add(_T_2768, _T_2750) @[exu_mul_ctl.scala 137:112] + node _T_2770 = add(_T_2769, _T_2751) @[exu_mul_ctl.scala 137:112] + node _T_2771 = add(_T_2770, _T_2752) @[exu_mul_ctl.scala 137:112] + node _T_2772 = add(_T_2771, _T_2753) @[exu_mul_ctl.scala 137:112] + node _T_2773 = add(_T_2772, _T_2754) @[exu_mul_ctl.scala 137:112] + node _T_2774 = add(_T_2773, _T_2755) @[exu_mul_ctl.scala 137:112] + node _T_2775 = add(_T_2774, _T_2756) @[exu_mul_ctl.scala 137:112] + node _T_2776 = eq(_T_2775, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2777 = bits(_T_2776, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2778 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_2779 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2780 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2781 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2782 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2783 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2784 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2785 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2786 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2787 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2788 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2789 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2790 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2791 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2792 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2793 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2794 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2795 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2796 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2797 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2798 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2799 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2800 = add(_T_2779, _T_2780) @[exu_mul_ctl.scala 137:112] + node _T_2801 = add(_T_2800, _T_2781) @[exu_mul_ctl.scala 137:112] + node _T_2802 = add(_T_2801, _T_2782) @[exu_mul_ctl.scala 137:112] + node _T_2803 = add(_T_2802, _T_2783) @[exu_mul_ctl.scala 137:112] + node _T_2804 = add(_T_2803, _T_2784) @[exu_mul_ctl.scala 137:112] + node _T_2805 = add(_T_2804, _T_2785) @[exu_mul_ctl.scala 137:112] + node _T_2806 = add(_T_2805, _T_2786) @[exu_mul_ctl.scala 137:112] + node _T_2807 = add(_T_2806, _T_2787) @[exu_mul_ctl.scala 137:112] + node _T_2808 = add(_T_2807, _T_2788) @[exu_mul_ctl.scala 137:112] + node _T_2809 = add(_T_2808, _T_2789) @[exu_mul_ctl.scala 137:112] + node _T_2810 = add(_T_2809, _T_2790) @[exu_mul_ctl.scala 137:112] + node _T_2811 = add(_T_2810, _T_2791) @[exu_mul_ctl.scala 137:112] + node _T_2812 = add(_T_2811, _T_2792) @[exu_mul_ctl.scala 137:112] + node _T_2813 = add(_T_2812, _T_2793) @[exu_mul_ctl.scala 137:112] + node _T_2814 = add(_T_2813, _T_2794) @[exu_mul_ctl.scala 137:112] + node _T_2815 = add(_T_2814, _T_2795) @[exu_mul_ctl.scala 137:112] + node _T_2816 = add(_T_2815, _T_2796) @[exu_mul_ctl.scala 137:112] + node _T_2817 = add(_T_2816, _T_2797) @[exu_mul_ctl.scala 137:112] + node _T_2818 = add(_T_2817, _T_2798) @[exu_mul_ctl.scala 137:112] + node _T_2819 = add(_T_2818, _T_2799) @[exu_mul_ctl.scala 137:112] + node _T_2820 = eq(_T_2819, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2821 = bits(_T_2820, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2822 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_2823 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2824 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2825 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2826 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2827 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2828 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2829 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2830 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2831 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2832 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2833 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2834 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2835 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2836 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2837 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2838 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2839 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2840 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2841 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2842 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2843 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2844 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2845 = add(_T_2823, _T_2824) @[exu_mul_ctl.scala 137:112] + node _T_2846 = add(_T_2845, _T_2825) @[exu_mul_ctl.scala 137:112] + node _T_2847 = add(_T_2846, _T_2826) @[exu_mul_ctl.scala 137:112] + node _T_2848 = add(_T_2847, _T_2827) @[exu_mul_ctl.scala 137:112] + node _T_2849 = add(_T_2848, _T_2828) @[exu_mul_ctl.scala 137:112] + node _T_2850 = add(_T_2849, _T_2829) @[exu_mul_ctl.scala 137:112] + node _T_2851 = add(_T_2850, _T_2830) @[exu_mul_ctl.scala 137:112] + node _T_2852 = add(_T_2851, _T_2831) @[exu_mul_ctl.scala 137:112] + node _T_2853 = add(_T_2852, _T_2832) @[exu_mul_ctl.scala 137:112] + node _T_2854 = add(_T_2853, _T_2833) @[exu_mul_ctl.scala 137:112] + node _T_2855 = add(_T_2854, _T_2834) @[exu_mul_ctl.scala 137:112] + node _T_2856 = add(_T_2855, _T_2835) @[exu_mul_ctl.scala 137:112] + node _T_2857 = add(_T_2856, _T_2836) @[exu_mul_ctl.scala 137:112] + node _T_2858 = add(_T_2857, _T_2837) @[exu_mul_ctl.scala 137:112] + node _T_2859 = add(_T_2858, _T_2838) @[exu_mul_ctl.scala 137:112] + node _T_2860 = add(_T_2859, _T_2839) @[exu_mul_ctl.scala 137:112] + node _T_2861 = add(_T_2860, _T_2840) @[exu_mul_ctl.scala 137:112] + node _T_2862 = add(_T_2861, _T_2841) @[exu_mul_ctl.scala 137:112] + node _T_2863 = add(_T_2862, _T_2842) @[exu_mul_ctl.scala 137:112] + node _T_2864 = add(_T_2863, _T_2843) @[exu_mul_ctl.scala 137:112] + node _T_2865 = add(_T_2864, _T_2844) @[exu_mul_ctl.scala 137:112] + node _T_2866 = eq(_T_2865, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2867 = bits(_T_2866, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2868 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_2869 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2870 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2871 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2872 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2873 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2874 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2875 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2876 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2877 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2878 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2879 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2880 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2881 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2882 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2883 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2884 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2885 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2886 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2887 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2888 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2889 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2890 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2891 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2892 = add(_T_2869, _T_2870) @[exu_mul_ctl.scala 137:112] + node _T_2893 = add(_T_2892, _T_2871) @[exu_mul_ctl.scala 137:112] + node _T_2894 = add(_T_2893, _T_2872) @[exu_mul_ctl.scala 137:112] + node _T_2895 = add(_T_2894, _T_2873) @[exu_mul_ctl.scala 137:112] + node _T_2896 = add(_T_2895, _T_2874) @[exu_mul_ctl.scala 137:112] + node _T_2897 = add(_T_2896, _T_2875) @[exu_mul_ctl.scala 137:112] + node _T_2898 = add(_T_2897, _T_2876) @[exu_mul_ctl.scala 137:112] + node _T_2899 = add(_T_2898, _T_2877) @[exu_mul_ctl.scala 137:112] + node _T_2900 = add(_T_2899, _T_2878) @[exu_mul_ctl.scala 137:112] + node _T_2901 = add(_T_2900, _T_2879) @[exu_mul_ctl.scala 137:112] + node _T_2902 = add(_T_2901, _T_2880) @[exu_mul_ctl.scala 137:112] + node _T_2903 = add(_T_2902, _T_2881) @[exu_mul_ctl.scala 137:112] + node _T_2904 = add(_T_2903, _T_2882) @[exu_mul_ctl.scala 137:112] + node _T_2905 = add(_T_2904, _T_2883) @[exu_mul_ctl.scala 137:112] + node _T_2906 = add(_T_2905, _T_2884) @[exu_mul_ctl.scala 137:112] + node _T_2907 = add(_T_2906, _T_2885) @[exu_mul_ctl.scala 137:112] + node _T_2908 = add(_T_2907, _T_2886) @[exu_mul_ctl.scala 137:112] + node _T_2909 = add(_T_2908, _T_2887) @[exu_mul_ctl.scala 137:112] + node _T_2910 = add(_T_2909, _T_2888) @[exu_mul_ctl.scala 137:112] + node _T_2911 = add(_T_2910, _T_2889) @[exu_mul_ctl.scala 137:112] + node _T_2912 = add(_T_2911, _T_2890) @[exu_mul_ctl.scala 137:112] + node _T_2913 = add(_T_2912, _T_2891) @[exu_mul_ctl.scala 137:112] + node _T_2914 = eq(_T_2913, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2915 = bits(_T_2914, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2916 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_2917 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2918 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2919 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2920 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2921 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2922 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2923 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2924 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2925 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2926 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2927 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2928 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2929 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2930 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2931 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2932 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2933 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2934 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2935 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2936 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2937 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2938 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2939 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2940 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2941 = add(_T_2917, _T_2918) @[exu_mul_ctl.scala 137:112] + node _T_2942 = add(_T_2941, _T_2919) @[exu_mul_ctl.scala 137:112] + node _T_2943 = add(_T_2942, _T_2920) @[exu_mul_ctl.scala 137:112] + node _T_2944 = add(_T_2943, _T_2921) @[exu_mul_ctl.scala 137:112] + node _T_2945 = add(_T_2944, _T_2922) @[exu_mul_ctl.scala 137:112] + node _T_2946 = add(_T_2945, _T_2923) @[exu_mul_ctl.scala 137:112] + node _T_2947 = add(_T_2946, _T_2924) @[exu_mul_ctl.scala 137:112] + node _T_2948 = add(_T_2947, _T_2925) @[exu_mul_ctl.scala 137:112] + node _T_2949 = add(_T_2948, _T_2926) @[exu_mul_ctl.scala 137:112] + node _T_2950 = add(_T_2949, _T_2927) @[exu_mul_ctl.scala 137:112] + node _T_2951 = add(_T_2950, _T_2928) @[exu_mul_ctl.scala 137:112] + node _T_2952 = add(_T_2951, _T_2929) @[exu_mul_ctl.scala 137:112] + node _T_2953 = add(_T_2952, _T_2930) @[exu_mul_ctl.scala 137:112] + node _T_2954 = add(_T_2953, _T_2931) @[exu_mul_ctl.scala 137:112] + node _T_2955 = add(_T_2954, _T_2932) @[exu_mul_ctl.scala 137:112] + node _T_2956 = add(_T_2955, _T_2933) @[exu_mul_ctl.scala 137:112] + node _T_2957 = add(_T_2956, _T_2934) @[exu_mul_ctl.scala 137:112] + node _T_2958 = add(_T_2957, _T_2935) @[exu_mul_ctl.scala 137:112] + node _T_2959 = add(_T_2958, _T_2936) @[exu_mul_ctl.scala 137:112] + node _T_2960 = add(_T_2959, _T_2937) @[exu_mul_ctl.scala 137:112] + node _T_2961 = add(_T_2960, _T_2938) @[exu_mul_ctl.scala 137:112] + node _T_2962 = add(_T_2961, _T_2939) @[exu_mul_ctl.scala 137:112] + node _T_2963 = add(_T_2962, _T_2940) @[exu_mul_ctl.scala 137:112] + node _T_2964 = eq(_T_2963, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_2965 = bits(_T_2964, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_2966 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_2967 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_2968 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_2969 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_2970 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_2971 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_2972 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_2973 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_2974 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_2975 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_2976 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_2977 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_2978 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_2979 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_2980 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_2981 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_2982 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_2983 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_2984 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_2985 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_2986 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_2987 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_2988 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_2989 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_2990 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_2991 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_2992 = add(_T_2967, _T_2968) @[exu_mul_ctl.scala 137:112] + node _T_2993 = add(_T_2992, _T_2969) @[exu_mul_ctl.scala 137:112] + node _T_2994 = add(_T_2993, _T_2970) @[exu_mul_ctl.scala 137:112] + node _T_2995 = add(_T_2994, _T_2971) @[exu_mul_ctl.scala 137:112] + node _T_2996 = add(_T_2995, _T_2972) @[exu_mul_ctl.scala 137:112] + node _T_2997 = add(_T_2996, _T_2973) @[exu_mul_ctl.scala 137:112] + node _T_2998 = add(_T_2997, _T_2974) @[exu_mul_ctl.scala 137:112] + node _T_2999 = add(_T_2998, _T_2975) @[exu_mul_ctl.scala 137:112] + node _T_3000 = add(_T_2999, _T_2976) @[exu_mul_ctl.scala 137:112] + node _T_3001 = add(_T_3000, _T_2977) @[exu_mul_ctl.scala 137:112] + node _T_3002 = add(_T_3001, _T_2978) @[exu_mul_ctl.scala 137:112] + node _T_3003 = add(_T_3002, _T_2979) @[exu_mul_ctl.scala 137:112] + node _T_3004 = add(_T_3003, _T_2980) @[exu_mul_ctl.scala 137:112] + node _T_3005 = add(_T_3004, _T_2981) @[exu_mul_ctl.scala 137:112] + node _T_3006 = add(_T_3005, _T_2982) @[exu_mul_ctl.scala 137:112] + node _T_3007 = add(_T_3006, _T_2983) @[exu_mul_ctl.scala 137:112] + node _T_3008 = add(_T_3007, _T_2984) @[exu_mul_ctl.scala 137:112] + node _T_3009 = add(_T_3008, _T_2985) @[exu_mul_ctl.scala 137:112] + node _T_3010 = add(_T_3009, _T_2986) @[exu_mul_ctl.scala 137:112] + node _T_3011 = add(_T_3010, _T_2987) @[exu_mul_ctl.scala 137:112] + node _T_3012 = add(_T_3011, _T_2988) @[exu_mul_ctl.scala 137:112] + node _T_3013 = add(_T_3012, _T_2989) @[exu_mul_ctl.scala 137:112] + node _T_3014 = add(_T_3013, _T_2990) @[exu_mul_ctl.scala 137:112] + node _T_3015 = add(_T_3014, _T_2991) @[exu_mul_ctl.scala 137:112] + node _T_3016 = eq(_T_3015, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3017 = bits(_T_3016, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3018 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_3019 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3020 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3021 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3022 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3023 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3024 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3025 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3026 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3027 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3028 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3029 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3030 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3031 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3032 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3033 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3034 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3035 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3036 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3037 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3038 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3039 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3040 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3041 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3042 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3043 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3044 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3045 = add(_T_3019, _T_3020) @[exu_mul_ctl.scala 137:112] + node _T_3046 = add(_T_3045, _T_3021) @[exu_mul_ctl.scala 137:112] + node _T_3047 = add(_T_3046, _T_3022) @[exu_mul_ctl.scala 137:112] + node _T_3048 = add(_T_3047, _T_3023) @[exu_mul_ctl.scala 137:112] + node _T_3049 = add(_T_3048, _T_3024) @[exu_mul_ctl.scala 137:112] + node _T_3050 = add(_T_3049, _T_3025) @[exu_mul_ctl.scala 137:112] + node _T_3051 = add(_T_3050, _T_3026) @[exu_mul_ctl.scala 137:112] + node _T_3052 = add(_T_3051, _T_3027) @[exu_mul_ctl.scala 137:112] + node _T_3053 = add(_T_3052, _T_3028) @[exu_mul_ctl.scala 137:112] + node _T_3054 = add(_T_3053, _T_3029) @[exu_mul_ctl.scala 137:112] + node _T_3055 = add(_T_3054, _T_3030) @[exu_mul_ctl.scala 137:112] + node _T_3056 = add(_T_3055, _T_3031) @[exu_mul_ctl.scala 137:112] + node _T_3057 = add(_T_3056, _T_3032) @[exu_mul_ctl.scala 137:112] + node _T_3058 = add(_T_3057, _T_3033) @[exu_mul_ctl.scala 137:112] + node _T_3059 = add(_T_3058, _T_3034) @[exu_mul_ctl.scala 137:112] + node _T_3060 = add(_T_3059, _T_3035) @[exu_mul_ctl.scala 137:112] + node _T_3061 = add(_T_3060, _T_3036) @[exu_mul_ctl.scala 137:112] + node _T_3062 = add(_T_3061, _T_3037) @[exu_mul_ctl.scala 137:112] + node _T_3063 = add(_T_3062, _T_3038) @[exu_mul_ctl.scala 137:112] + node _T_3064 = add(_T_3063, _T_3039) @[exu_mul_ctl.scala 137:112] + node _T_3065 = add(_T_3064, _T_3040) @[exu_mul_ctl.scala 137:112] + node _T_3066 = add(_T_3065, _T_3041) @[exu_mul_ctl.scala 137:112] + node _T_3067 = add(_T_3066, _T_3042) @[exu_mul_ctl.scala 137:112] + node _T_3068 = add(_T_3067, _T_3043) @[exu_mul_ctl.scala 137:112] + node _T_3069 = add(_T_3068, _T_3044) @[exu_mul_ctl.scala 137:112] + node _T_3070 = eq(_T_3069, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3071 = bits(_T_3070, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3072 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_3073 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3074 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3075 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3076 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3077 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3078 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3079 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3080 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3081 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3082 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3083 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3084 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3085 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3086 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3087 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3088 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3089 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3090 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3091 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3092 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3093 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3094 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3095 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3096 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3097 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3098 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3099 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3100 = add(_T_3073, _T_3074) @[exu_mul_ctl.scala 137:112] + node _T_3101 = add(_T_3100, _T_3075) @[exu_mul_ctl.scala 137:112] + node _T_3102 = add(_T_3101, _T_3076) @[exu_mul_ctl.scala 137:112] + node _T_3103 = add(_T_3102, _T_3077) @[exu_mul_ctl.scala 137:112] + node _T_3104 = add(_T_3103, _T_3078) @[exu_mul_ctl.scala 137:112] + node _T_3105 = add(_T_3104, _T_3079) @[exu_mul_ctl.scala 137:112] + node _T_3106 = add(_T_3105, _T_3080) @[exu_mul_ctl.scala 137:112] + node _T_3107 = add(_T_3106, _T_3081) @[exu_mul_ctl.scala 137:112] + node _T_3108 = add(_T_3107, _T_3082) @[exu_mul_ctl.scala 137:112] + node _T_3109 = add(_T_3108, _T_3083) @[exu_mul_ctl.scala 137:112] + node _T_3110 = add(_T_3109, _T_3084) @[exu_mul_ctl.scala 137:112] + node _T_3111 = add(_T_3110, _T_3085) @[exu_mul_ctl.scala 137:112] + node _T_3112 = add(_T_3111, _T_3086) @[exu_mul_ctl.scala 137:112] + node _T_3113 = add(_T_3112, _T_3087) @[exu_mul_ctl.scala 137:112] + node _T_3114 = add(_T_3113, _T_3088) @[exu_mul_ctl.scala 137:112] + node _T_3115 = add(_T_3114, _T_3089) @[exu_mul_ctl.scala 137:112] + node _T_3116 = add(_T_3115, _T_3090) @[exu_mul_ctl.scala 137:112] + node _T_3117 = add(_T_3116, _T_3091) @[exu_mul_ctl.scala 137:112] + node _T_3118 = add(_T_3117, _T_3092) @[exu_mul_ctl.scala 137:112] + node _T_3119 = add(_T_3118, _T_3093) @[exu_mul_ctl.scala 137:112] + node _T_3120 = add(_T_3119, _T_3094) @[exu_mul_ctl.scala 137:112] + node _T_3121 = add(_T_3120, _T_3095) @[exu_mul_ctl.scala 137:112] + node _T_3122 = add(_T_3121, _T_3096) @[exu_mul_ctl.scala 137:112] + node _T_3123 = add(_T_3122, _T_3097) @[exu_mul_ctl.scala 137:112] + node _T_3124 = add(_T_3123, _T_3098) @[exu_mul_ctl.scala 137:112] + node _T_3125 = add(_T_3124, _T_3099) @[exu_mul_ctl.scala 137:112] + node _T_3126 = eq(_T_3125, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3127 = bits(_T_3126, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3128 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_3129 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3130 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3131 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3132 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3133 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3134 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3135 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3136 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3137 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3138 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3139 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3140 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3141 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3142 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3143 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3144 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3145 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3146 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3147 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3148 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3149 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3150 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3151 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3152 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3153 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3154 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3155 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3156 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_3157 = add(_T_3129, _T_3130) @[exu_mul_ctl.scala 137:112] + node _T_3158 = add(_T_3157, _T_3131) @[exu_mul_ctl.scala 137:112] + node _T_3159 = add(_T_3158, _T_3132) @[exu_mul_ctl.scala 137:112] + node _T_3160 = add(_T_3159, _T_3133) @[exu_mul_ctl.scala 137:112] + node _T_3161 = add(_T_3160, _T_3134) @[exu_mul_ctl.scala 137:112] + node _T_3162 = add(_T_3161, _T_3135) @[exu_mul_ctl.scala 137:112] + node _T_3163 = add(_T_3162, _T_3136) @[exu_mul_ctl.scala 137:112] + node _T_3164 = add(_T_3163, _T_3137) @[exu_mul_ctl.scala 137:112] + node _T_3165 = add(_T_3164, _T_3138) @[exu_mul_ctl.scala 137:112] + node _T_3166 = add(_T_3165, _T_3139) @[exu_mul_ctl.scala 137:112] + node _T_3167 = add(_T_3166, _T_3140) @[exu_mul_ctl.scala 137:112] + node _T_3168 = add(_T_3167, _T_3141) @[exu_mul_ctl.scala 137:112] + node _T_3169 = add(_T_3168, _T_3142) @[exu_mul_ctl.scala 137:112] + node _T_3170 = add(_T_3169, _T_3143) @[exu_mul_ctl.scala 137:112] + node _T_3171 = add(_T_3170, _T_3144) @[exu_mul_ctl.scala 137:112] + node _T_3172 = add(_T_3171, _T_3145) @[exu_mul_ctl.scala 137:112] + node _T_3173 = add(_T_3172, _T_3146) @[exu_mul_ctl.scala 137:112] + node _T_3174 = add(_T_3173, _T_3147) @[exu_mul_ctl.scala 137:112] + node _T_3175 = add(_T_3174, _T_3148) @[exu_mul_ctl.scala 137:112] + node _T_3176 = add(_T_3175, _T_3149) @[exu_mul_ctl.scala 137:112] + node _T_3177 = add(_T_3176, _T_3150) @[exu_mul_ctl.scala 137:112] + node _T_3178 = add(_T_3177, _T_3151) @[exu_mul_ctl.scala 137:112] + node _T_3179 = add(_T_3178, _T_3152) @[exu_mul_ctl.scala 137:112] + node _T_3180 = add(_T_3179, _T_3153) @[exu_mul_ctl.scala 137:112] + node _T_3181 = add(_T_3180, _T_3154) @[exu_mul_ctl.scala 137:112] + node _T_3182 = add(_T_3181, _T_3155) @[exu_mul_ctl.scala 137:112] + node _T_3183 = add(_T_3182, _T_3156) @[exu_mul_ctl.scala 137:112] + node _T_3184 = eq(_T_3183, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3185 = bits(_T_3184, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3186 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_3187 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3188 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3189 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3190 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3191 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3192 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3193 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3194 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3195 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3196 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3197 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3198 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3199 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3200 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3201 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3202 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3203 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3204 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3205 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3206 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3207 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3208 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3209 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3210 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3211 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3212 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3213 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3214 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_3215 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_3216 = add(_T_3187, _T_3188) @[exu_mul_ctl.scala 137:112] + node _T_3217 = add(_T_3216, _T_3189) @[exu_mul_ctl.scala 137:112] + node _T_3218 = add(_T_3217, _T_3190) @[exu_mul_ctl.scala 137:112] + node _T_3219 = add(_T_3218, _T_3191) @[exu_mul_ctl.scala 137:112] + node _T_3220 = add(_T_3219, _T_3192) @[exu_mul_ctl.scala 137:112] + node _T_3221 = add(_T_3220, _T_3193) @[exu_mul_ctl.scala 137:112] + node _T_3222 = add(_T_3221, _T_3194) @[exu_mul_ctl.scala 137:112] + node _T_3223 = add(_T_3222, _T_3195) @[exu_mul_ctl.scala 137:112] + node _T_3224 = add(_T_3223, _T_3196) @[exu_mul_ctl.scala 137:112] + node _T_3225 = add(_T_3224, _T_3197) @[exu_mul_ctl.scala 137:112] + node _T_3226 = add(_T_3225, _T_3198) @[exu_mul_ctl.scala 137:112] + node _T_3227 = add(_T_3226, _T_3199) @[exu_mul_ctl.scala 137:112] + node _T_3228 = add(_T_3227, _T_3200) @[exu_mul_ctl.scala 137:112] + node _T_3229 = add(_T_3228, _T_3201) @[exu_mul_ctl.scala 137:112] + node _T_3230 = add(_T_3229, _T_3202) @[exu_mul_ctl.scala 137:112] + node _T_3231 = add(_T_3230, _T_3203) @[exu_mul_ctl.scala 137:112] + node _T_3232 = add(_T_3231, _T_3204) @[exu_mul_ctl.scala 137:112] + node _T_3233 = add(_T_3232, _T_3205) @[exu_mul_ctl.scala 137:112] + node _T_3234 = add(_T_3233, _T_3206) @[exu_mul_ctl.scala 137:112] + node _T_3235 = add(_T_3234, _T_3207) @[exu_mul_ctl.scala 137:112] + node _T_3236 = add(_T_3235, _T_3208) @[exu_mul_ctl.scala 137:112] + node _T_3237 = add(_T_3236, _T_3209) @[exu_mul_ctl.scala 137:112] + node _T_3238 = add(_T_3237, _T_3210) @[exu_mul_ctl.scala 137:112] + node _T_3239 = add(_T_3238, _T_3211) @[exu_mul_ctl.scala 137:112] + node _T_3240 = add(_T_3239, _T_3212) @[exu_mul_ctl.scala 137:112] + node _T_3241 = add(_T_3240, _T_3213) @[exu_mul_ctl.scala 137:112] + node _T_3242 = add(_T_3241, _T_3214) @[exu_mul_ctl.scala 137:112] + node _T_3243 = add(_T_3242, _T_3215) @[exu_mul_ctl.scala 137:112] + node _T_3244 = eq(_T_3243, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3245 = bits(_T_3244, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3246 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_3247 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3248 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3249 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3250 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3251 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3252 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3253 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3254 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3255 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3256 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3257 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3258 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3259 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3260 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3261 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3262 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3263 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3264 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3265 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3266 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3267 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3268 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3269 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3270 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3271 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3272 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3273 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3274 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_3275 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_3276 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_3277 = add(_T_3247, _T_3248) @[exu_mul_ctl.scala 137:112] + node _T_3278 = add(_T_3277, _T_3249) @[exu_mul_ctl.scala 137:112] + node _T_3279 = add(_T_3278, _T_3250) @[exu_mul_ctl.scala 137:112] + node _T_3280 = add(_T_3279, _T_3251) @[exu_mul_ctl.scala 137:112] + node _T_3281 = add(_T_3280, _T_3252) @[exu_mul_ctl.scala 137:112] + node _T_3282 = add(_T_3281, _T_3253) @[exu_mul_ctl.scala 137:112] + node _T_3283 = add(_T_3282, _T_3254) @[exu_mul_ctl.scala 137:112] + node _T_3284 = add(_T_3283, _T_3255) @[exu_mul_ctl.scala 137:112] + node _T_3285 = add(_T_3284, _T_3256) @[exu_mul_ctl.scala 137:112] + node _T_3286 = add(_T_3285, _T_3257) @[exu_mul_ctl.scala 137:112] + node _T_3287 = add(_T_3286, _T_3258) @[exu_mul_ctl.scala 137:112] + node _T_3288 = add(_T_3287, _T_3259) @[exu_mul_ctl.scala 137:112] + node _T_3289 = add(_T_3288, _T_3260) @[exu_mul_ctl.scala 137:112] + node _T_3290 = add(_T_3289, _T_3261) @[exu_mul_ctl.scala 137:112] + node _T_3291 = add(_T_3290, _T_3262) @[exu_mul_ctl.scala 137:112] + node _T_3292 = add(_T_3291, _T_3263) @[exu_mul_ctl.scala 137:112] + node _T_3293 = add(_T_3292, _T_3264) @[exu_mul_ctl.scala 137:112] + node _T_3294 = add(_T_3293, _T_3265) @[exu_mul_ctl.scala 137:112] + node _T_3295 = add(_T_3294, _T_3266) @[exu_mul_ctl.scala 137:112] + node _T_3296 = add(_T_3295, _T_3267) @[exu_mul_ctl.scala 137:112] + node _T_3297 = add(_T_3296, _T_3268) @[exu_mul_ctl.scala 137:112] + node _T_3298 = add(_T_3297, _T_3269) @[exu_mul_ctl.scala 137:112] + node _T_3299 = add(_T_3298, _T_3270) @[exu_mul_ctl.scala 137:112] + node _T_3300 = add(_T_3299, _T_3271) @[exu_mul_ctl.scala 137:112] + node _T_3301 = add(_T_3300, _T_3272) @[exu_mul_ctl.scala 137:112] + node _T_3302 = add(_T_3301, _T_3273) @[exu_mul_ctl.scala 137:112] + node _T_3303 = add(_T_3302, _T_3274) @[exu_mul_ctl.scala 137:112] + node _T_3304 = add(_T_3303, _T_3275) @[exu_mul_ctl.scala 137:112] + node _T_3305 = add(_T_3304, _T_3276) @[exu_mul_ctl.scala 137:112] + node _T_3306 = eq(_T_3305, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3307 = bits(_T_3306, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3308 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_3309 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3310 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3311 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3312 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3313 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3314 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3315 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3316 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3317 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3318 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3319 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3320 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3321 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3322 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3323 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3324 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3325 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3326 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3327 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3328 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3329 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3330 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3331 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3332 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3333 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3334 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3335 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3336 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_3337 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_3338 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_3339 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_3340 = add(_T_3309, _T_3310) @[exu_mul_ctl.scala 137:112] + node _T_3341 = add(_T_3340, _T_3311) @[exu_mul_ctl.scala 137:112] + node _T_3342 = add(_T_3341, _T_3312) @[exu_mul_ctl.scala 137:112] + node _T_3343 = add(_T_3342, _T_3313) @[exu_mul_ctl.scala 137:112] + node _T_3344 = add(_T_3343, _T_3314) @[exu_mul_ctl.scala 137:112] + node _T_3345 = add(_T_3344, _T_3315) @[exu_mul_ctl.scala 137:112] + node _T_3346 = add(_T_3345, _T_3316) @[exu_mul_ctl.scala 137:112] + node _T_3347 = add(_T_3346, _T_3317) @[exu_mul_ctl.scala 137:112] + node _T_3348 = add(_T_3347, _T_3318) @[exu_mul_ctl.scala 137:112] + node _T_3349 = add(_T_3348, _T_3319) @[exu_mul_ctl.scala 137:112] + node _T_3350 = add(_T_3349, _T_3320) @[exu_mul_ctl.scala 137:112] + node _T_3351 = add(_T_3350, _T_3321) @[exu_mul_ctl.scala 137:112] + node _T_3352 = add(_T_3351, _T_3322) @[exu_mul_ctl.scala 137:112] + node _T_3353 = add(_T_3352, _T_3323) @[exu_mul_ctl.scala 137:112] + node _T_3354 = add(_T_3353, _T_3324) @[exu_mul_ctl.scala 137:112] + node _T_3355 = add(_T_3354, _T_3325) @[exu_mul_ctl.scala 137:112] + node _T_3356 = add(_T_3355, _T_3326) @[exu_mul_ctl.scala 137:112] + node _T_3357 = add(_T_3356, _T_3327) @[exu_mul_ctl.scala 137:112] + node _T_3358 = add(_T_3357, _T_3328) @[exu_mul_ctl.scala 137:112] + node _T_3359 = add(_T_3358, _T_3329) @[exu_mul_ctl.scala 137:112] + node _T_3360 = add(_T_3359, _T_3330) @[exu_mul_ctl.scala 137:112] + node _T_3361 = add(_T_3360, _T_3331) @[exu_mul_ctl.scala 137:112] + node _T_3362 = add(_T_3361, _T_3332) @[exu_mul_ctl.scala 137:112] + node _T_3363 = add(_T_3362, _T_3333) @[exu_mul_ctl.scala 137:112] + node _T_3364 = add(_T_3363, _T_3334) @[exu_mul_ctl.scala 137:112] + node _T_3365 = add(_T_3364, _T_3335) @[exu_mul_ctl.scala 137:112] + node _T_3366 = add(_T_3365, _T_3336) @[exu_mul_ctl.scala 137:112] + node _T_3367 = add(_T_3366, _T_3337) @[exu_mul_ctl.scala 137:112] + node _T_3368 = add(_T_3367, _T_3338) @[exu_mul_ctl.scala 137:112] + node _T_3369 = add(_T_3368, _T_3339) @[exu_mul_ctl.scala 137:112] + node _T_3370 = eq(_T_3369, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3371 = bits(_T_3370, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3372 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_3373 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3374 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3375 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3376 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3377 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3378 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3379 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3380 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3381 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3382 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3383 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3384 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3385 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3386 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3387 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3388 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3389 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3390 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3391 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3392 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3393 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3394 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3395 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_3396 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_3397 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_3398 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_3399 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_3400 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_3401 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_3402 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_3403 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_3404 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_3405 = add(_T_3373, _T_3374) @[exu_mul_ctl.scala 137:112] + node _T_3406 = add(_T_3405, _T_3375) @[exu_mul_ctl.scala 137:112] + node _T_3407 = add(_T_3406, _T_3376) @[exu_mul_ctl.scala 137:112] + node _T_3408 = add(_T_3407, _T_3377) @[exu_mul_ctl.scala 137:112] + node _T_3409 = add(_T_3408, _T_3378) @[exu_mul_ctl.scala 137:112] + node _T_3410 = add(_T_3409, _T_3379) @[exu_mul_ctl.scala 137:112] + node _T_3411 = add(_T_3410, _T_3380) @[exu_mul_ctl.scala 137:112] + node _T_3412 = add(_T_3411, _T_3381) @[exu_mul_ctl.scala 137:112] + node _T_3413 = add(_T_3412, _T_3382) @[exu_mul_ctl.scala 137:112] + node _T_3414 = add(_T_3413, _T_3383) @[exu_mul_ctl.scala 137:112] + node _T_3415 = add(_T_3414, _T_3384) @[exu_mul_ctl.scala 137:112] + node _T_3416 = add(_T_3415, _T_3385) @[exu_mul_ctl.scala 137:112] + node _T_3417 = add(_T_3416, _T_3386) @[exu_mul_ctl.scala 137:112] + node _T_3418 = add(_T_3417, _T_3387) @[exu_mul_ctl.scala 137:112] + node _T_3419 = add(_T_3418, _T_3388) @[exu_mul_ctl.scala 137:112] + node _T_3420 = add(_T_3419, _T_3389) @[exu_mul_ctl.scala 137:112] + node _T_3421 = add(_T_3420, _T_3390) @[exu_mul_ctl.scala 137:112] + node _T_3422 = add(_T_3421, _T_3391) @[exu_mul_ctl.scala 137:112] + node _T_3423 = add(_T_3422, _T_3392) @[exu_mul_ctl.scala 137:112] + node _T_3424 = add(_T_3423, _T_3393) @[exu_mul_ctl.scala 137:112] + node _T_3425 = add(_T_3424, _T_3394) @[exu_mul_ctl.scala 137:112] + node _T_3426 = add(_T_3425, _T_3395) @[exu_mul_ctl.scala 137:112] + node _T_3427 = add(_T_3426, _T_3396) @[exu_mul_ctl.scala 137:112] + node _T_3428 = add(_T_3427, _T_3397) @[exu_mul_ctl.scala 137:112] + node _T_3429 = add(_T_3428, _T_3398) @[exu_mul_ctl.scala 137:112] + node _T_3430 = add(_T_3429, _T_3399) @[exu_mul_ctl.scala 137:112] + node _T_3431 = add(_T_3430, _T_3400) @[exu_mul_ctl.scala 137:112] + node _T_3432 = add(_T_3431, _T_3401) @[exu_mul_ctl.scala 137:112] + node _T_3433 = add(_T_3432, _T_3402) @[exu_mul_ctl.scala 137:112] + node _T_3434 = add(_T_3433, _T_3403) @[exu_mul_ctl.scala 137:112] + node _T_3435 = add(_T_3434, _T_3404) @[exu_mul_ctl.scala 137:112] + node _T_3436 = eq(_T_3435, UInt<2>("h03")) @[exu_mul_ctl.scala 138:87] + node _T_3437 = bits(_T_3436, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3438 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_3439 = mux(_T_3437, _T_3438, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_3440 = mux(_T_3371, _T_3372, _T_3439) @[Mux.scala 98:16] + node _T_3441 = mux(_T_3307, _T_3308, _T_3440) @[Mux.scala 98:16] + node _T_3442 = mux(_T_3245, _T_3246, _T_3441) @[Mux.scala 98:16] + node _T_3443 = mux(_T_3185, _T_3186, _T_3442) @[Mux.scala 98:16] + node _T_3444 = mux(_T_3127, _T_3128, _T_3443) @[Mux.scala 98:16] + node _T_3445 = mux(_T_3071, _T_3072, _T_3444) @[Mux.scala 98:16] + node _T_3446 = mux(_T_3017, _T_3018, _T_3445) @[Mux.scala 98:16] + node _T_3447 = mux(_T_2965, _T_2966, _T_3446) @[Mux.scala 98:16] + node _T_3448 = mux(_T_2915, _T_2916, _T_3447) @[Mux.scala 98:16] + node _T_3449 = mux(_T_2867, _T_2868, _T_3448) @[Mux.scala 98:16] + node _T_3450 = mux(_T_2821, _T_2822, _T_3449) @[Mux.scala 98:16] + node _T_3451 = mux(_T_2777, _T_2778, _T_3450) @[Mux.scala 98:16] + node _T_3452 = mux(_T_2735, _T_2736, _T_3451) @[Mux.scala 98:16] + node _T_3453 = mux(_T_2695, _T_2696, _T_3452) @[Mux.scala 98:16] + node _T_3454 = mux(_T_2657, _T_2658, _T_3453) @[Mux.scala 98:16] + node _T_3455 = mux(_T_2621, _T_2622, _T_3454) @[Mux.scala 98:16] + node _T_3456 = mux(_T_2587, _T_2588, _T_3455) @[Mux.scala 98:16] + node _T_3457 = mux(_T_2555, _T_2556, _T_3456) @[Mux.scala 98:16] + node _T_3458 = mux(_T_2525, _T_2526, _T_3457) @[Mux.scala 98:16] + node _T_3459 = mux(_T_2497, _T_2498, _T_3458) @[Mux.scala 98:16] + node _T_3460 = mux(_T_2471, _T_2472, _T_3459) @[Mux.scala 98:16] + node _T_3461 = mux(_T_2447, _T_2448, _T_3460) @[Mux.scala 98:16] + node _T_3462 = mux(_T_2425, _T_2426, _T_3461) @[Mux.scala 98:16] + node _T_3463 = mux(_T_2405, _T_2406, _T_3462) @[Mux.scala 98:16] + node _T_3464 = mux(_T_2387, _T_2388, _T_3463) @[Mux.scala 98:16] + node _T_3465 = mux(_T_2371, _T_2372, _T_3464) @[Mux.scala 98:16] + node _T_3466 = mux(_T_2357, _T_2358, _T_3465) @[Mux.scala 98:16] + node _T_3467 = mux(_T_2345, _T_2346, _T_3466) @[Mux.scala 98:16] + node _T_3468 = mux(_T_2335, _T_2336, _T_3467) @[Mux.scala 98:16] + node _T_3469 = mux(_T_2327, _T_2328, _T_3468) @[Mux.scala 98:16] + node _T_3470 = mux(_T_2321, _T_2322, _T_3469) @[Mux.scala 98:16] + node _T_3471 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_3472 = eq(_T_3471, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3473 = bits(_T_3472, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3474 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_3475 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3476 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3477 = add(_T_3475, _T_3476) @[exu_mul_ctl.scala 137:112] + node _T_3478 = eq(_T_3477, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3479 = bits(_T_3478, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3480 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_3481 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3482 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3483 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3484 = add(_T_3481, _T_3482) @[exu_mul_ctl.scala 137:112] + node _T_3485 = add(_T_3484, _T_3483) @[exu_mul_ctl.scala 137:112] + node _T_3486 = eq(_T_3485, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3487 = bits(_T_3486, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3488 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_3489 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3490 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3491 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3492 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3493 = add(_T_3489, _T_3490) @[exu_mul_ctl.scala 137:112] + node _T_3494 = add(_T_3493, _T_3491) @[exu_mul_ctl.scala 137:112] + node _T_3495 = add(_T_3494, _T_3492) @[exu_mul_ctl.scala 137:112] + node _T_3496 = eq(_T_3495, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3497 = bits(_T_3496, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3498 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_3499 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3500 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3501 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3502 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3503 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3504 = add(_T_3499, _T_3500) @[exu_mul_ctl.scala 137:112] + node _T_3505 = add(_T_3504, _T_3501) @[exu_mul_ctl.scala 137:112] + node _T_3506 = add(_T_3505, _T_3502) @[exu_mul_ctl.scala 137:112] + node _T_3507 = add(_T_3506, _T_3503) @[exu_mul_ctl.scala 137:112] + node _T_3508 = eq(_T_3507, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3509 = bits(_T_3508, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3510 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_3511 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3512 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3513 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3514 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3515 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3516 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3517 = add(_T_3511, _T_3512) @[exu_mul_ctl.scala 137:112] + node _T_3518 = add(_T_3517, _T_3513) @[exu_mul_ctl.scala 137:112] + node _T_3519 = add(_T_3518, _T_3514) @[exu_mul_ctl.scala 137:112] + node _T_3520 = add(_T_3519, _T_3515) @[exu_mul_ctl.scala 137:112] + node _T_3521 = add(_T_3520, _T_3516) @[exu_mul_ctl.scala 137:112] + node _T_3522 = eq(_T_3521, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3523 = bits(_T_3522, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3524 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_3525 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3526 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3527 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3528 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3529 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3530 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3531 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3532 = add(_T_3525, _T_3526) @[exu_mul_ctl.scala 137:112] + node _T_3533 = add(_T_3532, _T_3527) @[exu_mul_ctl.scala 137:112] + node _T_3534 = add(_T_3533, _T_3528) @[exu_mul_ctl.scala 137:112] + node _T_3535 = add(_T_3534, _T_3529) @[exu_mul_ctl.scala 137:112] + node _T_3536 = add(_T_3535, _T_3530) @[exu_mul_ctl.scala 137:112] + node _T_3537 = add(_T_3536, _T_3531) @[exu_mul_ctl.scala 137:112] + node _T_3538 = eq(_T_3537, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3539 = bits(_T_3538, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3540 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_3541 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3542 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3543 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3544 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3545 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3546 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3547 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3548 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3549 = add(_T_3541, _T_3542) @[exu_mul_ctl.scala 137:112] + node _T_3550 = add(_T_3549, _T_3543) @[exu_mul_ctl.scala 137:112] + node _T_3551 = add(_T_3550, _T_3544) @[exu_mul_ctl.scala 137:112] + node _T_3552 = add(_T_3551, _T_3545) @[exu_mul_ctl.scala 137:112] + node _T_3553 = add(_T_3552, _T_3546) @[exu_mul_ctl.scala 137:112] + node _T_3554 = add(_T_3553, _T_3547) @[exu_mul_ctl.scala 137:112] + node _T_3555 = add(_T_3554, _T_3548) @[exu_mul_ctl.scala 137:112] + node _T_3556 = eq(_T_3555, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3557 = bits(_T_3556, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3558 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_3559 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3560 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3561 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3562 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3563 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3564 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3565 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3566 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3567 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3568 = add(_T_3559, _T_3560) @[exu_mul_ctl.scala 137:112] + node _T_3569 = add(_T_3568, _T_3561) @[exu_mul_ctl.scala 137:112] + node _T_3570 = add(_T_3569, _T_3562) @[exu_mul_ctl.scala 137:112] + node _T_3571 = add(_T_3570, _T_3563) @[exu_mul_ctl.scala 137:112] + node _T_3572 = add(_T_3571, _T_3564) @[exu_mul_ctl.scala 137:112] + node _T_3573 = add(_T_3572, _T_3565) @[exu_mul_ctl.scala 137:112] + node _T_3574 = add(_T_3573, _T_3566) @[exu_mul_ctl.scala 137:112] + node _T_3575 = add(_T_3574, _T_3567) @[exu_mul_ctl.scala 137:112] + node _T_3576 = eq(_T_3575, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3577 = bits(_T_3576, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3578 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_3579 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3580 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3581 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3582 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3583 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3584 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3585 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3586 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3587 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3588 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3589 = add(_T_3579, _T_3580) @[exu_mul_ctl.scala 137:112] + node _T_3590 = add(_T_3589, _T_3581) @[exu_mul_ctl.scala 137:112] + node _T_3591 = add(_T_3590, _T_3582) @[exu_mul_ctl.scala 137:112] + node _T_3592 = add(_T_3591, _T_3583) @[exu_mul_ctl.scala 137:112] + node _T_3593 = add(_T_3592, _T_3584) @[exu_mul_ctl.scala 137:112] + node _T_3594 = add(_T_3593, _T_3585) @[exu_mul_ctl.scala 137:112] + node _T_3595 = add(_T_3594, _T_3586) @[exu_mul_ctl.scala 137:112] + node _T_3596 = add(_T_3595, _T_3587) @[exu_mul_ctl.scala 137:112] + node _T_3597 = add(_T_3596, _T_3588) @[exu_mul_ctl.scala 137:112] + node _T_3598 = eq(_T_3597, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3599 = bits(_T_3598, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3600 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_3601 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3602 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3603 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3604 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3605 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3606 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3607 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3608 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3609 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3610 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3611 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3612 = add(_T_3601, _T_3602) @[exu_mul_ctl.scala 137:112] + node _T_3613 = add(_T_3612, _T_3603) @[exu_mul_ctl.scala 137:112] + node _T_3614 = add(_T_3613, _T_3604) @[exu_mul_ctl.scala 137:112] + node _T_3615 = add(_T_3614, _T_3605) @[exu_mul_ctl.scala 137:112] + node _T_3616 = add(_T_3615, _T_3606) @[exu_mul_ctl.scala 137:112] + node _T_3617 = add(_T_3616, _T_3607) @[exu_mul_ctl.scala 137:112] + node _T_3618 = add(_T_3617, _T_3608) @[exu_mul_ctl.scala 137:112] + node _T_3619 = add(_T_3618, _T_3609) @[exu_mul_ctl.scala 137:112] + node _T_3620 = add(_T_3619, _T_3610) @[exu_mul_ctl.scala 137:112] + node _T_3621 = add(_T_3620, _T_3611) @[exu_mul_ctl.scala 137:112] + node _T_3622 = eq(_T_3621, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3623 = bits(_T_3622, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3624 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_3625 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3626 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3627 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3628 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3629 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3630 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3631 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3632 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3633 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3634 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3635 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3636 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3637 = add(_T_3625, _T_3626) @[exu_mul_ctl.scala 137:112] + node _T_3638 = add(_T_3637, _T_3627) @[exu_mul_ctl.scala 137:112] + node _T_3639 = add(_T_3638, _T_3628) @[exu_mul_ctl.scala 137:112] + node _T_3640 = add(_T_3639, _T_3629) @[exu_mul_ctl.scala 137:112] + node _T_3641 = add(_T_3640, _T_3630) @[exu_mul_ctl.scala 137:112] + node _T_3642 = add(_T_3641, _T_3631) @[exu_mul_ctl.scala 137:112] + node _T_3643 = add(_T_3642, _T_3632) @[exu_mul_ctl.scala 137:112] + node _T_3644 = add(_T_3643, _T_3633) @[exu_mul_ctl.scala 137:112] + node _T_3645 = add(_T_3644, _T_3634) @[exu_mul_ctl.scala 137:112] + node _T_3646 = add(_T_3645, _T_3635) @[exu_mul_ctl.scala 137:112] + node _T_3647 = add(_T_3646, _T_3636) @[exu_mul_ctl.scala 137:112] + node _T_3648 = eq(_T_3647, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3649 = bits(_T_3648, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3650 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_3651 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3652 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3653 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3654 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3655 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3656 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3657 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3658 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3659 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3660 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3661 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3662 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3663 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3664 = add(_T_3651, _T_3652) @[exu_mul_ctl.scala 137:112] + node _T_3665 = add(_T_3664, _T_3653) @[exu_mul_ctl.scala 137:112] + node _T_3666 = add(_T_3665, _T_3654) @[exu_mul_ctl.scala 137:112] + node _T_3667 = add(_T_3666, _T_3655) @[exu_mul_ctl.scala 137:112] + node _T_3668 = add(_T_3667, _T_3656) @[exu_mul_ctl.scala 137:112] + node _T_3669 = add(_T_3668, _T_3657) @[exu_mul_ctl.scala 137:112] + node _T_3670 = add(_T_3669, _T_3658) @[exu_mul_ctl.scala 137:112] + node _T_3671 = add(_T_3670, _T_3659) @[exu_mul_ctl.scala 137:112] + node _T_3672 = add(_T_3671, _T_3660) @[exu_mul_ctl.scala 137:112] + node _T_3673 = add(_T_3672, _T_3661) @[exu_mul_ctl.scala 137:112] + node _T_3674 = add(_T_3673, _T_3662) @[exu_mul_ctl.scala 137:112] + node _T_3675 = add(_T_3674, _T_3663) @[exu_mul_ctl.scala 137:112] + node _T_3676 = eq(_T_3675, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3677 = bits(_T_3676, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3678 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_3679 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3680 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3681 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3682 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3683 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3684 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3685 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3686 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3687 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3688 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3689 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3690 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3691 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3692 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3693 = add(_T_3679, _T_3680) @[exu_mul_ctl.scala 137:112] + node _T_3694 = add(_T_3693, _T_3681) @[exu_mul_ctl.scala 137:112] + node _T_3695 = add(_T_3694, _T_3682) @[exu_mul_ctl.scala 137:112] + node _T_3696 = add(_T_3695, _T_3683) @[exu_mul_ctl.scala 137:112] + node _T_3697 = add(_T_3696, _T_3684) @[exu_mul_ctl.scala 137:112] + node _T_3698 = add(_T_3697, _T_3685) @[exu_mul_ctl.scala 137:112] + node _T_3699 = add(_T_3698, _T_3686) @[exu_mul_ctl.scala 137:112] + node _T_3700 = add(_T_3699, _T_3687) @[exu_mul_ctl.scala 137:112] + node _T_3701 = add(_T_3700, _T_3688) @[exu_mul_ctl.scala 137:112] + node _T_3702 = add(_T_3701, _T_3689) @[exu_mul_ctl.scala 137:112] + node _T_3703 = add(_T_3702, _T_3690) @[exu_mul_ctl.scala 137:112] + node _T_3704 = add(_T_3703, _T_3691) @[exu_mul_ctl.scala 137:112] + node _T_3705 = add(_T_3704, _T_3692) @[exu_mul_ctl.scala 137:112] + node _T_3706 = eq(_T_3705, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3707 = bits(_T_3706, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3708 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_3709 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3710 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3711 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3712 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3713 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3714 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3715 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3716 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3717 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3718 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3719 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3720 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3721 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3722 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3723 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3724 = add(_T_3709, _T_3710) @[exu_mul_ctl.scala 137:112] + node _T_3725 = add(_T_3724, _T_3711) @[exu_mul_ctl.scala 137:112] + node _T_3726 = add(_T_3725, _T_3712) @[exu_mul_ctl.scala 137:112] + node _T_3727 = add(_T_3726, _T_3713) @[exu_mul_ctl.scala 137:112] + node _T_3728 = add(_T_3727, _T_3714) @[exu_mul_ctl.scala 137:112] + node _T_3729 = add(_T_3728, _T_3715) @[exu_mul_ctl.scala 137:112] + node _T_3730 = add(_T_3729, _T_3716) @[exu_mul_ctl.scala 137:112] + node _T_3731 = add(_T_3730, _T_3717) @[exu_mul_ctl.scala 137:112] + node _T_3732 = add(_T_3731, _T_3718) @[exu_mul_ctl.scala 137:112] + node _T_3733 = add(_T_3732, _T_3719) @[exu_mul_ctl.scala 137:112] + node _T_3734 = add(_T_3733, _T_3720) @[exu_mul_ctl.scala 137:112] + node _T_3735 = add(_T_3734, _T_3721) @[exu_mul_ctl.scala 137:112] + node _T_3736 = add(_T_3735, _T_3722) @[exu_mul_ctl.scala 137:112] + node _T_3737 = add(_T_3736, _T_3723) @[exu_mul_ctl.scala 137:112] + node _T_3738 = eq(_T_3737, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3739 = bits(_T_3738, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3740 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_3741 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3742 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3743 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3744 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3745 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3746 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3747 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3748 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3749 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3750 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3751 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3752 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3753 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3754 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3755 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3756 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3757 = add(_T_3741, _T_3742) @[exu_mul_ctl.scala 137:112] + node _T_3758 = add(_T_3757, _T_3743) @[exu_mul_ctl.scala 137:112] + node _T_3759 = add(_T_3758, _T_3744) @[exu_mul_ctl.scala 137:112] + node _T_3760 = add(_T_3759, _T_3745) @[exu_mul_ctl.scala 137:112] + node _T_3761 = add(_T_3760, _T_3746) @[exu_mul_ctl.scala 137:112] + node _T_3762 = add(_T_3761, _T_3747) @[exu_mul_ctl.scala 137:112] + node _T_3763 = add(_T_3762, _T_3748) @[exu_mul_ctl.scala 137:112] + node _T_3764 = add(_T_3763, _T_3749) @[exu_mul_ctl.scala 137:112] + node _T_3765 = add(_T_3764, _T_3750) @[exu_mul_ctl.scala 137:112] + node _T_3766 = add(_T_3765, _T_3751) @[exu_mul_ctl.scala 137:112] + node _T_3767 = add(_T_3766, _T_3752) @[exu_mul_ctl.scala 137:112] + node _T_3768 = add(_T_3767, _T_3753) @[exu_mul_ctl.scala 137:112] + node _T_3769 = add(_T_3768, _T_3754) @[exu_mul_ctl.scala 137:112] + node _T_3770 = add(_T_3769, _T_3755) @[exu_mul_ctl.scala 137:112] + node _T_3771 = add(_T_3770, _T_3756) @[exu_mul_ctl.scala 137:112] + node _T_3772 = eq(_T_3771, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3773 = bits(_T_3772, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3774 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_3775 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3776 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3777 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3778 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3779 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3780 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3781 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3782 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3783 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3784 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3785 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3786 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3787 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3788 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3789 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3790 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3791 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3792 = add(_T_3775, _T_3776) @[exu_mul_ctl.scala 137:112] + node _T_3793 = add(_T_3792, _T_3777) @[exu_mul_ctl.scala 137:112] + node _T_3794 = add(_T_3793, _T_3778) @[exu_mul_ctl.scala 137:112] + node _T_3795 = add(_T_3794, _T_3779) @[exu_mul_ctl.scala 137:112] + node _T_3796 = add(_T_3795, _T_3780) @[exu_mul_ctl.scala 137:112] + node _T_3797 = add(_T_3796, _T_3781) @[exu_mul_ctl.scala 137:112] + node _T_3798 = add(_T_3797, _T_3782) @[exu_mul_ctl.scala 137:112] + node _T_3799 = add(_T_3798, _T_3783) @[exu_mul_ctl.scala 137:112] + node _T_3800 = add(_T_3799, _T_3784) @[exu_mul_ctl.scala 137:112] + node _T_3801 = add(_T_3800, _T_3785) @[exu_mul_ctl.scala 137:112] + node _T_3802 = add(_T_3801, _T_3786) @[exu_mul_ctl.scala 137:112] + node _T_3803 = add(_T_3802, _T_3787) @[exu_mul_ctl.scala 137:112] + node _T_3804 = add(_T_3803, _T_3788) @[exu_mul_ctl.scala 137:112] + node _T_3805 = add(_T_3804, _T_3789) @[exu_mul_ctl.scala 137:112] + node _T_3806 = add(_T_3805, _T_3790) @[exu_mul_ctl.scala 137:112] + node _T_3807 = add(_T_3806, _T_3791) @[exu_mul_ctl.scala 137:112] + node _T_3808 = eq(_T_3807, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3809 = bits(_T_3808, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3810 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_3811 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3812 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3813 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3814 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3815 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3816 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3817 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3818 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3819 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3820 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3821 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3822 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3823 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3824 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3825 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3826 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3827 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3828 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3829 = add(_T_3811, _T_3812) @[exu_mul_ctl.scala 137:112] + node _T_3830 = add(_T_3829, _T_3813) @[exu_mul_ctl.scala 137:112] + node _T_3831 = add(_T_3830, _T_3814) @[exu_mul_ctl.scala 137:112] + node _T_3832 = add(_T_3831, _T_3815) @[exu_mul_ctl.scala 137:112] + node _T_3833 = add(_T_3832, _T_3816) @[exu_mul_ctl.scala 137:112] + node _T_3834 = add(_T_3833, _T_3817) @[exu_mul_ctl.scala 137:112] + node _T_3835 = add(_T_3834, _T_3818) @[exu_mul_ctl.scala 137:112] + node _T_3836 = add(_T_3835, _T_3819) @[exu_mul_ctl.scala 137:112] + node _T_3837 = add(_T_3836, _T_3820) @[exu_mul_ctl.scala 137:112] + node _T_3838 = add(_T_3837, _T_3821) @[exu_mul_ctl.scala 137:112] + node _T_3839 = add(_T_3838, _T_3822) @[exu_mul_ctl.scala 137:112] + node _T_3840 = add(_T_3839, _T_3823) @[exu_mul_ctl.scala 137:112] + node _T_3841 = add(_T_3840, _T_3824) @[exu_mul_ctl.scala 137:112] + node _T_3842 = add(_T_3841, _T_3825) @[exu_mul_ctl.scala 137:112] + node _T_3843 = add(_T_3842, _T_3826) @[exu_mul_ctl.scala 137:112] + node _T_3844 = add(_T_3843, _T_3827) @[exu_mul_ctl.scala 137:112] + node _T_3845 = add(_T_3844, _T_3828) @[exu_mul_ctl.scala 137:112] + node _T_3846 = eq(_T_3845, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3847 = bits(_T_3846, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3848 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_3849 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3850 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3851 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3852 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3853 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3854 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3855 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3856 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3857 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3858 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3859 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3860 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3861 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3862 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3863 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3864 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3865 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3866 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3867 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3868 = add(_T_3849, _T_3850) @[exu_mul_ctl.scala 137:112] + node _T_3869 = add(_T_3868, _T_3851) @[exu_mul_ctl.scala 137:112] + node _T_3870 = add(_T_3869, _T_3852) @[exu_mul_ctl.scala 137:112] + node _T_3871 = add(_T_3870, _T_3853) @[exu_mul_ctl.scala 137:112] + node _T_3872 = add(_T_3871, _T_3854) @[exu_mul_ctl.scala 137:112] + node _T_3873 = add(_T_3872, _T_3855) @[exu_mul_ctl.scala 137:112] + node _T_3874 = add(_T_3873, _T_3856) @[exu_mul_ctl.scala 137:112] + node _T_3875 = add(_T_3874, _T_3857) @[exu_mul_ctl.scala 137:112] + node _T_3876 = add(_T_3875, _T_3858) @[exu_mul_ctl.scala 137:112] + node _T_3877 = add(_T_3876, _T_3859) @[exu_mul_ctl.scala 137:112] + node _T_3878 = add(_T_3877, _T_3860) @[exu_mul_ctl.scala 137:112] + node _T_3879 = add(_T_3878, _T_3861) @[exu_mul_ctl.scala 137:112] + node _T_3880 = add(_T_3879, _T_3862) @[exu_mul_ctl.scala 137:112] + node _T_3881 = add(_T_3880, _T_3863) @[exu_mul_ctl.scala 137:112] + node _T_3882 = add(_T_3881, _T_3864) @[exu_mul_ctl.scala 137:112] + node _T_3883 = add(_T_3882, _T_3865) @[exu_mul_ctl.scala 137:112] + node _T_3884 = add(_T_3883, _T_3866) @[exu_mul_ctl.scala 137:112] + node _T_3885 = add(_T_3884, _T_3867) @[exu_mul_ctl.scala 137:112] + node _T_3886 = eq(_T_3885, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3887 = bits(_T_3886, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3888 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_3889 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3890 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3891 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3892 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3893 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3894 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3895 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3896 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3897 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3898 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3899 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3900 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3901 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3902 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3903 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3904 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3905 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3906 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3907 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3908 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3909 = add(_T_3889, _T_3890) @[exu_mul_ctl.scala 137:112] + node _T_3910 = add(_T_3909, _T_3891) @[exu_mul_ctl.scala 137:112] + node _T_3911 = add(_T_3910, _T_3892) @[exu_mul_ctl.scala 137:112] + node _T_3912 = add(_T_3911, _T_3893) @[exu_mul_ctl.scala 137:112] + node _T_3913 = add(_T_3912, _T_3894) @[exu_mul_ctl.scala 137:112] + node _T_3914 = add(_T_3913, _T_3895) @[exu_mul_ctl.scala 137:112] + node _T_3915 = add(_T_3914, _T_3896) @[exu_mul_ctl.scala 137:112] + node _T_3916 = add(_T_3915, _T_3897) @[exu_mul_ctl.scala 137:112] + node _T_3917 = add(_T_3916, _T_3898) @[exu_mul_ctl.scala 137:112] + node _T_3918 = add(_T_3917, _T_3899) @[exu_mul_ctl.scala 137:112] + node _T_3919 = add(_T_3918, _T_3900) @[exu_mul_ctl.scala 137:112] + node _T_3920 = add(_T_3919, _T_3901) @[exu_mul_ctl.scala 137:112] + node _T_3921 = add(_T_3920, _T_3902) @[exu_mul_ctl.scala 137:112] + node _T_3922 = add(_T_3921, _T_3903) @[exu_mul_ctl.scala 137:112] + node _T_3923 = add(_T_3922, _T_3904) @[exu_mul_ctl.scala 137:112] + node _T_3924 = add(_T_3923, _T_3905) @[exu_mul_ctl.scala 137:112] + node _T_3925 = add(_T_3924, _T_3906) @[exu_mul_ctl.scala 137:112] + node _T_3926 = add(_T_3925, _T_3907) @[exu_mul_ctl.scala 137:112] + node _T_3927 = add(_T_3926, _T_3908) @[exu_mul_ctl.scala 137:112] + node _T_3928 = eq(_T_3927, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3929 = bits(_T_3928, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3930 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_3931 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3932 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3933 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3934 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3935 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3936 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3937 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3938 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3939 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3940 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3941 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3942 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3943 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3944 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3945 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3946 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3947 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3948 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3949 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3950 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3951 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3952 = add(_T_3931, _T_3932) @[exu_mul_ctl.scala 137:112] + node _T_3953 = add(_T_3952, _T_3933) @[exu_mul_ctl.scala 137:112] + node _T_3954 = add(_T_3953, _T_3934) @[exu_mul_ctl.scala 137:112] + node _T_3955 = add(_T_3954, _T_3935) @[exu_mul_ctl.scala 137:112] + node _T_3956 = add(_T_3955, _T_3936) @[exu_mul_ctl.scala 137:112] + node _T_3957 = add(_T_3956, _T_3937) @[exu_mul_ctl.scala 137:112] + node _T_3958 = add(_T_3957, _T_3938) @[exu_mul_ctl.scala 137:112] + node _T_3959 = add(_T_3958, _T_3939) @[exu_mul_ctl.scala 137:112] + node _T_3960 = add(_T_3959, _T_3940) @[exu_mul_ctl.scala 137:112] + node _T_3961 = add(_T_3960, _T_3941) @[exu_mul_ctl.scala 137:112] + node _T_3962 = add(_T_3961, _T_3942) @[exu_mul_ctl.scala 137:112] + node _T_3963 = add(_T_3962, _T_3943) @[exu_mul_ctl.scala 137:112] + node _T_3964 = add(_T_3963, _T_3944) @[exu_mul_ctl.scala 137:112] + node _T_3965 = add(_T_3964, _T_3945) @[exu_mul_ctl.scala 137:112] + node _T_3966 = add(_T_3965, _T_3946) @[exu_mul_ctl.scala 137:112] + node _T_3967 = add(_T_3966, _T_3947) @[exu_mul_ctl.scala 137:112] + node _T_3968 = add(_T_3967, _T_3948) @[exu_mul_ctl.scala 137:112] + node _T_3969 = add(_T_3968, _T_3949) @[exu_mul_ctl.scala 137:112] + node _T_3970 = add(_T_3969, _T_3950) @[exu_mul_ctl.scala 137:112] + node _T_3971 = add(_T_3970, _T_3951) @[exu_mul_ctl.scala 137:112] + node _T_3972 = eq(_T_3971, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_3973 = bits(_T_3972, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_3974 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_3975 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_3976 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_3977 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_3978 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_3979 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_3980 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_3981 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_3982 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_3983 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_3984 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_3985 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_3986 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_3987 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_3988 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_3989 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_3990 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_3991 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_3992 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_3993 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_3994 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_3995 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_3996 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_3997 = add(_T_3975, _T_3976) @[exu_mul_ctl.scala 137:112] + node _T_3998 = add(_T_3997, _T_3977) @[exu_mul_ctl.scala 137:112] + node _T_3999 = add(_T_3998, _T_3978) @[exu_mul_ctl.scala 137:112] + node _T_4000 = add(_T_3999, _T_3979) @[exu_mul_ctl.scala 137:112] + node _T_4001 = add(_T_4000, _T_3980) @[exu_mul_ctl.scala 137:112] + node _T_4002 = add(_T_4001, _T_3981) @[exu_mul_ctl.scala 137:112] + node _T_4003 = add(_T_4002, _T_3982) @[exu_mul_ctl.scala 137:112] + node _T_4004 = add(_T_4003, _T_3983) @[exu_mul_ctl.scala 137:112] + node _T_4005 = add(_T_4004, _T_3984) @[exu_mul_ctl.scala 137:112] + node _T_4006 = add(_T_4005, _T_3985) @[exu_mul_ctl.scala 137:112] + node _T_4007 = add(_T_4006, _T_3986) @[exu_mul_ctl.scala 137:112] + node _T_4008 = add(_T_4007, _T_3987) @[exu_mul_ctl.scala 137:112] + node _T_4009 = add(_T_4008, _T_3988) @[exu_mul_ctl.scala 137:112] + node _T_4010 = add(_T_4009, _T_3989) @[exu_mul_ctl.scala 137:112] + node _T_4011 = add(_T_4010, _T_3990) @[exu_mul_ctl.scala 137:112] + node _T_4012 = add(_T_4011, _T_3991) @[exu_mul_ctl.scala 137:112] + node _T_4013 = add(_T_4012, _T_3992) @[exu_mul_ctl.scala 137:112] + node _T_4014 = add(_T_4013, _T_3993) @[exu_mul_ctl.scala 137:112] + node _T_4015 = add(_T_4014, _T_3994) @[exu_mul_ctl.scala 137:112] + node _T_4016 = add(_T_4015, _T_3995) @[exu_mul_ctl.scala 137:112] + node _T_4017 = add(_T_4016, _T_3996) @[exu_mul_ctl.scala 137:112] + node _T_4018 = eq(_T_4017, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4019 = bits(_T_4018, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4020 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_4021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4028 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4030 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4031 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4032 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4033 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4034 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4035 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4036 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4037 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4038 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4039 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4040 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4041 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4042 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4043 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4044 = add(_T_4021, _T_4022) @[exu_mul_ctl.scala 137:112] + node _T_4045 = add(_T_4044, _T_4023) @[exu_mul_ctl.scala 137:112] + node _T_4046 = add(_T_4045, _T_4024) @[exu_mul_ctl.scala 137:112] + node _T_4047 = add(_T_4046, _T_4025) @[exu_mul_ctl.scala 137:112] + node _T_4048 = add(_T_4047, _T_4026) @[exu_mul_ctl.scala 137:112] + node _T_4049 = add(_T_4048, _T_4027) @[exu_mul_ctl.scala 137:112] + node _T_4050 = add(_T_4049, _T_4028) @[exu_mul_ctl.scala 137:112] + node _T_4051 = add(_T_4050, _T_4029) @[exu_mul_ctl.scala 137:112] + node _T_4052 = add(_T_4051, _T_4030) @[exu_mul_ctl.scala 137:112] + node _T_4053 = add(_T_4052, _T_4031) @[exu_mul_ctl.scala 137:112] + node _T_4054 = add(_T_4053, _T_4032) @[exu_mul_ctl.scala 137:112] + node _T_4055 = add(_T_4054, _T_4033) @[exu_mul_ctl.scala 137:112] + node _T_4056 = add(_T_4055, _T_4034) @[exu_mul_ctl.scala 137:112] + node _T_4057 = add(_T_4056, _T_4035) @[exu_mul_ctl.scala 137:112] + node _T_4058 = add(_T_4057, _T_4036) @[exu_mul_ctl.scala 137:112] + node _T_4059 = add(_T_4058, _T_4037) @[exu_mul_ctl.scala 137:112] + node _T_4060 = add(_T_4059, _T_4038) @[exu_mul_ctl.scala 137:112] + node _T_4061 = add(_T_4060, _T_4039) @[exu_mul_ctl.scala 137:112] + node _T_4062 = add(_T_4061, _T_4040) @[exu_mul_ctl.scala 137:112] + node _T_4063 = add(_T_4062, _T_4041) @[exu_mul_ctl.scala 137:112] + node _T_4064 = add(_T_4063, _T_4042) @[exu_mul_ctl.scala 137:112] + node _T_4065 = add(_T_4064, _T_4043) @[exu_mul_ctl.scala 137:112] + node _T_4066 = eq(_T_4065, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4067 = bits(_T_4066, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4068 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_4069 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4070 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4071 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4072 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4073 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4074 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4075 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4076 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4077 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4078 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4079 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4080 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4081 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4082 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4083 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4084 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4085 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4086 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4087 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4088 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4089 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4090 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4091 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4092 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4093 = add(_T_4069, _T_4070) @[exu_mul_ctl.scala 137:112] + node _T_4094 = add(_T_4093, _T_4071) @[exu_mul_ctl.scala 137:112] + node _T_4095 = add(_T_4094, _T_4072) @[exu_mul_ctl.scala 137:112] + node _T_4096 = add(_T_4095, _T_4073) @[exu_mul_ctl.scala 137:112] + node _T_4097 = add(_T_4096, _T_4074) @[exu_mul_ctl.scala 137:112] + node _T_4098 = add(_T_4097, _T_4075) @[exu_mul_ctl.scala 137:112] + node _T_4099 = add(_T_4098, _T_4076) @[exu_mul_ctl.scala 137:112] + node _T_4100 = add(_T_4099, _T_4077) @[exu_mul_ctl.scala 137:112] + node _T_4101 = add(_T_4100, _T_4078) @[exu_mul_ctl.scala 137:112] + node _T_4102 = add(_T_4101, _T_4079) @[exu_mul_ctl.scala 137:112] + node _T_4103 = add(_T_4102, _T_4080) @[exu_mul_ctl.scala 137:112] + node _T_4104 = add(_T_4103, _T_4081) @[exu_mul_ctl.scala 137:112] + node _T_4105 = add(_T_4104, _T_4082) @[exu_mul_ctl.scala 137:112] + node _T_4106 = add(_T_4105, _T_4083) @[exu_mul_ctl.scala 137:112] + node _T_4107 = add(_T_4106, _T_4084) @[exu_mul_ctl.scala 137:112] + node _T_4108 = add(_T_4107, _T_4085) @[exu_mul_ctl.scala 137:112] + node _T_4109 = add(_T_4108, _T_4086) @[exu_mul_ctl.scala 137:112] + node _T_4110 = add(_T_4109, _T_4087) @[exu_mul_ctl.scala 137:112] + node _T_4111 = add(_T_4110, _T_4088) @[exu_mul_ctl.scala 137:112] + node _T_4112 = add(_T_4111, _T_4089) @[exu_mul_ctl.scala 137:112] + node _T_4113 = add(_T_4112, _T_4090) @[exu_mul_ctl.scala 137:112] + node _T_4114 = add(_T_4113, _T_4091) @[exu_mul_ctl.scala 137:112] + node _T_4115 = add(_T_4114, _T_4092) @[exu_mul_ctl.scala 137:112] + node _T_4116 = eq(_T_4115, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4117 = bits(_T_4116, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4118 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_4119 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4120 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4121 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4122 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4123 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4124 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4125 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4126 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4127 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4128 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4129 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4130 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4131 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4132 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4133 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4134 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4135 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4136 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4137 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4138 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4139 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4140 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4141 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4142 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4143 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4144 = add(_T_4119, _T_4120) @[exu_mul_ctl.scala 137:112] + node _T_4145 = add(_T_4144, _T_4121) @[exu_mul_ctl.scala 137:112] + node _T_4146 = add(_T_4145, _T_4122) @[exu_mul_ctl.scala 137:112] + node _T_4147 = add(_T_4146, _T_4123) @[exu_mul_ctl.scala 137:112] + node _T_4148 = add(_T_4147, _T_4124) @[exu_mul_ctl.scala 137:112] + node _T_4149 = add(_T_4148, _T_4125) @[exu_mul_ctl.scala 137:112] + node _T_4150 = add(_T_4149, _T_4126) @[exu_mul_ctl.scala 137:112] + node _T_4151 = add(_T_4150, _T_4127) @[exu_mul_ctl.scala 137:112] + node _T_4152 = add(_T_4151, _T_4128) @[exu_mul_ctl.scala 137:112] + node _T_4153 = add(_T_4152, _T_4129) @[exu_mul_ctl.scala 137:112] + node _T_4154 = add(_T_4153, _T_4130) @[exu_mul_ctl.scala 137:112] + node _T_4155 = add(_T_4154, _T_4131) @[exu_mul_ctl.scala 137:112] + node _T_4156 = add(_T_4155, _T_4132) @[exu_mul_ctl.scala 137:112] + node _T_4157 = add(_T_4156, _T_4133) @[exu_mul_ctl.scala 137:112] + node _T_4158 = add(_T_4157, _T_4134) @[exu_mul_ctl.scala 137:112] + node _T_4159 = add(_T_4158, _T_4135) @[exu_mul_ctl.scala 137:112] + node _T_4160 = add(_T_4159, _T_4136) @[exu_mul_ctl.scala 137:112] + node _T_4161 = add(_T_4160, _T_4137) @[exu_mul_ctl.scala 137:112] + node _T_4162 = add(_T_4161, _T_4138) @[exu_mul_ctl.scala 137:112] + node _T_4163 = add(_T_4162, _T_4139) @[exu_mul_ctl.scala 137:112] + node _T_4164 = add(_T_4163, _T_4140) @[exu_mul_ctl.scala 137:112] + node _T_4165 = add(_T_4164, _T_4141) @[exu_mul_ctl.scala 137:112] + node _T_4166 = add(_T_4165, _T_4142) @[exu_mul_ctl.scala 137:112] + node _T_4167 = add(_T_4166, _T_4143) @[exu_mul_ctl.scala 137:112] + node _T_4168 = eq(_T_4167, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4169 = bits(_T_4168, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4170 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_4171 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4172 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4173 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4174 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4175 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4176 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4177 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4178 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4179 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4180 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4181 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4182 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4183 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4184 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4185 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4186 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4187 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4188 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4189 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4190 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4191 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4192 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4193 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4194 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4195 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4196 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4197 = add(_T_4171, _T_4172) @[exu_mul_ctl.scala 137:112] + node _T_4198 = add(_T_4197, _T_4173) @[exu_mul_ctl.scala 137:112] + node _T_4199 = add(_T_4198, _T_4174) @[exu_mul_ctl.scala 137:112] + node _T_4200 = add(_T_4199, _T_4175) @[exu_mul_ctl.scala 137:112] + node _T_4201 = add(_T_4200, _T_4176) @[exu_mul_ctl.scala 137:112] + node _T_4202 = add(_T_4201, _T_4177) @[exu_mul_ctl.scala 137:112] + node _T_4203 = add(_T_4202, _T_4178) @[exu_mul_ctl.scala 137:112] + node _T_4204 = add(_T_4203, _T_4179) @[exu_mul_ctl.scala 137:112] + node _T_4205 = add(_T_4204, _T_4180) @[exu_mul_ctl.scala 137:112] + node _T_4206 = add(_T_4205, _T_4181) @[exu_mul_ctl.scala 137:112] + node _T_4207 = add(_T_4206, _T_4182) @[exu_mul_ctl.scala 137:112] + node _T_4208 = add(_T_4207, _T_4183) @[exu_mul_ctl.scala 137:112] + node _T_4209 = add(_T_4208, _T_4184) @[exu_mul_ctl.scala 137:112] + node _T_4210 = add(_T_4209, _T_4185) @[exu_mul_ctl.scala 137:112] + node _T_4211 = add(_T_4210, _T_4186) @[exu_mul_ctl.scala 137:112] + node _T_4212 = add(_T_4211, _T_4187) @[exu_mul_ctl.scala 137:112] + node _T_4213 = add(_T_4212, _T_4188) @[exu_mul_ctl.scala 137:112] + node _T_4214 = add(_T_4213, _T_4189) @[exu_mul_ctl.scala 137:112] + node _T_4215 = add(_T_4214, _T_4190) @[exu_mul_ctl.scala 137:112] + node _T_4216 = add(_T_4215, _T_4191) @[exu_mul_ctl.scala 137:112] + node _T_4217 = add(_T_4216, _T_4192) @[exu_mul_ctl.scala 137:112] + node _T_4218 = add(_T_4217, _T_4193) @[exu_mul_ctl.scala 137:112] + node _T_4219 = add(_T_4218, _T_4194) @[exu_mul_ctl.scala 137:112] + node _T_4220 = add(_T_4219, _T_4195) @[exu_mul_ctl.scala 137:112] + node _T_4221 = add(_T_4220, _T_4196) @[exu_mul_ctl.scala 137:112] + node _T_4222 = eq(_T_4221, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4223 = bits(_T_4222, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4224 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_4225 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4226 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4227 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4228 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4229 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4230 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4231 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4232 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4233 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4234 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4235 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4236 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4237 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4238 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4239 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4240 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4241 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4242 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4243 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4244 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4245 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4246 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4247 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4248 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4249 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4250 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4251 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4252 = add(_T_4225, _T_4226) @[exu_mul_ctl.scala 137:112] + node _T_4253 = add(_T_4252, _T_4227) @[exu_mul_ctl.scala 137:112] + node _T_4254 = add(_T_4253, _T_4228) @[exu_mul_ctl.scala 137:112] + node _T_4255 = add(_T_4254, _T_4229) @[exu_mul_ctl.scala 137:112] + node _T_4256 = add(_T_4255, _T_4230) @[exu_mul_ctl.scala 137:112] + node _T_4257 = add(_T_4256, _T_4231) @[exu_mul_ctl.scala 137:112] + node _T_4258 = add(_T_4257, _T_4232) @[exu_mul_ctl.scala 137:112] + node _T_4259 = add(_T_4258, _T_4233) @[exu_mul_ctl.scala 137:112] + node _T_4260 = add(_T_4259, _T_4234) @[exu_mul_ctl.scala 137:112] + node _T_4261 = add(_T_4260, _T_4235) @[exu_mul_ctl.scala 137:112] + node _T_4262 = add(_T_4261, _T_4236) @[exu_mul_ctl.scala 137:112] + node _T_4263 = add(_T_4262, _T_4237) @[exu_mul_ctl.scala 137:112] + node _T_4264 = add(_T_4263, _T_4238) @[exu_mul_ctl.scala 137:112] + node _T_4265 = add(_T_4264, _T_4239) @[exu_mul_ctl.scala 137:112] + node _T_4266 = add(_T_4265, _T_4240) @[exu_mul_ctl.scala 137:112] + node _T_4267 = add(_T_4266, _T_4241) @[exu_mul_ctl.scala 137:112] + node _T_4268 = add(_T_4267, _T_4242) @[exu_mul_ctl.scala 137:112] + node _T_4269 = add(_T_4268, _T_4243) @[exu_mul_ctl.scala 137:112] + node _T_4270 = add(_T_4269, _T_4244) @[exu_mul_ctl.scala 137:112] + node _T_4271 = add(_T_4270, _T_4245) @[exu_mul_ctl.scala 137:112] + node _T_4272 = add(_T_4271, _T_4246) @[exu_mul_ctl.scala 137:112] + node _T_4273 = add(_T_4272, _T_4247) @[exu_mul_ctl.scala 137:112] + node _T_4274 = add(_T_4273, _T_4248) @[exu_mul_ctl.scala 137:112] + node _T_4275 = add(_T_4274, _T_4249) @[exu_mul_ctl.scala 137:112] + node _T_4276 = add(_T_4275, _T_4250) @[exu_mul_ctl.scala 137:112] + node _T_4277 = add(_T_4276, _T_4251) @[exu_mul_ctl.scala 137:112] + node _T_4278 = eq(_T_4277, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4279 = bits(_T_4278, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4280 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_4281 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4282 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4283 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4284 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4285 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4286 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4287 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4288 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4289 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4290 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4291 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4292 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4293 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4294 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4295 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4296 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4297 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4298 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4299 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4300 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4301 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4302 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4303 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4304 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4305 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4306 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4307 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4308 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_4309 = add(_T_4281, _T_4282) @[exu_mul_ctl.scala 137:112] + node _T_4310 = add(_T_4309, _T_4283) @[exu_mul_ctl.scala 137:112] + node _T_4311 = add(_T_4310, _T_4284) @[exu_mul_ctl.scala 137:112] + node _T_4312 = add(_T_4311, _T_4285) @[exu_mul_ctl.scala 137:112] + node _T_4313 = add(_T_4312, _T_4286) @[exu_mul_ctl.scala 137:112] + node _T_4314 = add(_T_4313, _T_4287) @[exu_mul_ctl.scala 137:112] + node _T_4315 = add(_T_4314, _T_4288) @[exu_mul_ctl.scala 137:112] + node _T_4316 = add(_T_4315, _T_4289) @[exu_mul_ctl.scala 137:112] + node _T_4317 = add(_T_4316, _T_4290) @[exu_mul_ctl.scala 137:112] + node _T_4318 = add(_T_4317, _T_4291) @[exu_mul_ctl.scala 137:112] + node _T_4319 = add(_T_4318, _T_4292) @[exu_mul_ctl.scala 137:112] + node _T_4320 = add(_T_4319, _T_4293) @[exu_mul_ctl.scala 137:112] + node _T_4321 = add(_T_4320, _T_4294) @[exu_mul_ctl.scala 137:112] + node _T_4322 = add(_T_4321, _T_4295) @[exu_mul_ctl.scala 137:112] + node _T_4323 = add(_T_4322, _T_4296) @[exu_mul_ctl.scala 137:112] + node _T_4324 = add(_T_4323, _T_4297) @[exu_mul_ctl.scala 137:112] + node _T_4325 = add(_T_4324, _T_4298) @[exu_mul_ctl.scala 137:112] + node _T_4326 = add(_T_4325, _T_4299) @[exu_mul_ctl.scala 137:112] + node _T_4327 = add(_T_4326, _T_4300) @[exu_mul_ctl.scala 137:112] + node _T_4328 = add(_T_4327, _T_4301) @[exu_mul_ctl.scala 137:112] + node _T_4329 = add(_T_4328, _T_4302) @[exu_mul_ctl.scala 137:112] + node _T_4330 = add(_T_4329, _T_4303) @[exu_mul_ctl.scala 137:112] + node _T_4331 = add(_T_4330, _T_4304) @[exu_mul_ctl.scala 137:112] + node _T_4332 = add(_T_4331, _T_4305) @[exu_mul_ctl.scala 137:112] + node _T_4333 = add(_T_4332, _T_4306) @[exu_mul_ctl.scala 137:112] + node _T_4334 = add(_T_4333, _T_4307) @[exu_mul_ctl.scala 137:112] + node _T_4335 = add(_T_4334, _T_4308) @[exu_mul_ctl.scala 137:112] + node _T_4336 = eq(_T_4335, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4337 = bits(_T_4336, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4338 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_4339 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4340 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4341 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4342 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4343 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4344 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4345 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4346 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4347 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4348 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4349 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4350 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4351 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4352 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4353 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4354 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4355 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4356 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4357 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4358 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4359 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4360 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4361 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4362 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4363 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4364 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4365 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4366 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_4367 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_4368 = add(_T_4339, _T_4340) @[exu_mul_ctl.scala 137:112] + node _T_4369 = add(_T_4368, _T_4341) @[exu_mul_ctl.scala 137:112] + node _T_4370 = add(_T_4369, _T_4342) @[exu_mul_ctl.scala 137:112] + node _T_4371 = add(_T_4370, _T_4343) @[exu_mul_ctl.scala 137:112] + node _T_4372 = add(_T_4371, _T_4344) @[exu_mul_ctl.scala 137:112] + node _T_4373 = add(_T_4372, _T_4345) @[exu_mul_ctl.scala 137:112] + node _T_4374 = add(_T_4373, _T_4346) @[exu_mul_ctl.scala 137:112] + node _T_4375 = add(_T_4374, _T_4347) @[exu_mul_ctl.scala 137:112] + node _T_4376 = add(_T_4375, _T_4348) @[exu_mul_ctl.scala 137:112] + node _T_4377 = add(_T_4376, _T_4349) @[exu_mul_ctl.scala 137:112] + node _T_4378 = add(_T_4377, _T_4350) @[exu_mul_ctl.scala 137:112] + node _T_4379 = add(_T_4378, _T_4351) @[exu_mul_ctl.scala 137:112] + node _T_4380 = add(_T_4379, _T_4352) @[exu_mul_ctl.scala 137:112] + node _T_4381 = add(_T_4380, _T_4353) @[exu_mul_ctl.scala 137:112] + node _T_4382 = add(_T_4381, _T_4354) @[exu_mul_ctl.scala 137:112] + node _T_4383 = add(_T_4382, _T_4355) @[exu_mul_ctl.scala 137:112] + node _T_4384 = add(_T_4383, _T_4356) @[exu_mul_ctl.scala 137:112] + node _T_4385 = add(_T_4384, _T_4357) @[exu_mul_ctl.scala 137:112] + node _T_4386 = add(_T_4385, _T_4358) @[exu_mul_ctl.scala 137:112] + node _T_4387 = add(_T_4386, _T_4359) @[exu_mul_ctl.scala 137:112] + node _T_4388 = add(_T_4387, _T_4360) @[exu_mul_ctl.scala 137:112] + node _T_4389 = add(_T_4388, _T_4361) @[exu_mul_ctl.scala 137:112] + node _T_4390 = add(_T_4389, _T_4362) @[exu_mul_ctl.scala 137:112] + node _T_4391 = add(_T_4390, _T_4363) @[exu_mul_ctl.scala 137:112] + node _T_4392 = add(_T_4391, _T_4364) @[exu_mul_ctl.scala 137:112] + node _T_4393 = add(_T_4392, _T_4365) @[exu_mul_ctl.scala 137:112] + node _T_4394 = add(_T_4393, _T_4366) @[exu_mul_ctl.scala 137:112] + node _T_4395 = add(_T_4394, _T_4367) @[exu_mul_ctl.scala 137:112] + node _T_4396 = eq(_T_4395, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4397 = bits(_T_4396, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4398 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_4399 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4400 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4401 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4402 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4403 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4404 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4405 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4406 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4407 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4408 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4409 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4410 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4411 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4412 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4413 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4414 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4415 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4416 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4417 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4418 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4419 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4420 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4421 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4422 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4423 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4424 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4425 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4426 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_4427 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_4428 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_4429 = add(_T_4399, _T_4400) @[exu_mul_ctl.scala 137:112] + node _T_4430 = add(_T_4429, _T_4401) @[exu_mul_ctl.scala 137:112] + node _T_4431 = add(_T_4430, _T_4402) @[exu_mul_ctl.scala 137:112] + node _T_4432 = add(_T_4431, _T_4403) @[exu_mul_ctl.scala 137:112] + node _T_4433 = add(_T_4432, _T_4404) @[exu_mul_ctl.scala 137:112] + node _T_4434 = add(_T_4433, _T_4405) @[exu_mul_ctl.scala 137:112] + node _T_4435 = add(_T_4434, _T_4406) @[exu_mul_ctl.scala 137:112] + node _T_4436 = add(_T_4435, _T_4407) @[exu_mul_ctl.scala 137:112] + node _T_4437 = add(_T_4436, _T_4408) @[exu_mul_ctl.scala 137:112] + node _T_4438 = add(_T_4437, _T_4409) @[exu_mul_ctl.scala 137:112] + node _T_4439 = add(_T_4438, _T_4410) @[exu_mul_ctl.scala 137:112] + node _T_4440 = add(_T_4439, _T_4411) @[exu_mul_ctl.scala 137:112] + node _T_4441 = add(_T_4440, _T_4412) @[exu_mul_ctl.scala 137:112] + node _T_4442 = add(_T_4441, _T_4413) @[exu_mul_ctl.scala 137:112] + node _T_4443 = add(_T_4442, _T_4414) @[exu_mul_ctl.scala 137:112] + node _T_4444 = add(_T_4443, _T_4415) @[exu_mul_ctl.scala 137:112] + node _T_4445 = add(_T_4444, _T_4416) @[exu_mul_ctl.scala 137:112] + node _T_4446 = add(_T_4445, _T_4417) @[exu_mul_ctl.scala 137:112] + node _T_4447 = add(_T_4446, _T_4418) @[exu_mul_ctl.scala 137:112] + node _T_4448 = add(_T_4447, _T_4419) @[exu_mul_ctl.scala 137:112] + node _T_4449 = add(_T_4448, _T_4420) @[exu_mul_ctl.scala 137:112] + node _T_4450 = add(_T_4449, _T_4421) @[exu_mul_ctl.scala 137:112] + node _T_4451 = add(_T_4450, _T_4422) @[exu_mul_ctl.scala 137:112] + node _T_4452 = add(_T_4451, _T_4423) @[exu_mul_ctl.scala 137:112] + node _T_4453 = add(_T_4452, _T_4424) @[exu_mul_ctl.scala 137:112] + node _T_4454 = add(_T_4453, _T_4425) @[exu_mul_ctl.scala 137:112] + node _T_4455 = add(_T_4454, _T_4426) @[exu_mul_ctl.scala 137:112] + node _T_4456 = add(_T_4455, _T_4427) @[exu_mul_ctl.scala 137:112] + node _T_4457 = add(_T_4456, _T_4428) @[exu_mul_ctl.scala 137:112] + node _T_4458 = eq(_T_4457, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4459 = bits(_T_4458, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4460 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_4461 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4462 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4463 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4464 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4465 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4466 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4467 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4468 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4469 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4470 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4471 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4472 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4473 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4474 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4475 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4476 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4477 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4478 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4479 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4480 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4481 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4482 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4483 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4484 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4485 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4486 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4487 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4488 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_4489 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_4490 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_4491 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_4492 = add(_T_4461, _T_4462) @[exu_mul_ctl.scala 137:112] + node _T_4493 = add(_T_4492, _T_4463) @[exu_mul_ctl.scala 137:112] + node _T_4494 = add(_T_4493, _T_4464) @[exu_mul_ctl.scala 137:112] + node _T_4495 = add(_T_4494, _T_4465) @[exu_mul_ctl.scala 137:112] + node _T_4496 = add(_T_4495, _T_4466) @[exu_mul_ctl.scala 137:112] + node _T_4497 = add(_T_4496, _T_4467) @[exu_mul_ctl.scala 137:112] + node _T_4498 = add(_T_4497, _T_4468) @[exu_mul_ctl.scala 137:112] + node _T_4499 = add(_T_4498, _T_4469) @[exu_mul_ctl.scala 137:112] + node _T_4500 = add(_T_4499, _T_4470) @[exu_mul_ctl.scala 137:112] + node _T_4501 = add(_T_4500, _T_4471) @[exu_mul_ctl.scala 137:112] + node _T_4502 = add(_T_4501, _T_4472) @[exu_mul_ctl.scala 137:112] + node _T_4503 = add(_T_4502, _T_4473) @[exu_mul_ctl.scala 137:112] + node _T_4504 = add(_T_4503, _T_4474) @[exu_mul_ctl.scala 137:112] + node _T_4505 = add(_T_4504, _T_4475) @[exu_mul_ctl.scala 137:112] + node _T_4506 = add(_T_4505, _T_4476) @[exu_mul_ctl.scala 137:112] + node _T_4507 = add(_T_4506, _T_4477) @[exu_mul_ctl.scala 137:112] + node _T_4508 = add(_T_4507, _T_4478) @[exu_mul_ctl.scala 137:112] + node _T_4509 = add(_T_4508, _T_4479) @[exu_mul_ctl.scala 137:112] + node _T_4510 = add(_T_4509, _T_4480) @[exu_mul_ctl.scala 137:112] + node _T_4511 = add(_T_4510, _T_4481) @[exu_mul_ctl.scala 137:112] + node _T_4512 = add(_T_4511, _T_4482) @[exu_mul_ctl.scala 137:112] + node _T_4513 = add(_T_4512, _T_4483) @[exu_mul_ctl.scala 137:112] + node _T_4514 = add(_T_4513, _T_4484) @[exu_mul_ctl.scala 137:112] + node _T_4515 = add(_T_4514, _T_4485) @[exu_mul_ctl.scala 137:112] + node _T_4516 = add(_T_4515, _T_4486) @[exu_mul_ctl.scala 137:112] + node _T_4517 = add(_T_4516, _T_4487) @[exu_mul_ctl.scala 137:112] + node _T_4518 = add(_T_4517, _T_4488) @[exu_mul_ctl.scala 137:112] + node _T_4519 = add(_T_4518, _T_4489) @[exu_mul_ctl.scala 137:112] + node _T_4520 = add(_T_4519, _T_4490) @[exu_mul_ctl.scala 137:112] + node _T_4521 = add(_T_4520, _T_4491) @[exu_mul_ctl.scala 137:112] + node _T_4522 = eq(_T_4521, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4523 = bits(_T_4522, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4524 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_4525 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4526 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4527 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4528 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4529 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4530 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4531 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4532 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4533 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4534 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4535 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4536 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4537 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4538 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4539 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4540 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4541 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4542 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4543 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_4544 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_4545 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_4546 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_4547 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_4548 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_4549 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_4550 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_4551 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_4552 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_4553 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_4554 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_4555 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_4556 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_4557 = add(_T_4525, _T_4526) @[exu_mul_ctl.scala 137:112] + node _T_4558 = add(_T_4557, _T_4527) @[exu_mul_ctl.scala 137:112] + node _T_4559 = add(_T_4558, _T_4528) @[exu_mul_ctl.scala 137:112] + node _T_4560 = add(_T_4559, _T_4529) @[exu_mul_ctl.scala 137:112] + node _T_4561 = add(_T_4560, _T_4530) @[exu_mul_ctl.scala 137:112] + node _T_4562 = add(_T_4561, _T_4531) @[exu_mul_ctl.scala 137:112] + node _T_4563 = add(_T_4562, _T_4532) @[exu_mul_ctl.scala 137:112] + node _T_4564 = add(_T_4563, _T_4533) @[exu_mul_ctl.scala 137:112] + node _T_4565 = add(_T_4564, _T_4534) @[exu_mul_ctl.scala 137:112] + node _T_4566 = add(_T_4565, _T_4535) @[exu_mul_ctl.scala 137:112] + node _T_4567 = add(_T_4566, _T_4536) @[exu_mul_ctl.scala 137:112] + node _T_4568 = add(_T_4567, _T_4537) @[exu_mul_ctl.scala 137:112] + node _T_4569 = add(_T_4568, _T_4538) @[exu_mul_ctl.scala 137:112] + node _T_4570 = add(_T_4569, _T_4539) @[exu_mul_ctl.scala 137:112] + node _T_4571 = add(_T_4570, _T_4540) @[exu_mul_ctl.scala 137:112] + node _T_4572 = add(_T_4571, _T_4541) @[exu_mul_ctl.scala 137:112] + node _T_4573 = add(_T_4572, _T_4542) @[exu_mul_ctl.scala 137:112] + node _T_4574 = add(_T_4573, _T_4543) @[exu_mul_ctl.scala 137:112] + node _T_4575 = add(_T_4574, _T_4544) @[exu_mul_ctl.scala 137:112] + node _T_4576 = add(_T_4575, _T_4545) @[exu_mul_ctl.scala 137:112] + node _T_4577 = add(_T_4576, _T_4546) @[exu_mul_ctl.scala 137:112] + node _T_4578 = add(_T_4577, _T_4547) @[exu_mul_ctl.scala 137:112] + node _T_4579 = add(_T_4578, _T_4548) @[exu_mul_ctl.scala 137:112] + node _T_4580 = add(_T_4579, _T_4549) @[exu_mul_ctl.scala 137:112] + node _T_4581 = add(_T_4580, _T_4550) @[exu_mul_ctl.scala 137:112] + node _T_4582 = add(_T_4581, _T_4551) @[exu_mul_ctl.scala 137:112] + node _T_4583 = add(_T_4582, _T_4552) @[exu_mul_ctl.scala 137:112] + node _T_4584 = add(_T_4583, _T_4553) @[exu_mul_ctl.scala 137:112] + node _T_4585 = add(_T_4584, _T_4554) @[exu_mul_ctl.scala 137:112] + node _T_4586 = add(_T_4585, _T_4555) @[exu_mul_ctl.scala 137:112] + node _T_4587 = add(_T_4586, _T_4556) @[exu_mul_ctl.scala 137:112] + node _T_4588 = eq(_T_4587, UInt<3>("h04")) @[exu_mul_ctl.scala 138:87] + node _T_4589 = bits(_T_4588, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4590 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_4591 = mux(_T_4589, _T_4590, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_4592 = mux(_T_4523, _T_4524, _T_4591) @[Mux.scala 98:16] + node _T_4593 = mux(_T_4459, _T_4460, _T_4592) @[Mux.scala 98:16] + node _T_4594 = mux(_T_4397, _T_4398, _T_4593) @[Mux.scala 98:16] + node _T_4595 = mux(_T_4337, _T_4338, _T_4594) @[Mux.scala 98:16] + node _T_4596 = mux(_T_4279, _T_4280, _T_4595) @[Mux.scala 98:16] + node _T_4597 = mux(_T_4223, _T_4224, _T_4596) @[Mux.scala 98:16] + node _T_4598 = mux(_T_4169, _T_4170, _T_4597) @[Mux.scala 98:16] + node _T_4599 = mux(_T_4117, _T_4118, _T_4598) @[Mux.scala 98:16] + node _T_4600 = mux(_T_4067, _T_4068, _T_4599) @[Mux.scala 98:16] + node _T_4601 = mux(_T_4019, _T_4020, _T_4600) @[Mux.scala 98:16] + node _T_4602 = mux(_T_3973, _T_3974, _T_4601) @[Mux.scala 98:16] + node _T_4603 = mux(_T_3929, _T_3930, _T_4602) @[Mux.scala 98:16] + node _T_4604 = mux(_T_3887, _T_3888, _T_4603) @[Mux.scala 98:16] + node _T_4605 = mux(_T_3847, _T_3848, _T_4604) @[Mux.scala 98:16] + node _T_4606 = mux(_T_3809, _T_3810, _T_4605) @[Mux.scala 98:16] + node _T_4607 = mux(_T_3773, _T_3774, _T_4606) @[Mux.scala 98:16] + node _T_4608 = mux(_T_3739, _T_3740, _T_4607) @[Mux.scala 98:16] + node _T_4609 = mux(_T_3707, _T_3708, _T_4608) @[Mux.scala 98:16] + node _T_4610 = mux(_T_3677, _T_3678, _T_4609) @[Mux.scala 98:16] + node _T_4611 = mux(_T_3649, _T_3650, _T_4610) @[Mux.scala 98:16] + node _T_4612 = mux(_T_3623, _T_3624, _T_4611) @[Mux.scala 98:16] + node _T_4613 = mux(_T_3599, _T_3600, _T_4612) @[Mux.scala 98:16] + node _T_4614 = mux(_T_3577, _T_3578, _T_4613) @[Mux.scala 98:16] + node _T_4615 = mux(_T_3557, _T_3558, _T_4614) @[Mux.scala 98:16] + node _T_4616 = mux(_T_3539, _T_3540, _T_4615) @[Mux.scala 98:16] + node _T_4617 = mux(_T_3523, _T_3524, _T_4616) @[Mux.scala 98:16] + node _T_4618 = mux(_T_3509, _T_3510, _T_4617) @[Mux.scala 98:16] + node _T_4619 = mux(_T_3497, _T_3498, _T_4618) @[Mux.scala 98:16] + node _T_4620 = mux(_T_3487, _T_3488, _T_4619) @[Mux.scala 98:16] + node _T_4621 = mux(_T_3479, _T_3480, _T_4620) @[Mux.scala 98:16] + node _T_4622 = mux(_T_3473, _T_3474, _T_4621) @[Mux.scala 98:16] + node _T_4623 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_4624 = eq(_T_4623, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4625 = bits(_T_4624, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4626 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_4627 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4628 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4629 = add(_T_4627, _T_4628) @[exu_mul_ctl.scala 137:112] + node _T_4630 = eq(_T_4629, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4631 = bits(_T_4630, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4632 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_4633 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4634 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4635 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4636 = add(_T_4633, _T_4634) @[exu_mul_ctl.scala 137:112] + node _T_4637 = add(_T_4636, _T_4635) @[exu_mul_ctl.scala 137:112] + node _T_4638 = eq(_T_4637, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4639 = bits(_T_4638, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4640 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_4641 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4642 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4643 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4644 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4645 = add(_T_4641, _T_4642) @[exu_mul_ctl.scala 137:112] + node _T_4646 = add(_T_4645, _T_4643) @[exu_mul_ctl.scala 137:112] + node _T_4647 = add(_T_4646, _T_4644) @[exu_mul_ctl.scala 137:112] + node _T_4648 = eq(_T_4647, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4649 = bits(_T_4648, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4650 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_4651 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4652 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4653 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4654 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4655 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4656 = add(_T_4651, _T_4652) @[exu_mul_ctl.scala 137:112] + node _T_4657 = add(_T_4656, _T_4653) @[exu_mul_ctl.scala 137:112] + node _T_4658 = add(_T_4657, _T_4654) @[exu_mul_ctl.scala 137:112] + node _T_4659 = add(_T_4658, _T_4655) @[exu_mul_ctl.scala 137:112] + node _T_4660 = eq(_T_4659, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4661 = bits(_T_4660, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4662 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_4663 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4664 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4665 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4666 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4667 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4668 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4669 = add(_T_4663, _T_4664) @[exu_mul_ctl.scala 137:112] + node _T_4670 = add(_T_4669, _T_4665) @[exu_mul_ctl.scala 137:112] + node _T_4671 = add(_T_4670, _T_4666) @[exu_mul_ctl.scala 137:112] + node _T_4672 = add(_T_4671, _T_4667) @[exu_mul_ctl.scala 137:112] + node _T_4673 = add(_T_4672, _T_4668) @[exu_mul_ctl.scala 137:112] + node _T_4674 = eq(_T_4673, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4675 = bits(_T_4674, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4676 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_4677 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4678 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4679 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4680 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4681 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4682 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4683 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4684 = add(_T_4677, _T_4678) @[exu_mul_ctl.scala 137:112] + node _T_4685 = add(_T_4684, _T_4679) @[exu_mul_ctl.scala 137:112] + node _T_4686 = add(_T_4685, _T_4680) @[exu_mul_ctl.scala 137:112] + node _T_4687 = add(_T_4686, _T_4681) @[exu_mul_ctl.scala 137:112] + node _T_4688 = add(_T_4687, _T_4682) @[exu_mul_ctl.scala 137:112] + node _T_4689 = add(_T_4688, _T_4683) @[exu_mul_ctl.scala 137:112] + node _T_4690 = eq(_T_4689, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4691 = bits(_T_4690, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4692 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_4693 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4694 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4695 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4696 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4697 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4698 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4699 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4700 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4701 = add(_T_4693, _T_4694) @[exu_mul_ctl.scala 137:112] + node _T_4702 = add(_T_4701, _T_4695) @[exu_mul_ctl.scala 137:112] + node _T_4703 = add(_T_4702, _T_4696) @[exu_mul_ctl.scala 137:112] + node _T_4704 = add(_T_4703, _T_4697) @[exu_mul_ctl.scala 137:112] + node _T_4705 = add(_T_4704, _T_4698) @[exu_mul_ctl.scala 137:112] + node _T_4706 = add(_T_4705, _T_4699) @[exu_mul_ctl.scala 137:112] + node _T_4707 = add(_T_4706, _T_4700) @[exu_mul_ctl.scala 137:112] + node _T_4708 = eq(_T_4707, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4709 = bits(_T_4708, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4710 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_4711 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4712 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4713 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4714 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4715 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4716 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4717 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4718 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4719 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4720 = add(_T_4711, _T_4712) @[exu_mul_ctl.scala 137:112] + node _T_4721 = add(_T_4720, _T_4713) @[exu_mul_ctl.scala 137:112] + node _T_4722 = add(_T_4721, _T_4714) @[exu_mul_ctl.scala 137:112] + node _T_4723 = add(_T_4722, _T_4715) @[exu_mul_ctl.scala 137:112] + node _T_4724 = add(_T_4723, _T_4716) @[exu_mul_ctl.scala 137:112] + node _T_4725 = add(_T_4724, _T_4717) @[exu_mul_ctl.scala 137:112] + node _T_4726 = add(_T_4725, _T_4718) @[exu_mul_ctl.scala 137:112] + node _T_4727 = add(_T_4726, _T_4719) @[exu_mul_ctl.scala 137:112] + node _T_4728 = eq(_T_4727, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4729 = bits(_T_4728, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4730 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_4731 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4732 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4733 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4734 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4735 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4736 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4737 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4738 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4739 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4740 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4741 = add(_T_4731, _T_4732) @[exu_mul_ctl.scala 137:112] + node _T_4742 = add(_T_4741, _T_4733) @[exu_mul_ctl.scala 137:112] + node _T_4743 = add(_T_4742, _T_4734) @[exu_mul_ctl.scala 137:112] + node _T_4744 = add(_T_4743, _T_4735) @[exu_mul_ctl.scala 137:112] + node _T_4745 = add(_T_4744, _T_4736) @[exu_mul_ctl.scala 137:112] + node _T_4746 = add(_T_4745, _T_4737) @[exu_mul_ctl.scala 137:112] + node _T_4747 = add(_T_4746, _T_4738) @[exu_mul_ctl.scala 137:112] + node _T_4748 = add(_T_4747, _T_4739) @[exu_mul_ctl.scala 137:112] + node _T_4749 = add(_T_4748, _T_4740) @[exu_mul_ctl.scala 137:112] + node _T_4750 = eq(_T_4749, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4751 = bits(_T_4750, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4752 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_4753 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4754 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4755 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4756 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4757 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4758 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4759 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4760 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4761 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4762 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4763 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4764 = add(_T_4753, _T_4754) @[exu_mul_ctl.scala 137:112] + node _T_4765 = add(_T_4764, _T_4755) @[exu_mul_ctl.scala 137:112] + node _T_4766 = add(_T_4765, _T_4756) @[exu_mul_ctl.scala 137:112] + node _T_4767 = add(_T_4766, _T_4757) @[exu_mul_ctl.scala 137:112] + node _T_4768 = add(_T_4767, _T_4758) @[exu_mul_ctl.scala 137:112] + node _T_4769 = add(_T_4768, _T_4759) @[exu_mul_ctl.scala 137:112] + node _T_4770 = add(_T_4769, _T_4760) @[exu_mul_ctl.scala 137:112] + node _T_4771 = add(_T_4770, _T_4761) @[exu_mul_ctl.scala 137:112] + node _T_4772 = add(_T_4771, _T_4762) @[exu_mul_ctl.scala 137:112] + node _T_4773 = add(_T_4772, _T_4763) @[exu_mul_ctl.scala 137:112] + node _T_4774 = eq(_T_4773, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4775 = bits(_T_4774, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4776 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_4777 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4778 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4779 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4780 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4781 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4782 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4783 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4784 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4785 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4786 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4787 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4788 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4789 = add(_T_4777, _T_4778) @[exu_mul_ctl.scala 137:112] + node _T_4790 = add(_T_4789, _T_4779) @[exu_mul_ctl.scala 137:112] + node _T_4791 = add(_T_4790, _T_4780) @[exu_mul_ctl.scala 137:112] + node _T_4792 = add(_T_4791, _T_4781) @[exu_mul_ctl.scala 137:112] + node _T_4793 = add(_T_4792, _T_4782) @[exu_mul_ctl.scala 137:112] + node _T_4794 = add(_T_4793, _T_4783) @[exu_mul_ctl.scala 137:112] + node _T_4795 = add(_T_4794, _T_4784) @[exu_mul_ctl.scala 137:112] + node _T_4796 = add(_T_4795, _T_4785) @[exu_mul_ctl.scala 137:112] + node _T_4797 = add(_T_4796, _T_4786) @[exu_mul_ctl.scala 137:112] + node _T_4798 = add(_T_4797, _T_4787) @[exu_mul_ctl.scala 137:112] + node _T_4799 = add(_T_4798, _T_4788) @[exu_mul_ctl.scala 137:112] + node _T_4800 = eq(_T_4799, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4801 = bits(_T_4800, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4802 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_4803 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4804 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4805 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4806 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4807 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4808 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4809 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4810 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4811 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4812 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4813 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4814 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4815 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4816 = add(_T_4803, _T_4804) @[exu_mul_ctl.scala 137:112] + node _T_4817 = add(_T_4816, _T_4805) @[exu_mul_ctl.scala 137:112] + node _T_4818 = add(_T_4817, _T_4806) @[exu_mul_ctl.scala 137:112] + node _T_4819 = add(_T_4818, _T_4807) @[exu_mul_ctl.scala 137:112] + node _T_4820 = add(_T_4819, _T_4808) @[exu_mul_ctl.scala 137:112] + node _T_4821 = add(_T_4820, _T_4809) @[exu_mul_ctl.scala 137:112] + node _T_4822 = add(_T_4821, _T_4810) @[exu_mul_ctl.scala 137:112] + node _T_4823 = add(_T_4822, _T_4811) @[exu_mul_ctl.scala 137:112] + node _T_4824 = add(_T_4823, _T_4812) @[exu_mul_ctl.scala 137:112] + node _T_4825 = add(_T_4824, _T_4813) @[exu_mul_ctl.scala 137:112] + node _T_4826 = add(_T_4825, _T_4814) @[exu_mul_ctl.scala 137:112] + node _T_4827 = add(_T_4826, _T_4815) @[exu_mul_ctl.scala 137:112] + node _T_4828 = eq(_T_4827, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4829 = bits(_T_4828, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4830 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_4831 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4832 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4833 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4834 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4835 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4836 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4837 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4838 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4839 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4840 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4841 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4842 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4843 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4844 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4845 = add(_T_4831, _T_4832) @[exu_mul_ctl.scala 137:112] + node _T_4846 = add(_T_4845, _T_4833) @[exu_mul_ctl.scala 137:112] + node _T_4847 = add(_T_4846, _T_4834) @[exu_mul_ctl.scala 137:112] + node _T_4848 = add(_T_4847, _T_4835) @[exu_mul_ctl.scala 137:112] + node _T_4849 = add(_T_4848, _T_4836) @[exu_mul_ctl.scala 137:112] + node _T_4850 = add(_T_4849, _T_4837) @[exu_mul_ctl.scala 137:112] + node _T_4851 = add(_T_4850, _T_4838) @[exu_mul_ctl.scala 137:112] + node _T_4852 = add(_T_4851, _T_4839) @[exu_mul_ctl.scala 137:112] + node _T_4853 = add(_T_4852, _T_4840) @[exu_mul_ctl.scala 137:112] + node _T_4854 = add(_T_4853, _T_4841) @[exu_mul_ctl.scala 137:112] + node _T_4855 = add(_T_4854, _T_4842) @[exu_mul_ctl.scala 137:112] + node _T_4856 = add(_T_4855, _T_4843) @[exu_mul_ctl.scala 137:112] + node _T_4857 = add(_T_4856, _T_4844) @[exu_mul_ctl.scala 137:112] + node _T_4858 = eq(_T_4857, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4859 = bits(_T_4858, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4860 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_4861 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4862 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4863 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4864 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4865 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4866 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4867 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4868 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4869 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4870 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4871 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4872 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4873 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4874 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4875 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4876 = add(_T_4861, _T_4862) @[exu_mul_ctl.scala 137:112] + node _T_4877 = add(_T_4876, _T_4863) @[exu_mul_ctl.scala 137:112] + node _T_4878 = add(_T_4877, _T_4864) @[exu_mul_ctl.scala 137:112] + node _T_4879 = add(_T_4878, _T_4865) @[exu_mul_ctl.scala 137:112] + node _T_4880 = add(_T_4879, _T_4866) @[exu_mul_ctl.scala 137:112] + node _T_4881 = add(_T_4880, _T_4867) @[exu_mul_ctl.scala 137:112] + node _T_4882 = add(_T_4881, _T_4868) @[exu_mul_ctl.scala 137:112] + node _T_4883 = add(_T_4882, _T_4869) @[exu_mul_ctl.scala 137:112] + node _T_4884 = add(_T_4883, _T_4870) @[exu_mul_ctl.scala 137:112] + node _T_4885 = add(_T_4884, _T_4871) @[exu_mul_ctl.scala 137:112] + node _T_4886 = add(_T_4885, _T_4872) @[exu_mul_ctl.scala 137:112] + node _T_4887 = add(_T_4886, _T_4873) @[exu_mul_ctl.scala 137:112] + node _T_4888 = add(_T_4887, _T_4874) @[exu_mul_ctl.scala 137:112] + node _T_4889 = add(_T_4888, _T_4875) @[exu_mul_ctl.scala 137:112] + node _T_4890 = eq(_T_4889, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4891 = bits(_T_4890, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4892 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_4893 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4894 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4895 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4896 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4897 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4898 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4899 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4900 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4901 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4902 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4903 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4904 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4905 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4906 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4907 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4908 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4909 = add(_T_4893, _T_4894) @[exu_mul_ctl.scala 137:112] + node _T_4910 = add(_T_4909, _T_4895) @[exu_mul_ctl.scala 137:112] + node _T_4911 = add(_T_4910, _T_4896) @[exu_mul_ctl.scala 137:112] + node _T_4912 = add(_T_4911, _T_4897) @[exu_mul_ctl.scala 137:112] + node _T_4913 = add(_T_4912, _T_4898) @[exu_mul_ctl.scala 137:112] + node _T_4914 = add(_T_4913, _T_4899) @[exu_mul_ctl.scala 137:112] + node _T_4915 = add(_T_4914, _T_4900) @[exu_mul_ctl.scala 137:112] + node _T_4916 = add(_T_4915, _T_4901) @[exu_mul_ctl.scala 137:112] + node _T_4917 = add(_T_4916, _T_4902) @[exu_mul_ctl.scala 137:112] + node _T_4918 = add(_T_4917, _T_4903) @[exu_mul_ctl.scala 137:112] + node _T_4919 = add(_T_4918, _T_4904) @[exu_mul_ctl.scala 137:112] + node _T_4920 = add(_T_4919, _T_4905) @[exu_mul_ctl.scala 137:112] + node _T_4921 = add(_T_4920, _T_4906) @[exu_mul_ctl.scala 137:112] + node _T_4922 = add(_T_4921, _T_4907) @[exu_mul_ctl.scala 137:112] + node _T_4923 = add(_T_4922, _T_4908) @[exu_mul_ctl.scala 137:112] + node _T_4924 = eq(_T_4923, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4925 = bits(_T_4924, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4926 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_4927 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4928 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4929 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4930 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4931 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4932 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4933 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4934 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4935 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4936 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4937 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4938 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4939 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4940 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4941 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4942 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4943 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4944 = add(_T_4927, _T_4928) @[exu_mul_ctl.scala 137:112] + node _T_4945 = add(_T_4944, _T_4929) @[exu_mul_ctl.scala 137:112] + node _T_4946 = add(_T_4945, _T_4930) @[exu_mul_ctl.scala 137:112] + node _T_4947 = add(_T_4946, _T_4931) @[exu_mul_ctl.scala 137:112] + node _T_4948 = add(_T_4947, _T_4932) @[exu_mul_ctl.scala 137:112] + node _T_4949 = add(_T_4948, _T_4933) @[exu_mul_ctl.scala 137:112] + node _T_4950 = add(_T_4949, _T_4934) @[exu_mul_ctl.scala 137:112] + node _T_4951 = add(_T_4950, _T_4935) @[exu_mul_ctl.scala 137:112] + node _T_4952 = add(_T_4951, _T_4936) @[exu_mul_ctl.scala 137:112] + node _T_4953 = add(_T_4952, _T_4937) @[exu_mul_ctl.scala 137:112] + node _T_4954 = add(_T_4953, _T_4938) @[exu_mul_ctl.scala 137:112] + node _T_4955 = add(_T_4954, _T_4939) @[exu_mul_ctl.scala 137:112] + node _T_4956 = add(_T_4955, _T_4940) @[exu_mul_ctl.scala 137:112] + node _T_4957 = add(_T_4956, _T_4941) @[exu_mul_ctl.scala 137:112] + node _T_4958 = add(_T_4957, _T_4942) @[exu_mul_ctl.scala 137:112] + node _T_4959 = add(_T_4958, _T_4943) @[exu_mul_ctl.scala 137:112] + node _T_4960 = eq(_T_4959, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4961 = bits(_T_4960, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_4962 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_4963 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_4964 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_4965 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_4966 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_4967 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_4968 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_4969 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_4970 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_4971 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_4972 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_4973 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_4974 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_4975 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_4976 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_4977 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_4978 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_4979 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_4980 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_4981 = add(_T_4963, _T_4964) @[exu_mul_ctl.scala 137:112] + node _T_4982 = add(_T_4981, _T_4965) @[exu_mul_ctl.scala 137:112] + node _T_4983 = add(_T_4982, _T_4966) @[exu_mul_ctl.scala 137:112] + node _T_4984 = add(_T_4983, _T_4967) @[exu_mul_ctl.scala 137:112] + node _T_4985 = add(_T_4984, _T_4968) @[exu_mul_ctl.scala 137:112] + node _T_4986 = add(_T_4985, _T_4969) @[exu_mul_ctl.scala 137:112] + node _T_4987 = add(_T_4986, _T_4970) @[exu_mul_ctl.scala 137:112] + node _T_4988 = add(_T_4987, _T_4971) @[exu_mul_ctl.scala 137:112] + node _T_4989 = add(_T_4988, _T_4972) @[exu_mul_ctl.scala 137:112] + node _T_4990 = add(_T_4989, _T_4973) @[exu_mul_ctl.scala 137:112] + node _T_4991 = add(_T_4990, _T_4974) @[exu_mul_ctl.scala 137:112] + node _T_4992 = add(_T_4991, _T_4975) @[exu_mul_ctl.scala 137:112] + node _T_4993 = add(_T_4992, _T_4976) @[exu_mul_ctl.scala 137:112] + node _T_4994 = add(_T_4993, _T_4977) @[exu_mul_ctl.scala 137:112] + node _T_4995 = add(_T_4994, _T_4978) @[exu_mul_ctl.scala 137:112] + node _T_4996 = add(_T_4995, _T_4979) @[exu_mul_ctl.scala 137:112] + node _T_4997 = add(_T_4996, _T_4980) @[exu_mul_ctl.scala 137:112] + node _T_4998 = eq(_T_4997, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_4999 = bits(_T_4998, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5000 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_5001 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5002 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5003 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5004 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5005 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5006 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5007 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5008 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5009 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5010 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5011 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5012 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5013 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5014 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5015 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5016 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5017 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5018 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5019 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5020 = add(_T_5001, _T_5002) @[exu_mul_ctl.scala 137:112] + node _T_5021 = add(_T_5020, _T_5003) @[exu_mul_ctl.scala 137:112] + node _T_5022 = add(_T_5021, _T_5004) @[exu_mul_ctl.scala 137:112] + node _T_5023 = add(_T_5022, _T_5005) @[exu_mul_ctl.scala 137:112] + node _T_5024 = add(_T_5023, _T_5006) @[exu_mul_ctl.scala 137:112] + node _T_5025 = add(_T_5024, _T_5007) @[exu_mul_ctl.scala 137:112] + node _T_5026 = add(_T_5025, _T_5008) @[exu_mul_ctl.scala 137:112] + node _T_5027 = add(_T_5026, _T_5009) @[exu_mul_ctl.scala 137:112] + node _T_5028 = add(_T_5027, _T_5010) @[exu_mul_ctl.scala 137:112] + node _T_5029 = add(_T_5028, _T_5011) @[exu_mul_ctl.scala 137:112] + node _T_5030 = add(_T_5029, _T_5012) @[exu_mul_ctl.scala 137:112] + node _T_5031 = add(_T_5030, _T_5013) @[exu_mul_ctl.scala 137:112] + node _T_5032 = add(_T_5031, _T_5014) @[exu_mul_ctl.scala 137:112] + node _T_5033 = add(_T_5032, _T_5015) @[exu_mul_ctl.scala 137:112] + node _T_5034 = add(_T_5033, _T_5016) @[exu_mul_ctl.scala 137:112] + node _T_5035 = add(_T_5034, _T_5017) @[exu_mul_ctl.scala 137:112] + node _T_5036 = add(_T_5035, _T_5018) @[exu_mul_ctl.scala 137:112] + node _T_5037 = add(_T_5036, _T_5019) @[exu_mul_ctl.scala 137:112] + node _T_5038 = eq(_T_5037, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5039 = bits(_T_5038, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5040 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_5041 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5042 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5043 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5044 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5045 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5046 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5047 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5048 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5049 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5050 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5051 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5052 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5053 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5054 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5055 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5056 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5057 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5058 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5059 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5060 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5061 = add(_T_5041, _T_5042) @[exu_mul_ctl.scala 137:112] + node _T_5062 = add(_T_5061, _T_5043) @[exu_mul_ctl.scala 137:112] + node _T_5063 = add(_T_5062, _T_5044) @[exu_mul_ctl.scala 137:112] + node _T_5064 = add(_T_5063, _T_5045) @[exu_mul_ctl.scala 137:112] + node _T_5065 = add(_T_5064, _T_5046) @[exu_mul_ctl.scala 137:112] + node _T_5066 = add(_T_5065, _T_5047) @[exu_mul_ctl.scala 137:112] + node _T_5067 = add(_T_5066, _T_5048) @[exu_mul_ctl.scala 137:112] + node _T_5068 = add(_T_5067, _T_5049) @[exu_mul_ctl.scala 137:112] + node _T_5069 = add(_T_5068, _T_5050) @[exu_mul_ctl.scala 137:112] + node _T_5070 = add(_T_5069, _T_5051) @[exu_mul_ctl.scala 137:112] + node _T_5071 = add(_T_5070, _T_5052) @[exu_mul_ctl.scala 137:112] + node _T_5072 = add(_T_5071, _T_5053) @[exu_mul_ctl.scala 137:112] + node _T_5073 = add(_T_5072, _T_5054) @[exu_mul_ctl.scala 137:112] + node _T_5074 = add(_T_5073, _T_5055) @[exu_mul_ctl.scala 137:112] + node _T_5075 = add(_T_5074, _T_5056) @[exu_mul_ctl.scala 137:112] + node _T_5076 = add(_T_5075, _T_5057) @[exu_mul_ctl.scala 137:112] + node _T_5077 = add(_T_5076, _T_5058) @[exu_mul_ctl.scala 137:112] + node _T_5078 = add(_T_5077, _T_5059) @[exu_mul_ctl.scala 137:112] + node _T_5079 = add(_T_5078, _T_5060) @[exu_mul_ctl.scala 137:112] + node _T_5080 = eq(_T_5079, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5081 = bits(_T_5080, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5082 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_5083 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5084 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5085 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5086 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5087 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5088 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5089 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5090 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5091 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5092 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5093 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5094 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5095 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5096 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5097 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5098 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5099 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5100 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5101 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5102 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5103 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5104 = add(_T_5083, _T_5084) @[exu_mul_ctl.scala 137:112] + node _T_5105 = add(_T_5104, _T_5085) @[exu_mul_ctl.scala 137:112] + node _T_5106 = add(_T_5105, _T_5086) @[exu_mul_ctl.scala 137:112] + node _T_5107 = add(_T_5106, _T_5087) @[exu_mul_ctl.scala 137:112] + node _T_5108 = add(_T_5107, _T_5088) @[exu_mul_ctl.scala 137:112] + node _T_5109 = add(_T_5108, _T_5089) @[exu_mul_ctl.scala 137:112] + node _T_5110 = add(_T_5109, _T_5090) @[exu_mul_ctl.scala 137:112] + node _T_5111 = add(_T_5110, _T_5091) @[exu_mul_ctl.scala 137:112] + node _T_5112 = add(_T_5111, _T_5092) @[exu_mul_ctl.scala 137:112] + node _T_5113 = add(_T_5112, _T_5093) @[exu_mul_ctl.scala 137:112] + node _T_5114 = add(_T_5113, _T_5094) @[exu_mul_ctl.scala 137:112] + node _T_5115 = add(_T_5114, _T_5095) @[exu_mul_ctl.scala 137:112] + node _T_5116 = add(_T_5115, _T_5096) @[exu_mul_ctl.scala 137:112] + node _T_5117 = add(_T_5116, _T_5097) @[exu_mul_ctl.scala 137:112] + node _T_5118 = add(_T_5117, _T_5098) @[exu_mul_ctl.scala 137:112] + node _T_5119 = add(_T_5118, _T_5099) @[exu_mul_ctl.scala 137:112] + node _T_5120 = add(_T_5119, _T_5100) @[exu_mul_ctl.scala 137:112] + node _T_5121 = add(_T_5120, _T_5101) @[exu_mul_ctl.scala 137:112] + node _T_5122 = add(_T_5121, _T_5102) @[exu_mul_ctl.scala 137:112] + node _T_5123 = add(_T_5122, _T_5103) @[exu_mul_ctl.scala 137:112] + node _T_5124 = eq(_T_5123, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5125 = bits(_T_5124, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5126 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_5127 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5128 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5129 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5130 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5131 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5132 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5133 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5134 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5135 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5136 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5137 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5138 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5139 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5140 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5141 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5142 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5143 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5144 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5145 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5146 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5147 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5148 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5149 = add(_T_5127, _T_5128) @[exu_mul_ctl.scala 137:112] + node _T_5150 = add(_T_5149, _T_5129) @[exu_mul_ctl.scala 137:112] + node _T_5151 = add(_T_5150, _T_5130) @[exu_mul_ctl.scala 137:112] + node _T_5152 = add(_T_5151, _T_5131) @[exu_mul_ctl.scala 137:112] + node _T_5153 = add(_T_5152, _T_5132) @[exu_mul_ctl.scala 137:112] + node _T_5154 = add(_T_5153, _T_5133) @[exu_mul_ctl.scala 137:112] + node _T_5155 = add(_T_5154, _T_5134) @[exu_mul_ctl.scala 137:112] + node _T_5156 = add(_T_5155, _T_5135) @[exu_mul_ctl.scala 137:112] + node _T_5157 = add(_T_5156, _T_5136) @[exu_mul_ctl.scala 137:112] + node _T_5158 = add(_T_5157, _T_5137) @[exu_mul_ctl.scala 137:112] + node _T_5159 = add(_T_5158, _T_5138) @[exu_mul_ctl.scala 137:112] + node _T_5160 = add(_T_5159, _T_5139) @[exu_mul_ctl.scala 137:112] + node _T_5161 = add(_T_5160, _T_5140) @[exu_mul_ctl.scala 137:112] + node _T_5162 = add(_T_5161, _T_5141) @[exu_mul_ctl.scala 137:112] + node _T_5163 = add(_T_5162, _T_5142) @[exu_mul_ctl.scala 137:112] + node _T_5164 = add(_T_5163, _T_5143) @[exu_mul_ctl.scala 137:112] + node _T_5165 = add(_T_5164, _T_5144) @[exu_mul_ctl.scala 137:112] + node _T_5166 = add(_T_5165, _T_5145) @[exu_mul_ctl.scala 137:112] + node _T_5167 = add(_T_5166, _T_5146) @[exu_mul_ctl.scala 137:112] + node _T_5168 = add(_T_5167, _T_5147) @[exu_mul_ctl.scala 137:112] + node _T_5169 = add(_T_5168, _T_5148) @[exu_mul_ctl.scala 137:112] + node _T_5170 = eq(_T_5169, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5171 = bits(_T_5170, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5172 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_5173 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5174 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5175 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5176 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5177 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5178 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5179 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5180 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5181 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5182 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5183 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5184 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5185 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5186 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5187 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5188 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5189 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5190 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5191 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5192 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5193 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5194 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5195 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5196 = add(_T_5173, _T_5174) @[exu_mul_ctl.scala 137:112] + node _T_5197 = add(_T_5196, _T_5175) @[exu_mul_ctl.scala 137:112] + node _T_5198 = add(_T_5197, _T_5176) @[exu_mul_ctl.scala 137:112] + node _T_5199 = add(_T_5198, _T_5177) @[exu_mul_ctl.scala 137:112] + node _T_5200 = add(_T_5199, _T_5178) @[exu_mul_ctl.scala 137:112] + node _T_5201 = add(_T_5200, _T_5179) @[exu_mul_ctl.scala 137:112] + node _T_5202 = add(_T_5201, _T_5180) @[exu_mul_ctl.scala 137:112] + node _T_5203 = add(_T_5202, _T_5181) @[exu_mul_ctl.scala 137:112] + node _T_5204 = add(_T_5203, _T_5182) @[exu_mul_ctl.scala 137:112] + node _T_5205 = add(_T_5204, _T_5183) @[exu_mul_ctl.scala 137:112] + node _T_5206 = add(_T_5205, _T_5184) @[exu_mul_ctl.scala 137:112] + node _T_5207 = add(_T_5206, _T_5185) @[exu_mul_ctl.scala 137:112] + node _T_5208 = add(_T_5207, _T_5186) @[exu_mul_ctl.scala 137:112] + node _T_5209 = add(_T_5208, _T_5187) @[exu_mul_ctl.scala 137:112] + node _T_5210 = add(_T_5209, _T_5188) @[exu_mul_ctl.scala 137:112] + node _T_5211 = add(_T_5210, _T_5189) @[exu_mul_ctl.scala 137:112] + node _T_5212 = add(_T_5211, _T_5190) @[exu_mul_ctl.scala 137:112] + node _T_5213 = add(_T_5212, _T_5191) @[exu_mul_ctl.scala 137:112] + node _T_5214 = add(_T_5213, _T_5192) @[exu_mul_ctl.scala 137:112] + node _T_5215 = add(_T_5214, _T_5193) @[exu_mul_ctl.scala 137:112] + node _T_5216 = add(_T_5215, _T_5194) @[exu_mul_ctl.scala 137:112] + node _T_5217 = add(_T_5216, _T_5195) @[exu_mul_ctl.scala 137:112] + node _T_5218 = eq(_T_5217, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5219 = bits(_T_5218, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5220 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_5221 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5222 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5223 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5224 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5225 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5226 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5227 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5228 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5229 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5230 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5231 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5232 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5233 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5234 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5235 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5236 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5237 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5238 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5239 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5240 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5241 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5242 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5243 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5244 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5245 = add(_T_5221, _T_5222) @[exu_mul_ctl.scala 137:112] + node _T_5246 = add(_T_5245, _T_5223) @[exu_mul_ctl.scala 137:112] + node _T_5247 = add(_T_5246, _T_5224) @[exu_mul_ctl.scala 137:112] + node _T_5248 = add(_T_5247, _T_5225) @[exu_mul_ctl.scala 137:112] + node _T_5249 = add(_T_5248, _T_5226) @[exu_mul_ctl.scala 137:112] + node _T_5250 = add(_T_5249, _T_5227) @[exu_mul_ctl.scala 137:112] + node _T_5251 = add(_T_5250, _T_5228) @[exu_mul_ctl.scala 137:112] + node _T_5252 = add(_T_5251, _T_5229) @[exu_mul_ctl.scala 137:112] + node _T_5253 = add(_T_5252, _T_5230) @[exu_mul_ctl.scala 137:112] + node _T_5254 = add(_T_5253, _T_5231) @[exu_mul_ctl.scala 137:112] + node _T_5255 = add(_T_5254, _T_5232) @[exu_mul_ctl.scala 137:112] + node _T_5256 = add(_T_5255, _T_5233) @[exu_mul_ctl.scala 137:112] + node _T_5257 = add(_T_5256, _T_5234) @[exu_mul_ctl.scala 137:112] + node _T_5258 = add(_T_5257, _T_5235) @[exu_mul_ctl.scala 137:112] + node _T_5259 = add(_T_5258, _T_5236) @[exu_mul_ctl.scala 137:112] + node _T_5260 = add(_T_5259, _T_5237) @[exu_mul_ctl.scala 137:112] + node _T_5261 = add(_T_5260, _T_5238) @[exu_mul_ctl.scala 137:112] + node _T_5262 = add(_T_5261, _T_5239) @[exu_mul_ctl.scala 137:112] + node _T_5263 = add(_T_5262, _T_5240) @[exu_mul_ctl.scala 137:112] + node _T_5264 = add(_T_5263, _T_5241) @[exu_mul_ctl.scala 137:112] + node _T_5265 = add(_T_5264, _T_5242) @[exu_mul_ctl.scala 137:112] + node _T_5266 = add(_T_5265, _T_5243) @[exu_mul_ctl.scala 137:112] + node _T_5267 = add(_T_5266, _T_5244) @[exu_mul_ctl.scala 137:112] + node _T_5268 = eq(_T_5267, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5269 = bits(_T_5268, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5270 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_5271 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5272 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5273 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5274 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5275 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5276 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5277 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5278 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5279 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5280 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5281 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5282 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5283 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5284 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5285 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5286 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5287 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5288 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5289 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5290 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5291 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5292 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5293 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5294 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5295 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5296 = add(_T_5271, _T_5272) @[exu_mul_ctl.scala 137:112] + node _T_5297 = add(_T_5296, _T_5273) @[exu_mul_ctl.scala 137:112] + node _T_5298 = add(_T_5297, _T_5274) @[exu_mul_ctl.scala 137:112] + node _T_5299 = add(_T_5298, _T_5275) @[exu_mul_ctl.scala 137:112] + node _T_5300 = add(_T_5299, _T_5276) @[exu_mul_ctl.scala 137:112] + node _T_5301 = add(_T_5300, _T_5277) @[exu_mul_ctl.scala 137:112] + node _T_5302 = add(_T_5301, _T_5278) @[exu_mul_ctl.scala 137:112] + node _T_5303 = add(_T_5302, _T_5279) @[exu_mul_ctl.scala 137:112] + node _T_5304 = add(_T_5303, _T_5280) @[exu_mul_ctl.scala 137:112] + node _T_5305 = add(_T_5304, _T_5281) @[exu_mul_ctl.scala 137:112] + node _T_5306 = add(_T_5305, _T_5282) @[exu_mul_ctl.scala 137:112] + node _T_5307 = add(_T_5306, _T_5283) @[exu_mul_ctl.scala 137:112] + node _T_5308 = add(_T_5307, _T_5284) @[exu_mul_ctl.scala 137:112] + node _T_5309 = add(_T_5308, _T_5285) @[exu_mul_ctl.scala 137:112] + node _T_5310 = add(_T_5309, _T_5286) @[exu_mul_ctl.scala 137:112] + node _T_5311 = add(_T_5310, _T_5287) @[exu_mul_ctl.scala 137:112] + node _T_5312 = add(_T_5311, _T_5288) @[exu_mul_ctl.scala 137:112] + node _T_5313 = add(_T_5312, _T_5289) @[exu_mul_ctl.scala 137:112] + node _T_5314 = add(_T_5313, _T_5290) @[exu_mul_ctl.scala 137:112] + node _T_5315 = add(_T_5314, _T_5291) @[exu_mul_ctl.scala 137:112] + node _T_5316 = add(_T_5315, _T_5292) @[exu_mul_ctl.scala 137:112] + node _T_5317 = add(_T_5316, _T_5293) @[exu_mul_ctl.scala 137:112] + node _T_5318 = add(_T_5317, _T_5294) @[exu_mul_ctl.scala 137:112] + node _T_5319 = add(_T_5318, _T_5295) @[exu_mul_ctl.scala 137:112] + node _T_5320 = eq(_T_5319, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5321 = bits(_T_5320, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5322 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_5323 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5324 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5325 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5326 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5327 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5328 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5329 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5330 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5331 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5332 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5333 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5334 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5335 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5336 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5337 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5338 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5339 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5340 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5341 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5342 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5343 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5344 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5345 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5346 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5347 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5348 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5349 = add(_T_5323, _T_5324) @[exu_mul_ctl.scala 137:112] + node _T_5350 = add(_T_5349, _T_5325) @[exu_mul_ctl.scala 137:112] + node _T_5351 = add(_T_5350, _T_5326) @[exu_mul_ctl.scala 137:112] + node _T_5352 = add(_T_5351, _T_5327) @[exu_mul_ctl.scala 137:112] + node _T_5353 = add(_T_5352, _T_5328) @[exu_mul_ctl.scala 137:112] + node _T_5354 = add(_T_5353, _T_5329) @[exu_mul_ctl.scala 137:112] + node _T_5355 = add(_T_5354, _T_5330) @[exu_mul_ctl.scala 137:112] + node _T_5356 = add(_T_5355, _T_5331) @[exu_mul_ctl.scala 137:112] + node _T_5357 = add(_T_5356, _T_5332) @[exu_mul_ctl.scala 137:112] + node _T_5358 = add(_T_5357, _T_5333) @[exu_mul_ctl.scala 137:112] + node _T_5359 = add(_T_5358, _T_5334) @[exu_mul_ctl.scala 137:112] + node _T_5360 = add(_T_5359, _T_5335) @[exu_mul_ctl.scala 137:112] + node _T_5361 = add(_T_5360, _T_5336) @[exu_mul_ctl.scala 137:112] + node _T_5362 = add(_T_5361, _T_5337) @[exu_mul_ctl.scala 137:112] + node _T_5363 = add(_T_5362, _T_5338) @[exu_mul_ctl.scala 137:112] + node _T_5364 = add(_T_5363, _T_5339) @[exu_mul_ctl.scala 137:112] + node _T_5365 = add(_T_5364, _T_5340) @[exu_mul_ctl.scala 137:112] + node _T_5366 = add(_T_5365, _T_5341) @[exu_mul_ctl.scala 137:112] + node _T_5367 = add(_T_5366, _T_5342) @[exu_mul_ctl.scala 137:112] + node _T_5368 = add(_T_5367, _T_5343) @[exu_mul_ctl.scala 137:112] + node _T_5369 = add(_T_5368, _T_5344) @[exu_mul_ctl.scala 137:112] + node _T_5370 = add(_T_5369, _T_5345) @[exu_mul_ctl.scala 137:112] + node _T_5371 = add(_T_5370, _T_5346) @[exu_mul_ctl.scala 137:112] + node _T_5372 = add(_T_5371, _T_5347) @[exu_mul_ctl.scala 137:112] + node _T_5373 = add(_T_5372, _T_5348) @[exu_mul_ctl.scala 137:112] + node _T_5374 = eq(_T_5373, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5375 = bits(_T_5374, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5376 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_5377 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5378 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5379 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5380 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5381 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5382 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5383 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5384 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5385 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5386 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5387 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5388 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5389 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5390 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5391 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5392 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5393 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5394 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5395 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5396 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5397 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5398 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5399 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5400 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5401 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5402 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5403 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5404 = add(_T_5377, _T_5378) @[exu_mul_ctl.scala 137:112] + node _T_5405 = add(_T_5404, _T_5379) @[exu_mul_ctl.scala 137:112] + node _T_5406 = add(_T_5405, _T_5380) @[exu_mul_ctl.scala 137:112] + node _T_5407 = add(_T_5406, _T_5381) @[exu_mul_ctl.scala 137:112] + node _T_5408 = add(_T_5407, _T_5382) @[exu_mul_ctl.scala 137:112] + node _T_5409 = add(_T_5408, _T_5383) @[exu_mul_ctl.scala 137:112] + node _T_5410 = add(_T_5409, _T_5384) @[exu_mul_ctl.scala 137:112] + node _T_5411 = add(_T_5410, _T_5385) @[exu_mul_ctl.scala 137:112] + node _T_5412 = add(_T_5411, _T_5386) @[exu_mul_ctl.scala 137:112] + node _T_5413 = add(_T_5412, _T_5387) @[exu_mul_ctl.scala 137:112] + node _T_5414 = add(_T_5413, _T_5388) @[exu_mul_ctl.scala 137:112] + node _T_5415 = add(_T_5414, _T_5389) @[exu_mul_ctl.scala 137:112] + node _T_5416 = add(_T_5415, _T_5390) @[exu_mul_ctl.scala 137:112] + node _T_5417 = add(_T_5416, _T_5391) @[exu_mul_ctl.scala 137:112] + node _T_5418 = add(_T_5417, _T_5392) @[exu_mul_ctl.scala 137:112] + node _T_5419 = add(_T_5418, _T_5393) @[exu_mul_ctl.scala 137:112] + node _T_5420 = add(_T_5419, _T_5394) @[exu_mul_ctl.scala 137:112] + node _T_5421 = add(_T_5420, _T_5395) @[exu_mul_ctl.scala 137:112] + node _T_5422 = add(_T_5421, _T_5396) @[exu_mul_ctl.scala 137:112] + node _T_5423 = add(_T_5422, _T_5397) @[exu_mul_ctl.scala 137:112] + node _T_5424 = add(_T_5423, _T_5398) @[exu_mul_ctl.scala 137:112] + node _T_5425 = add(_T_5424, _T_5399) @[exu_mul_ctl.scala 137:112] + node _T_5426 = add(_T_5425, _T_5400) @[exu_mul_ctl.scala 137:112] + node _T_5427 = add(_T_5426, _T_5401) @[exu_mul_ctl.scala 137:112] + node _T_5428 = add(_T_5427, _T_5402) @[exu_mul_ctl.scala 137:112] + node _T_5429 = add(_T_5428, _T_5403) @[exu_mul_ctl.scala 137:112] + node _T_5430 = eq(_T_5429, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5431 = bits(_T_5430, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5432 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_5433 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5434 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5435 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5436 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5437 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5438 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5439 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5440 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5441 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5442 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5443 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5444 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5445 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5446 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5447 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5448 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5449 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5450 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5451 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5452 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5453 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5454 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5455 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5456 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5457 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5458 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5459 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5460 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_5461 = add(_T_5433, _T_5434) @[exu_mul_ctl.scala 137:112] + node _T_5462 = add(_T_5461, _T_5435) @[exu_mul_ctl.scala 137:112] + node _T_5463 = add(_T_5462, _T_5436) @[exu_mul_ctl.scala 137:112] + node _T_5464 = add(_T_5463, _T_5437) @[exu_mul_ctl.scala 137:112] + node _T_5465 = add(_T_5464, _T_5438) @[exu_mul_ctl.scala 137:112] + node _T_5466 = add(_T_5465, _T_5439) @[exu_mul_ctl.scala 137:112] + node _T_5467 = add(_T_5466, _T_5440) @[exu_mul_ctl.scala 137:112] + node _T_5468 = add(_T_5467, _T_5441) @[exu_mul_ctl.scala 137:112] + node _T_5469 = add(_T_5468, _T_5442) @[exu_mul_ctl.scala 137:112] + node _T_5470 = add(_T_5469, _T_5443) @[exu_mul_ctl.scala 137:112] + node _T_5471 = add(_T_5470, _T_5444) @[exu_mul_ctl.scala 137:112] + node _T_5472 = add(_T_5471, _T_5445) @[exu_mul_ctl.scala 137:112] + node _T_5473 = add(_T_5472, _T_5446) @[exu_mul_ctl.scala 137:112] + node _T_5474 = add(_T_5473, _T_5447) @[exu_mul_ctl.scala 137:112] + node _T_5475 = add(_T_5474, _T_5448) @[exu_mul_ctl.scala 137:112] + node _T_5476 = add(_T_5475, _T_5449) @[exu_mul_ctl.scala 137:112] + node _T_5477 = add(_T_5476, _T_5450) @[exu_mul_ctl.scala 137:112] + node _T_5478 = add(_T_5477, _T_5451) @[exu_mul_ctl.scala 137:112] + node _T_5479 = add(_T_5478, _T_5452) @[exu_mul_ctl.scala 137:112] + node _T_5480 = add(_T_5479, _T_5453) @[exu_mul_ctl.scala 137:112] + node _T_5481 = add(_T_5480, _T_5454) @[exu_mul_ctl.scala 137:112] + node _T_5482 = add(_T_5481, _T_5455) @[exu_mul_ctl.scala 137:112] + node _T_5483 = add(_T_5482, _T_5456) @[exu_mul_ctl.scala 137:112] + node _T_5484 = add(_T_5483, _T_5457) @[exu_mul_ctl.scala 137:112] + node _T_5485 = add(_T_5484, _T_5458) @[exu_mul_ctl.scala 137:112] + node _T_5486 = add(_T_5485, _T_5459) @[exu_mul_ctl.scala 137:112] + node _T_5487 = add(_T_5486, _T_5460) @[exu_mul_ctl.scala 137:112] + node _T_5488 = eq(_T_5487, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5489 = bits(_T_5488, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5490 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_5491 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5492 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5493 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5494 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5495 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5496 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5497 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5498 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5499 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5500 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5501 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5502 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5503 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5504 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5505 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5506 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5507 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5508 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5509 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5510 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5511 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5512 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5513 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5514 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5515 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5516 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5517 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5518 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_5519 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_5520 = add(_T_5491, _T_5492) @[exu_mul_ctl.scala 137:112] + node _T_5521 = add(_T_5520, _T_5493) @[exu_mul_ctl.scala 137:112] + node _T_5522 = add(_T_5521, _T_5494) @[exu_mul_ctl.scala 137:112] + node _T_5523 = add(_T_5522, _T_5495) @[exu_mul_ctl.scala 137:112] + node _T_5524 = add(_T_5523, _T_5496) @[exu_mul_ctl.scala 137:112] + node _T_5525 = add(_T_5524, _T_5497) @[exu_mul_ctl.scala 137:112] + node _T_5526 = add(_T_5525, _T_5498) @[exu_mul_ctl.scala 137:112] + node _T_5527 = add(_T_5526, _T_5499) @[exu_mul_ctl.scala 137:112] + node _T_5528 = add(_T_5527, _T_5500) @[exu_mul_ctl.scala 137:112] + node _T_5529 = add(_T_5528, _T_5501) @[exu_mul_ctl.scala 137:112] + node _T_5530 = add(_T_5529, _T_5502) @[exu_mul_ctl.scala 137:112] + node _T_5531 = add(_T_5530, _T_5503) @[exu_mul_ctl.scala 137:112] + node _T_5532 = add(_T_5531, _T_5504) @[exu_mul_ctl.scala 137:112] + node _T_5533 = add(_T_5532, _T_5505) @[exu_mul_ctl.scala 137:112] + node _T_5534 = add(_T_5533, _T_5506) @[exu_mul_ctl.scala 137:112] + node _T_5535 = add(_T_5534, _T_5507) @[exu_mul_ctl.scala 137:112] + node _T_5536 = add(_T_5535, _T_5508) @[exu_mul_ctl.scala 137:112] + node _T_5537 = add(_T_5536, _T_5509) @[exu_mul_ctl.scala 137:112] + node _T_5538 = add(_T_5537, _T_5510) @[exu_mul_ctl.scala 137:112] + node _T_5539 = add(_T_5538, _T_5511) @[exu_mul_ctl.scala 137:112] + node _T_5540 = add(_T_5539, _T_5512) @[exu_mul_ctl.scala 137:112] + node _T_5541 = add(_T_5540, _T_5513) @[exu_mul_ctl.scala 137:112] + node _T_5542 = add(_T_5541, _T_5514) @[exu_mul_ctl.scala 137:112] + node _T_5543 = add(_T_5542, _T_5515) @[exu_mul_ctl.scala 137:112] + node _T_5544 = add(_T_5543, _T_5516) @[exu_mul_ctl.scala 137:112] + node _T_5545 = add(_T_5544, _T_5517) @[exu_mul_ctl.scala 137:112] + node _T_5546 = add(_T_5545, _T_5518) @[exu_mul_ctl.scala 137:112] + node _T_5547 = add(_T_5546, _T_5519) @[exu_mul_ctl.scala 137:112] + node _T_5548 = eq(_T_5547, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5549 = bits(_T_5548, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5550 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_5551 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5552 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5553 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5554 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5555 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5556 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5557 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5558 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5559 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5560 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5561 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5562 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5563 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5564 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5565 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5566 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5567 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5568 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5569 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5570 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5571 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5572 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5573 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5574 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5575 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5576 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5577 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5578 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_5579 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_5580 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_5581 = add(_T_5551, _T_5552) @[exu_mul_ctl.scala 137:112] + node _T_5582 = add(_T_5581, _T_5553) @[exu_mul_ctl.scala 137:112] + node _T_5583 = add(_T_5582, _T_5554) @[exu_mul_ctl.scala 137:112] + node _T_5584 = add(_T_5583, _T_5555) @[exu_mul_ctl.scala 137:112] + node _T_5585 = add(_T_5584, _T_5556) @[exu_mul_ctl.scala 137:112] + node _T_5586 = add(_T_5585, _T_5557) @[exu_mul_ctl.scala 137:112] + node _T_5587 = add(_T_5586, _T_5558) @[exu_mul_ctl.scala 137:112] + node _T_5588 = add(_T_5587, _T_5559) @[exu_mul_ctl.scala 137:112] + node _T_5589 = add(_T_5588, _T_5560) @[exu_mul_ctl.scala 137:112] + node _T_5590 = add(_T_5589, _T_5561) @[exu_mul_ctl.scala 137:112] + node _T_5591 = add(_T_5590, _T_5562) @[exu_mul_ctl.scala 137:112] + node _T_5592 = add(_T_5591, _T_5563) @[exu_mul_ctl.scala 137:112] + node _T_5593 = add(_T_5592, _T_5564) @[exu_mul_ctl.scala 137:112] + node _T_5594 = add(_T_5593, _T_5565) @[exu_mul_ctl.scala 137:112] + node _T_5595 = add(_T_5594, _T_5566) @[exu_mul_ctl.scala 137:112] + node _T_5596 = add(_T_5595, _T_5567) @[exu_mul_ctl.scala 137:112] + node _T_5597 = add(_T_5596, _T_5568) @[exu_mul_ctl.scala 137:112] + node _T_5598 = add(_T_5597, _T_5569) @[exu_mul_ctl.scala 137:112] + node _T_5599 = add(_T_5598, _T_5570) @[exu_mul_ctl.scala 137:112] + node _T_5600 = add(_T_5599, _T_5571) @[exu_mul_ctl.scala 137:112] + node _T_5601 = add(_T_5600, _T_5572) @[exu_mul_ctl.scala 137:112] + node _T_5602 = add(_T_5601, _T_5573) @[exu_mul_ctl.scala 137:112] + node _T_5603 = add(_T_5602, _T_5574) @[exu_mul_ctl.scala 137:112] + node _T_5604 = add(_T_5603, _T_5575) @[exu_mul_ctl.scala 137:112] + node _T_5605 = add(_T_5604, _T_5576) @[exu_mul_ctl.scala 137:112] + node _T_5606 = add(_T_5605, _T_5577) @[exu_mul_ctl.scala 137:112] + node _T_5607 = add(_T_5606, _T_5578) @[exu_mul_ctl.scala 137:112] + node _T_5608 = add(_T_5607, _T_5579) @[exu_mul_ctl.scala 137:112] + node _T_5609 = add(_T_5608, _T_5580) @[exu_mul_ctl.scala 137:112] + node _T_5610 = eq(_T_5609, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5611 = bits(_T_5610, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5612 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_5613 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5614 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5615 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5616 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5617 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5618 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5619 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5620 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5621 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5622 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5623 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5624 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5625 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5626 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5627 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5628 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5629 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5630 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5631 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5632 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5633 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5634 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5635 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5636 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5637 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5638 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5639 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5640 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_5641 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_5642 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_5643 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_5644 = add(_T_5613, _T_5614) @[exu_mul_ctl.scala 137:112] + node _T_5645 = add(_T_5644, _T_5615) @[exu_mul_ctl.scala 137:112] + node _T_5646 = add(_T_5645, _T_5616) @[exu_mul_ctl.scala 137:112] + node _T_5647 = add(_T_5646, _T_5617) @[exu_mul_ctl.scala 137:112] + node _T_5648 = add(_T_5647, _T_5618) @[exu_mul_ctl.scala 137:112] + node _T_5649 = add(_T_5648, _T_5619) @[exu_mul_ctl.scala 137:112] + node _T_5650 = add(_T_5649, _T_5620) @[exu_mul_ctl.scala 137:112] + node _T_5651 = add(_T_5650, _T_5621) @[exu_mul_ctl.scala 137:112] + node _T_5652 = add(_T_5651, _T_5622) @[exu_mul_ctl.scala 137:112] + node _T_5653 = add(_T_5652, _T_5623) @[exu_mul_ctl.scala 137:112] + node _T_5654 = add(_T_5653, _T_5624) @[exu_mul_ctl.scala 137:112] + node _T_5655 = add(_T_5654, _T_5625) @[exu_mul_ctl.scala 137:112] + node _T_5656 = add(_T_5655, _T_5626) @[exu_mul_ctl.scala 137:112] + node _T_5657 = add(_T_5656, _T_5627) @[exu_mul_ctl.scala 137:112] + node _T_5658 = add(_T_5657, _T_5628) @[exu_mul_ctl.scala 137:112] + node _T_5659 = add(_T_5658, _T_5629) @[exu_mul_ctl.scala 137:112] + node _T_5660 = add(_T_5659, _T_5630) @[exu_mul_ctl.scala 137:112] + node _T_5661 = add(_T_5660, _T_5631) @[exu_mul_ctl.scala 137:112] + node _T_5662 = add(_T_5661, _T_5632) @[exu_mul_ctl.scala 137:112] + node _T_5663 = add(_T_5662, _T_5633) @[exu_mul_ctl.scala 137:112] + node _T_5664 = add(_T_5663, _T_5634) @[exu_mul_ctl.scala 137:112] + node _T_5665 = add(_T_5664, _T_5635) @[exu_mul_ctl.scala 137:112] + node _T_5666 = add(_T_5665, _T_5636) @[exu_mul_ctl.scala 137:112] + node _T_5667 = add(_T_5666, _T_5637) @[exu_mul_ctl.scala 137:112] + node _T_5668 = add(_T_5667, _T_5638) @[exu_mul_ctl.scala 137:112] + node _T_5669 = add(_T_5668, _T_5639) @[exu_mul_ctl.scala 137:112] + node _T_5670 = add(_T_5669, _T_5640) @[exu_mul_ctl.scala 137:112] + node _T_5671 = add(_T_5670, _T_5641) @[exu_mul_ctl.scala 137:112] + node _T_5672 = add(_T_5671, _T_5642) @[exu_mul_ctl.scala 137:112] + node _T_5673 = add(_T_5672, _T_5643) @[exu_mul_ctl.scala 137:112] + node _T_5674 = eq(_T_5673, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5675 = bits(_T_5674, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5676 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_5677 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5678 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5679 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5680 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5681 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5682 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5683 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5684 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5685 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5686 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5687 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5688 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5689 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5690 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5691 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_5692 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_5693 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_5694 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_5695 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_5696 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_5697 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_5698 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_5699 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_5700 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_5701 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_5702 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_5703 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_5704 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_5705 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_5706 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_5707 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_5708 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_5709 = add(_T_5677, _T_5678) @[exu_mul_ctl.scala 137:112] + node _T_5710 = add(_T_5709, _T_5679) @[exu_mul_ctl.scala 137:112] + node _T_5711 = add(_T_5710, _T_5680) @[exu_mul_ctl.scala 137:112] + node _T_5712 = add(_T_5711, _T_5681) @[exu_mul_ctl.scala 137:112] + node _T_5713 = add(_T_5712, _T_5682) @[exu_mul_ctl.scala 137:112] + node _T_5714 = add(_T_5713, _T_5683) @[exu_mul_ctl.scala 137:112] + node _T_5715 = add(_T_5714, _T_5684) @[exu_mul_ctl.scala 137:112] + node _T_5716 = add(_T_5715, _T_5685) @[exu_mul_ctl.scala 137:112] + node _T_5717 = add(_T_5716, _T_5686) @[exu_mul_ctl.scala 137:112] + node _T_5718 = add(_T_5717, _T_5687) @[exu_mul_ctl.scala 137:112] + node _T_5719 = add(_T_5718, _T_5688) @[exu_mul_ctl.scala 137:112] + node _T_5720 = add(_T_5719, _T_5689) @[exu_mul_ctl.scala 137:112] + node _T_5721 = add(_T_5720, _T_5690) @[exu_mul_ctl.scala 137:112] + node _T_5722 = add(_T_5721, _T_5691) @[exu_mul_ctl.scala 137:112] + node _T_5723 = add(_T_5722, _T_5692) @[exu_mul_ctl.scala 137:112] + node _T_5724 = add(_T_5723, _T_5693) @[exu_mul_ctl.scala 137:112] + node _T_5725 = add(_T_5724, _T_5694) @[exu_mul_ctl.scala 137:112] + node _T_5726 = add(_T_5725, _T_5695) @[exu_mul_ctl.scala 137:112] + node _T_5727 = add(_T_5726, _T_5696) @[exu_mul_ctl.scala 137:112] + node _T_5728 = add(_T_5727, _T_5697) @[exu_mul_ctl.scala 137:112] + node _T_5729 = add(_T_5728, _T_5698) @[exu_mul_ctl.scala 137:112] + node _T_5730 = add(_T_5729, _T_5699) @[exu_mul_ctl.scala 137:112] + node _T_5731 = add(_T_5730, _T_5700) @[exu_mul_ctl.scala 137:112] + node _T_5732 = add(_T_5731, _T_5701) @[exu_mul_ctl.scala 137:112] + node _T_5733 = add(_T_5732, _T_5702) @[exu_mul_ctl.scala 137:112] + node _T_5734 = add(_T_5733, _T_5703) @[exu_mul_ctl.scala 137:112] + node _T_5735 = add(_T_5734, _T_5704) @[exu_mul_ctl.scala 137:112] + node _T_5736 = add(_T_5735, _T_5705) @[exu_mul_ctl.scala 137:112] + node _T_5737 = add(_T_5736, _T_5706) @[exu_mul_ctl.scala 137:112] + node _T_5738 = add(_T_5737, _T_5707) @[exu_mul_ctl.scala 137:112] + node _T_5739 = add(_T_5738, _T_5708) @[exu_mul_ctl.scala 137:112] + node _T_5740 = eq(_T_5739, UInt<3>("h05")) @[exu_mul_ctl.scala 138:87] + node _T_5741 = bits(_T_5740, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5742 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_5743 = mux(_T_5741, _T_5742, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_5744 = mux(_T_5675, _T_5676, _T_5743) @[Mux.scala 98:16] + node _T_5745 = mux(_T_5611, _T_5612, _T_5744) @[Mux.scala 98:16] + node _T_5746 = mux(_T_5549, _T_5550, _T_5745) @[Mux.scala 98:16] + node _T_5747 = mux(_T_5489, _T_5490, _T_5746) @[Mux.scala 98:16] + node _T_5748 = mux(_T_5431, _T_5432, _T_5747) @[Mux.scala 98:16] + node _T_5749 = mux(_T_5375, _T_5376, _T_5748) @[Mux.scala 98:16] + node _T_5750 = mux(_T_5321, _T_5322, _T_5749) @[Mux.scala 98:16] + node _T_5751 = mux(_T_5269, _T_5270, _T_5750) @[Mux.scala 98:16] + node _T_5752 = mux(_T_5219, _T_5220, _T_5751) @[Mux.scala 98:16] + node _T_5753 = mux(_T_5171, _T_5172, _T_5752) @[Mux.scala 98:16] + node _T_5754 = mux(_T_5125, _T_5126, _T_5753) @[Mux.scala 98:16] + node _T_5755 = mux(_T_5081, _T_5082, _T_5754) @[Mux.scala 98:16] + node _T_5756 = mux(_T_5039, _T_5040, _T_5755) @[Mux.scala 98:16] + node _T_5757 = mux(_T_4999, _T_5000, _T_5756) @[Mux.scala 98:16] + node _T_5758 = mux(_T_4961, _T_4962, _T_5757) @[Mux.scala 98:16] + node _T_5759 = mux(_T_4925, _T_4926, _T_5758) @[Mux.scala 98:16] + node _T_5760 = mux(_T_4891, _T_4892, _T_5759) @[Mux.scala 98:16] + node _T_5761 = mux(_T_4859, _T_4860, _T_5760) @[Mux.scala 98:16] + node _T_5762 = mux(_T_4829, _T_4830, _T_5761) @[Mux.scala 98:16] + node _T_5763 = mux(_T_4801, _T_4802, _T_5762) @[Mux.scala 98:16] + node _T_5764 = mux(_T_4775, _T_4776, _T_5763) @[Mux.scala 98:16] + node _T_5765 = mux(_T_4751, _T_4752, _T_5764) @[Mux.scala 98:16] + node _T_5766 = mux(_T_4729, _T_4730, _T_5765) @[Mux.scala 98:16] + node _T_5767 = mux(_T_4709, _T_4710, _T_5766) @[Mux.scala 98:16] + node _T_5768 = mux(_T_4691, _T_4692, _T_5767) @[Mux.scala 98:16] + node _T_5769 = mux(_T_4675, _T_4676, _T_5768) @[Mux.scala 98:16] + node _T_5770 = mux(_T_4661, _T_4662, _T_5769) @[Mux.scala 98:16] + node _T_5771 = mux(_T_4649, _T_4650, _T_5770) @[Mux.scala 98:16] + node _T_5772 = mux(_T_4639, _T_4640, _T_5771) @[Mux.scala 98:16] + node _T_5773 = mux(_T_4631, _T_4632, _T_5772) @[Mux.scala 98:16] + node _T_5774 = mux(_T_4625, _T_4626, _T_5773) @[Mux.scala 98:16] + node _T_5775 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_5776 = eq(_T_5775, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5777 = bits(_T_5776, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5778 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_5779 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5780 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5781 = add(_T_5779, _T_5780) @[exu_mul_ctl.scala 137:112] + node _T_5782 = eq(_T_5781, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5783 = bits(_T_5782, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5784 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_5785 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5786 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5787 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5788 = add(_T_5785, _T_5786) @[exu_mul_ctl.scala 137:112] + node _T_5789 = add(_T_5788, _T_5787) @[exu_mul_ctl.scala 137:112] + node _T_5790 = eq(_T_5789, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5791 = bits(_T_5790, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5792 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_5793 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5794 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5795 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5796 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5797 = add(_T_5793, _T_5794) @[exu_mul_ctl.scala 137:112] + node _T_5798 = add(_T_5797, _T_5795) @[exu_mul_ctl.scala 137:112] + node _T_5799 = add(_T_5798, _T_5796) @[exu_mul_ctl.scala 137:112] + node _T_5800 = eq(_T_5799, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5801 = bits(_T_5800, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5802 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_5803 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5804 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5805 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5806 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5807 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5808 = add(_T_5803, _T_5804) @[exu_mul_ctl.scala 137:112] + node _T_5809 = add(_T_5808, _T_5805) @[exu_mul_ctl.scala 137:112] + node _T_5810 = add(_T_5809, _T_5806) @[exu_mul_ctl.scala 137:112] + node _T_5811 = add(_T_5810, _T_5807) @[exu_mul_ctl.scala 137:112] + node _T_5812 = eq(_T_5811, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5813 = bits(_T_5812, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5814 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_5815 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5816 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5817 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5818 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5819 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5820 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5821 = add(_T_5815, _T_5816) @[exu_mul_ctl.scala 137:112] + node _T_5822 = add(_T_5821, _T_5817) @[exu_mul_ctl.scala 137:112] + node _T_5823 = add(_T_5822, _T_5818) @[exu_mul_ctl.scala 137:112] + node _T_5824 = add(_T_5823, _T_5819) @[exu_mul_ctl.scala 137:112] + node _T_5825 = add(_T_5824, _T_5820) @[exu_mul_ctl.scala 137:112] + node _T_5826 = eq(_T_5825, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5827 = bits(_T_5826, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5828 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_5829 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5830 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5831 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5832 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5833 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5834 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5835 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5836 = add(_T_5829, _T_5830) @[exu_mul_ctl.scala 137:112] + node _T_5837 = add(_T_5836, _T_5831) @[exu_mul_ctl.scala 137:112] + node _T_5838 = add(_T_5837, _T_5832) @[exu_mul_ctl.scala 137:112] + node _T_5839 = add(_T_5838, _T_5833) @[exu_mul_ctl.scala 137:112] + node _T_5840 = add(_T_5839, _T_5834) @[exu_mul_ctl.scala 137:112] + node _T_5841 = add(_T_5840, _T_5835) @[exu_mul_ctl.scala 137:112] + node _T_5842 = eq(_T_5841, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5843 = bits(_T_5842, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5844 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_5845 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5846 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5847 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5848 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5849 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5850 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5851 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5852 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5853 = add(_T_5845, _T_5846) @[exu_mul_ctl.scala 137:112] + node _T_5854 = add(_T_5853, _T_5847) @[exu_mul_ctl.scala 137:112] + node _T_5855 = add(_T_5854, _T_5848) @[exu_mul_ctl.scala 137:112] + node _T_5856 = add(_T_5855, _T_5849) @[exu_mul_ctl.scala 137:112] + node _T_5857 = add(_T_5856, _T_5850) @[exu_mul_ctl.scala 137:112] + node _T_5858 = add(_T_5857, _T_5851) @[exu_mul_ctl.scala 137:112] + node _T_5859 = add(_T_5858, _T_5852) @[exu_mul_ctl.scala 137:112] + node _T_5860 = eq(_T_5859, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5861 = bits(_T_5860, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5862 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_5863 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5864 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5865 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5866 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5867 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5868 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5869 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5870 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5871 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5872 = add(_T_5863, _T_5864) @[exu_mul_ctl.scala 137:112] + node _T_5873 = add(_T_5872, _T_5865) @[exu_mul_ctl.scala 137:112] + node _T_5874 = add(_T_5873, _T_5866) @[exu_mul_ctl.scala 137:112] + node _T_5875 = add(_T_5874, _T_5867) @[exu_mul_ctl.scala 137:112] + node _T_5876 = add(_T_5875, _T_5868) @[exu_mul_ctl.scala 137:112] + node _T_5877 = add(_T_5876, _T_5869) @[exu_mul_ctl.scala 137:112] + node _T_5878 = add(_T_5877, _T_5870) @[exu_mul_ctl.scala 137:112] + node _T_5879 = add(_T_5878, _T_5871) @[exu_mul_ctl.scala 137:112] + node _T_5880 = eq(_T_5879, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5881 = bits(_T_5880, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5882 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_5883 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5884 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5885 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5886 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5887 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5888 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5889 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5890 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5891 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5892 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5893 = add(_T_5883, _T_5884) @[exu_mul_ctl.scala 137:112] + node _T_5894 = add(_T_5893, _T_5885) @[exu_mul_ctl.scala 137:112] + node _T_5895 = add(_T_5894, _T_5886) @[exu_mul_ctl.scala 137:112] + node _T_5896 = add(_T_5895, _T_5887) @[exu_mul_ctl.scala 137:112] + node _T_5897 = add(_T_5896, _T_5888) @[exu_mul_ctl.scala 137:112] + node _T_5898 = add(_T_5897, _T_5889) @[exu_mul_ctl.scala 137:112] + node _T_5899 = add(_T_5898, _T_5890) @[exu_mul_ctl.scala 137:112] + node _T_5900 = add(_T_5899, _T_5891) @[exu_mul_ctl.scala 137:112] + node _T_5901 = add(_T_5900, _T_5892) @[exu_mul_ctl.scala 137:112] + node _T_5902 = eq(_T_5901, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5903 = bits(_T_5902, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5904 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_5905 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5906 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5907 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5908 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5909 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5910 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5911 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5912 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5913 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5914 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5915 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5916 = add(_T_5905, _T_5906) @[exu_mul_ctl.scala 137:112] + node _T_5917 = add(_T_5916, _T_5907) @[exu_mul_ctl.scala 137:112] + node _T_5918 = add(_T_5917, _T_5908) @[exu_mul_ctl.scala 137:112] + node _T_5919 = add(_T_5918, _T_5909) @[exu_mul_ctl.scala 137:112] + node _T_5920 = add(_T_5919, _T_5910) @[exu_mul_ctl.scala 137:112] + node _T_5921 = add(_T_5920, _T_5911) @[exu_mul_ctl.scala 137:112] + node _T_5922 = add(_T_5921, _T_5912) @[exu_mul_ctl.scala 137:112] + node _T_5923 = add(_T_5922, _T_5913) @[exu_mul_ctl.scala 137:112] + node _T_5924 = add(_T_5923, _T_5914) @[exu_mul_ctl.scala 137:112] + node _T_5925 = add(_T_5924, _T_5915) @[exu_mul_ctl.scala 137:112] + node _T_5926 = eq(_T_5925, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5927 = bits(_T_5926, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5928 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_5929 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5930 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5931 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5932 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5933 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5934 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5935 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5936 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5937 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5938 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5939 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5940 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5941 = add(_T_5929, _T_5930) @[exu_mul_ctl.scala 137:112] + node _T_5942 = add(_T_5941, _T_5931) @[exu_mul_ctl.scala 137:112] + node _T_5943 = add(_T_5942, _T_5932) @[exu_mul_ctl.scala 137:112] + node _T_5944 = add(_T_5943, _T_5933) @[exu_mul_ctl.scala 137:112] + node _T_5945 = add(_T_5944, _T_5934) @[exu_mul_ctl.scala 137:112] + node _T_5946 = add(_T_5945, _T_5935) @[exu_mul_ctl.scala 137:112] + node _T_5947 = add(_T_5946, _T_5936) @[exu_mul_ctl.scala 137:112] + node _T_5948 = add(_T_5947, _T_5937) @[exu_mul_ctl.scala 137:112] + node _T_5949 = add(_T_5948, _T_5938) @[exu_mul_ctl.scala 137:112] + node _T_5950 = add(_T_5949, _T_5939) @[exu_mul_ctl.scala 137:112] + node _T_5951 = add(_T_5950, _T_5940) @[exu_mul_ctl.scala 137:112] + node _T_5952 = eq(_T_5951, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5953 = bits(_T_5952, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5954 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_5955 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5956 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5957 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5958 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5959 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5960 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5961 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5962 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5963 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5964 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5965 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5966 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5967 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5968 = add(_T_5955, _T_5956) @[exu_mul_ctl.scala 137:112] + node _T_5969 = add(_T_5968, _T_5957) @[exu_mul_ctl.scala 137:112] + node _T_5970 = add(_T_5969, _T_5958) @[exu_mul_ctl.scala 137:112] + node _T_5971 = add(_T_5970, _T_5959) @[exu_mul_ctl.scala 137:112] + node _T_5972 = add(_T_5971, _T_5960) @[exu_mul_ctl.scala 137:112] + node _T_5973 = add(_T_5972, _T_5961) @[exu_mul_ctl.scala 137:112] + node _T_5974 = add(_T_5973, _T_5962) @[exu_mul_ctl.scala 137:112] + node _T_5975 = add(_T_5974, _T_5963) @[exu_mul_ctl.scala 137:112] + node _T_5976 = add(_T_5975, _T_5964) @[exu_mul_ctl.scala 137:112] + node _T_5977 = add(_T_5976, _T_5965) @[exu_mul_ctl.scala 137:112] + node _T_5978 = add(_T_5977, _T_5966) @[exu_mul_ctl.scala 137:112] + node _T_5979 = add(_T_5978, _T_5967) @[exu_mul_ctl.scala 137:112] + node _T_5980 = eq(_T_5979, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_5981 = bits(_T_5980, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_5982 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_5983 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_5984 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_5985 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_5986 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_5987 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_5988 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_5989 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_5990 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_5991 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_5992 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_5993 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_5994 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_5995 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_5996 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_5997 = add(_T_5983, _T_5984) @[exu_mul_ctl.scala 137:112] + node _T_5998 = add(_T_5997, _T_5985) @[exu_mul_ctl.scala 137:112] + node _T_5999 = add(_T_5998, _T_5986) @[exu_mul_ctl.scala 137:112] + node _T_6000 = add(_T_5999, _T_5987) @[exu_mul_ctl.scala 137:112] + node _T_6001 = add(_T_6000, _T_5988) @[exu_mul_ctl.scala 137:112] + node _T_6002 = add(_T_6001, _T_5989) @[exu_mul_ctl.scala 137:112] + node _T_6003 = add(_T_6002, _T_5990) @[exu_mul_ctl.scala 137:112] + node _T_6004 = add(_T_6003, _T_5991) @[exu_mul_ctl.scala 137:112] + node _T_6005 = add(_T_6004, _T_5992) @[exu_mul_ctl.scala 137:112] + node _T_6006 = add(_T_6005, _T_5993) @[exu_mul_ctl.scala 137:112] + node _T_6007 = add(_T_6006, _T_5994) @[exu_mul_ctl.scala 137:112] + node _T_6008 = add(_T_6007, _T_5995) @[exu_mul_ctl.scala 137:112] + node _T_6009 = add(_T_6008, _T_5996) @[exu_mul_ctl.scala 137:112] + node _T_6010 = eq(_T_6009, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6011 = bits(_T_6010, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6012 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_6013 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6014 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6015 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6016 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6017 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6018 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6019 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6020 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6021 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6022 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6023 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6024 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6025 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6026 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6027 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6028 = add(_T_6013, _T_6014) @[exu_mul_ctl.scala 137:112] + node _T_6029 = add(_T_6028, _T_6015) @[exu_mul_ctl.scala 137:112] + node _T_6030 = add(_T_6029, _T_6016) @[exu_mul_ctl.scala 137:112] + node _T_6031 = add(_T_6030, _T_6017) @[exu_mul_ctl.scala 137:112] + node _T_6032 = add(_T_6031, _T_6018) @[exu_mul_ctl.scala 137:112] + node _T_6033 = add(_T_6032, _T_6019) @[exu_mul_ctl.scala 137:112] + node _T_6034 = add(_T_6033, _T_6020) @[exu_mul_ctl.scala 137:112] + node _T_6035 = add(_T_6034, _T_6021) @[exu_mul_ctl.scala 137:112] + node _T_6036 = add(_T_6035, _T_6022) @[exu_mul_ctl.scala 137:112] + node _T_6037 = add(_T_6036, _T_6023) @[exu_mul_ctl.scala 137:112] + node _T_6038 = add(_T_6037, _T_6024) @[exu_mul_ctl.scala 137:112] + node _T_6039 = add(_T_6038, _T_6025) @[exu_mul_ctl.scala 137:112] + node _T_6040 = add(_T_6039, _T_6026) @[exu_mul_ctl.scala 137:112] + node _T_6041 = add(_T_6040, _T_6027) @[exu_mul_ctl.scala 137:112] + node _T_6042 = eq(_T_6041, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6043 = bits(_T_6042, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6044 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_6045 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6046 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6047 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6048 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6049 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6050 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6051 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6052 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6053 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6054 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6055 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6056 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6057 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6058 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6059 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6060 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6061 = add(_T_6045, _T_6046) @[exu_mul_ctl.scala 137:112] + node _T_6062 = add(_T_6061, _T_6047) @[exu_mul_ctl.scala 137:112] + node _T_6063 = add(_T_6062, _T_6048) @[exu_mul_ctl.scala 137:112] + node _T_6064 = add(_T_6063, _T_6049) @[exu_mul_ctl.scala 137:112] + node _T_6065 = add(_T_6064, _T_6050) @[exu_mul_ctl.scala 137:112] + node _T_6066 = add(_T_6065, _T_6051) @[exu_mul_ctl.scala 137:112] + node _T_6067 = add(_T_6066, _T_6052) @[exu_mul_ctl.scala 137:112] + node _T_6068 = add(_T_6067, _T_6053) @[exu_mul_ctl.scala 137:112] + node _T_6069 = add(_T_6068, _T_6054) @[exu_mul_ctl.scala 137:112] + node _T_6070 = add(_T_6069, _T_6055) @[exu_mul_ctl.scala 137:112] + node _T_6071 = add(_T_6070, _T_6056) @[exu_mul_ctl.scala 137:112] + node _T_6072 = add(_T_6071, _T_6057) @[exu_mul_ctl.scala 137:112] + node _T_6073 = add(_T_6072, _T_6058) @[exu_mul_ctl.scala 137:112] + node _T_6074 = add(_T_6073, _T_6059) @[exu_mul_ctl.scala 137:112] + node _T_6075 = add(_T_6074, _T_6060) @[exu_mul_ctl.scala 137:112] + node _T_6076 = eq(_T_6075, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6077 = bits(_T_6076, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6078 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_6079 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6080 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6081 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6082 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6083 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6084 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6085 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6086 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6087 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6088 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6089 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6090 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6091 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6092 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6093 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6094 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6095 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6096 = add(_T_6079, _T_6080) @[exu_mul_ctl.scala 137:112] + node _T_6097 = add(_T_6096, _T_6081) @[exu_mul_ctl.scala 137:112] + node _T_6098 = add(_T_6097, _T_6082) @[exu_mul_ctl.scala 137:112] + node _T_6099 = add(_T_6098, _T_6083) @[exu_mul_ctl.scala 137:112] + node _T_6100 = add(_T_6099, _T_6084) @[exu_mul_ctl.scala 137:112] + node _T_6101 = add(_T_6100, _T_6085) @[exu_mul_ctl.scala 137:112] + node _T_6102 = add(_T_6101, _T_6086) @[exu_mul_ctl.scala 137:112] + node _T_6103 = add(_T_6102, _T_6087) @[exu_mul_ctl.scala 137:112] + node _T_6104 = add(_T_6103, _T_6088) @[exu_mul_ctl.scala 137:112] + node _T_6105 = add(_T_6104, _T_6089) @[exu_mul_ctl.scala 137:112] + node _T_6106 = add(_T_6105, _T_6090) @[exu_mul_ctl.scala 137:112] + node _T_6107 = add(_T_6106, _T_6091) @[exu_mul_ctl.scala 137:112] + node _T_6108 = add(_T_6107, _T_6092) @[exu_mul_ctl.scala 137:112] + node _T_6109 = add(_T_6108, _T_6093) @[exu_mul_ctl.scala 137:112] + node _T_6110 = add(_T_6109, _T_6094) @[exu_mul_ctl.scala 137:112] + node _T_6111 = add(_T_6110, _T_6095) @[exu_mul_ctl.scala 137:112] + node _T_6112 = eq(_T_6111, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6113 = bits(_T_6112, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6114 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_6115 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6116 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6117 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6118 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6119 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6120 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6121 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6122 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6123 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6124 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6125 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6126 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6127 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6128 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6129 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6130 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6131 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6132 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6133 = add(_T_6115, _T_6116) @[exu_mul_ctl.scala 137:112] + node _T_6134 = add(_T_6133, _T_6117) @[exu_mul_ctl.scala 137:112] + node _T_6135 = add(_T_6134, _T_6118) @[exu_mul_ctl.scala 137:112] + node _T_6136 = add(_T_6135, _T_6119) @[exu_mul_ctl.scala 137:112] + node _T_6137 = add(_T_6136, _T_6120) @[exu_mul_ctl.scala 137:112] + node _T_6138 = add(_T_6137, _T_6121) @[exu_mul_ctl.scala 137:112] + node _T_6139 = add(_T_6138, _T_6122) @[exu_mul_ctl.scala 137:112] + node _T_6140 = add(_T_6139, _T_6123) @[exu_mul_ctl.scala 137:112] + node _T_6141 = add(_T_6140, _T_6124) @[exu_mul_ctl.scala 137:112] + node _T_6142 = add(_T_6141, _T_6125) @[exu_mul_ctl.scala 137:112] + node _T_6143 = add(_T_6142, _T_6126) @[exu_mul_ctl.scala 137:112] + node _T_6144 = add(_T_6143, _T_6127) @[exu_mul_ctl.scala 137:112] + node _T_6145 = add(_T_6144, _T_6128) @[exu_mul_ctl.scala 137:112] + node _T_6146 = add(_T_6145, _T_6129) @[exu_mul_ctl.scala 137:112] + node _T_6147 = add(_T_6146, _T_6130) @[exu_mul_ctl.scala 137:112] + node _T_6148 = add(_T_6147, _T_6131) @[exu_mul_ctl.scala 137:112] + node _T_6149 = add(_T_6148, _T_6132) @[exu_mul_ctl.scala 137:112] + node _T_6150 = eq(_T_6149, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6151 = bits(_T_6150, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6152 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_6153 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6154 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6155 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6156 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6157 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6158 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6159 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6160 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6161 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6162 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6163 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6164 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6165 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6166 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6167 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6168 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6169 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6170 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6171 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6172 = add(_T_6153, _T_6154) @[exu_mul_ctl.scala 137:112] + node _T_6173 = add(_T_6172, _T_6155) @[exu_mul_ctl.scala 137:112] + node _T_6174 = add(_T_6173, _T_6156) @[exu_mul_ctl.scala 137:112] + node _T_6175 = add(_T_6174, _T_6157) @[exu_mul_ctl.scala 137:112] + node _T_6176 = add(_T_6175, _T_6158) @[exu_mul_ctl.scala 137:112] + node _T_6177 = add(_T_6176, _T_6159) @[exu_mul_ctl.scala 137:112] + node _T_6178 = add(_T_6177, _T_6160) @[exu_mul_ctl.scala 137:112] + node _T_6179 = add(_T_6178, _T_6161) @[exu_mul_ctl.scala 137:112] + node _T_6180 = add(_T_6179, _T_6162) @[exu_mul_ctl.scala 137:112] + node _T_6181 = add(_T_6180, _T_6163) @[exu_mul_ctl.scala 137:112] + node _T_6182 = add(_T_6181, _T_6164) @[exu_mul_ctl.scala 137:112] + node _T_6183 = add(_T_6182, _T_6165) @[exu_mul_ctl.scala 137:112] + node _T_6184 = add(_T_6183, _T_6166) @[exu_mul_ctl.scala 137:112] + node _T_6185 = add(_T_6184, _T_6167) @[exu_mul_ctl.scala 137:112] + node _T_6186 = add(_T_6185, _T_6168) @[exu_mul_ctl.scala 137:112] + node _T_6187 = add(_T_6186, _T_6169) @[exu_mul_ctl.scala 137:112] + node _T_6188 = add(_T_6187, _T_6170) @[exu_mul_ctl.scala 137:112] + node _T_6189 = add(_T_6188, _T_6171) @[exu_mul_ctl.scala 137:112] + node _T_6190 = eq(_T_6189, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6191 = bits(_T_6190, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6192 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_6193 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6194 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6195 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6196 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6197 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6198 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6199 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6200 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6201 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6202 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6203 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6204 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6205 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6206 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6207 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6208 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6209 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6210 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6211 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6212 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6213 = add(_T_6193, _T_6194) @[exu_mul_ctl.scala 137:112] + node _T_6214 = add(_T_6213, _T_6195) @[exu_mul_ctl.scala 137:112] + node _T_6215 = add(_T_6214, _T_6196) @[exu_mul_ctl.scala 137:112] + node _T_6216 = add(_T_6215, _T_6197) @[exu_mul_ctl.scala 137:112] + node _T_6217 = add(_T_6216, _T_6198) @[exu_mul_ctl.scala 137:112] + node _T_6218 = add(_T_6217, _T_6199) @[exu_mul_ctl.scala 137:112] + node _T_6219 = add(_T_6218, _T_6200) @[exu_mul_ctl.scala 137:112] + node _T_6220 = add(_T_6219, _T_6201) @[exu_mul_ctl.scala 137:112] + node _T_6221 = add(_T_6220, _T_6202) @[exu_mul_ctl.scala 137:112] + node _T_6222 = add(_T_6221, _T_6203) @[exu_mul_ctl.scala 137:112] + node _T_6223 = add(_T_6222, _T_6204) @[exu_mul_ctl.scala 137:112] + node _T_6224 = add(_T_6223, _T_6205) @[exu_mul_ctl.scala 137:112] + node _T_6225 = add(_T_6224, _T_6206) @[exu_mul_ctl.scala 137:112] + node _T_6226 = add(_T_6225, _T_6207) @[exu_mul_ctl.scala 137:112] + node _T_6227 = add(_T_6226, _T_6208) @[exu_mul_ctl.scala 137:112] + node _T_6228 = add(_T_6227, _T_6209) @[exu_mul_ctl.scala 137:112] + node _T_6229 = add(_T_6228, _T_6210) @[exu_mul_ctl.scala 137:112] + node _T_6230 = add(_T_6229, _T_6211) @[exu_mul_ctl.scala 137:112] + node _T_6231 = add(_T_6230, _T_6212) @[exu_mul_ctl.scala 137:112] + node _T_6232 = eq(_T_6231, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6233 = bits(_T_6232, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6234 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_6235 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6236 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6237 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6238 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6239 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6240 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6241 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6242 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6243 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6244 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6245 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6246 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6247 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6248 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6249 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6250 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6251 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6252 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6253 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6254 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6255 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6256 = add(_T_6235, _T_6236) @[exu_mul_ctl.scala 137:112] + node _T_6257 = add(_T_6256, _T_6237) @[exu_mul_ctl.scala 137:112] + node _T_6258 = add(_T_6257, _T_6238) @[exu_mul_ctl.scala 137:112] + node _T_6259 = add(_T_6258, _T_6239) @[exu_mul_ctl.scala 137:112] + node _T_6260 = add(_T_6259, _T_6240) @[exu_mul_ctl.scala 137:112] + node _T_6261 = add(_T_6260, _T_6241) @[exu_mul_ctl.scala 137:112] + node _T_6262 = add(_T_6261, _T_6242) @[exu_mul_ctl.scala 137:112] + node _T_6263 = add(_T_6262, _T_6243) @[exu_mul_ctl.scala 137:112] + node _T_6264 = add(_T_6263, _T_6244) @[exu_mul_ctl.scala 137:112] + node _T_6265 = add(_T_6264, _T_6245) @[exu_mul_ctl.scala 137:112] + node _T_6266 = add(_T_6265, _T_6246) @[exu_mul_ctl.scala 137:112] + node _T_6267 = add(_T_6266, _T_6247) @[exu_mul_ctl.scala 137:112] + node _T_6268 = add(_T_6267, _T_6248) @[exu_mul_ctl.scala 137:112] + node _T_6269 = add(_T_6268, _T_6249) @[exu_mul_ctl.scala 137:112] + node _T_6270 = add(_T_6269, _T_6250) @[exu_mul_ctl.scala 137:112] + node _T_6271 = add(_T_6270, _T_6251) @[exu_mul_ctl.scala 137:112] + node _T_6272 = add(_T_6271, _T_6252) @[exu_mul_ctl.scala 137:112] + node _T_6273 = add(_T_6272, _T_6253) @[exu_mul_ctl.scala 137:112] + node _T_6274 = add(_T_6273, _T_6254) @[exu_mul_ctl.scala 137:112] + node _T_6275 = add(_T_6274, _T_6255) @[exu_mul_ctl.scala 137:112] + node _T_6276 = eq(_T_6275, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6277 = bits(_T_6276, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6278 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_6279 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6280 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6281 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6282 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6283 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6284 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6285 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6286 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6287 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6288 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6289 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6290 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6291 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6292 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6293 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6294 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6295 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6296 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6297 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6298 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6299 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6300 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6301 = add(_T_6279, _T_6280) @[exu_mul_ctl.scala 137:112] + node _T_6302 = add(_T_6301, _T_6281) @[exu_mul_ctl.scala 137:112] + node _T_6303 = add(_T_6302, _T_6282) @[exu_mul_ctl.scala 137:112] + node _T_6304 = add(_T_6303, _T_6283) @[exu_mul_ctl.scala 137:112] + node _T_6305 = add(_T_6304, _T_6284) @[exu_mul_ctl.scala 137:112] + node _T_6306 = add(_T_6305, _T_6285) @[exu_mul_ctl.scala 137:112] + node _T_6307 = add(_T_6306, _T_6286) @[exu_mul_ctl.scala 137:112] + node _T_6308 = add(_T_6307, _T_6287) @[exu_mul_ctl.scala 137:112] + node _T_6309 = add(_T_6308, _T_6288) @[exu_mul_ctl.scala 137:112] + node _T_6310 = add(_T_6309, _T_6289) @[exu_mul_ctl.scala 137:112] + node _T_6311 = add(_T_6310, _T_6290) @[exu_mul_ctl.scala 137:112] + node _T_6312 = add(_T_6311, _T_6291) @[exu_mul_ctl.scala 137:112] + node _T_6313 = add(_T_6312, _T_6292) @[exu_mul_ctl.scala 137:112] + node _T_6314 = add(_T_6313, _T_6293) @[exu_mul_ctl.scala 137:112] + node _T_6315 = add(_T_6314, _T_6294) @[exu_mul_ctl.scala 137:112] + node _T_6316 = add(_T_6315, _T_6295) @[exu_mul_ctl.scala 137:112] + node _T_6317 = add(_T_6316, _T_6296) @[exu_mul_ctl.scala 137:112] + node _T_6318 = add(_T_6317, _T_6297) @[exu_mul_ctl.scala 137:112] + node _T_6319 = add(_T_6318, _T_6298) @[exu_mul_ctl.scala 137:112] + node _T_6320 = add(_T_6319, _T_6299) @[exu_mul_ctl.scala 137:112] + node _T_6321 = add(_T_6320, _T_6300) @[exu_mul_ctl.scala 137:112] + node _T_6322 = eq(_T_6321, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6323 = bits(_T_6322, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6324 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_6325 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6326 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6327 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6328 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6329 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6330 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6331 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6332 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6333 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6334 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6335 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6336 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6337 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6338 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6339 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6340 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6341 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6342 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6343 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6344 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6345 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6346 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6347 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6348 = add(_T_6325, _T_6326) @[exu_mul_ctl.scala 137:112] + node _T_6349 = add(_T_6348, _T_6327) @[exu_mul_ctl.scala 137:112] + node _T_6350 = add(_T_6349, _T_6328) @[exu_mul_ctl.scala 137:112] + node _T_6351 = add(_T_6350, _T_6329) @[exu_mul_ctl.scala 137:112] + node _T_6352 = add(_T_6351, _T_6330) @[exu_mul_ctl.scala 137:112] + node _T_6353 = add(_T_6352, _T_6331) @[exu_mul_ctl.scala 137:112] + node _T_6354 = add(_T_6353, _T_6332) @[exu_mul_ctl.scala 137:112] + node _T_6355 = add(_T_6354, _T_6333) @[exu_mul_ctl.scala 137:112] + node _T_6356 = add(_T_6355, _T_6334) @[exu_mul_ctl.scala 137:112] + node _T_6357 = add(_T_6356, _T_6335) @[exu_mul_ctl.scala 137:112] + node _T_6358 = add(_T_6357, _T_6336) @[exu_mul_ctl.scala 137:112] + node _T_6359 = add(_T_6358, _T_6337) @[exu_mul_ctl.scala 137:112] + node _T_6360 = add(_T_6359, _T_6338) @[exu_mul_ctl.scala 137:112] + node _T_6361 = add(_T_6360, _T_6339) @[exu_mul_ctl.scala 137:112] + node _T_6362 = add(_T_6361, _T_6340) @[exu_mul_ctl.scala 137:112] + node _T_6363 = add(_T_6362, _T_6341) @[exu_mul_ctl.scala 137:112] + node _T_6364 = add(_T_6363, _T_6342) @[exu_mul_ctl.scala 137:112] + node _T_6365 = add(_T_6364, _T_6343) @[exu_mul_ctl.scala 137:112] + node _T_6366 = add(_T_6365, _T_6344) @[exu_mul_ctl.scala 137:112] + node _T_6367 = add(_T_6366, _T_6345) @[exu_mul_ctl.scala 137:112] + node _T_6368 = add(_T_6367, _T_6346) @[exu_mul_ctl.scala 137:112] + node _T_6369 = add(_T_6368, _T_6347) @[exu_mul_ctl.scala 137:112] + node _T_6370 = eq(_T_6369, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6371 = bits(_T_6370, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6372 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_6373 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6374 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6375 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6376 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6377 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6378 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6379 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6380 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6381 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6382 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6383 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6384 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6385 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6386 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6387 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6388 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6389 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6390 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6391 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6392 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6393 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6394 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6395 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6396 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6397 = add(_T_6373, _T_6374) @[exu_mul_ctl.scala 137:112] + node _T_6398 = add(_T_6397, _T_6375) @[exu_mul_ctl.scala 137:112] + node _T_6399 = add(_T_6398, _T_6376) @[exu_mul_ctl.scala 137:112] + node _T_6400 = add(_T_6399, _T_6377) @[exu_mul_ctl.scala 137:112] + node _T_6401 = add(_T_6400, _T_6378) @[exu_mul_ctl.scala 137:112] + node _T_6402 = add(_T_6401, _T_6379) @[exu_mul_ctl.scala 137:112] + node _T_6403 = add(_T_6402, _T_6380) @[exu_mul_ctl.scala 137:112] + node _T_6404 = add(_T_6403, _T_6381) @[exu_mul_ctl.scala 137:112] + node _T_6405 = add(_T_6404, _T_6382) @[exu_mul_ctl.scala 137:112] + node _T_6406 = add(_T_6405, _T_6383) @[exu_mul_ctl.scala 137:112] + node _T_6407 = add(_T_6406, _T_6384) @[exu_mul_ctl.scala 137:112] + node _T_6408 = add(_T_6407, _T_6385) @[exu_mul_ctl.scala 137:112] + node _T_6409 = add(_T_6408, _T_6386) @[exu_mul_ctl.scala 137:112] + node _T_6410 = add(_T_6409, _T_6387) @[exu_mul_ctl.scala 137:112] + node _T_6411 = add(_T_6410, _T_6388) @[exu_mul_ctl.scala 137:112] + node _T_6412 = add(_T_6411, _T_6389) @[exu_mul_ctl.scala 137:112] + node _T_6413 = add(_T_6412, _T_6390) @[exu_mul_ctl.scala 137:112] + node _T_6414 = add(_T_6413, _T_6391) @[exu_mul_ctl.scala 137:112] + node _T_6415 = add(_T_6414, _T_6392) @[exu_mul_ctl.scala 137:112] + node _T_6416 = add(_T_6415, _T_6393) @[exu_mul_ctl.scala 137:112] + node _T_6417 = add(_T_6416, _T_6394) @[exu_mul_ctl.scala 137:112] + node _T_6418 = add(_T_6417, _T_6395) @[exu_mul_ctl.scala 137:112] + node _T_6419 = add(_T_6418, _T_6396) @[exu_mul_ctl.scala 137:112] + node _T_6420 = eq(_T_6419, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6421 = bits(_T_6420, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6422 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_6423 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6424 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6425 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6426 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6427 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6428 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6429 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6430 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6431 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6432 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6433 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6434 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6435 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6436 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6437 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6438 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6439 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6440 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6441 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6442 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6443 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6444 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6445 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6446 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6447 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6448 = add(_T_6423, _T_6424) @[exu_mul_ctl.scala 137:112] + node _T_6449 = add(_T_6448, _T_6425) @[exu_mul_ctl.scala 137:112] + node _T_6450 = add(_T_6449, _T_6426) @[exu_mul_ctl.scala 137:112] + node _T_6451 = add(_T_6450, _T_6427) @[exu_mul_ctl.scala 137:112] + node _T_6452 = add(_T_6451, _T_6428) @[exu_mul_ctl.scala 137:112] + node _T_6453 = add(_T_6452, _T_6429) @[exu_mul_ctl.scala 137:112] + node _T_6454 = add(_T_6453, _T_6430) @[exu_mul_ctl.scala 137:112] + node _T_6455 = add(_T_6454, _T_6431) @[exu_mul_ctl.scala 137:112] + node _T_6456 = add(_T_6455, _T_6432) @[exu_mul_ctl.scala 137:112] + node _T_6457 = add(_T_6456, _T_6433) @[exu_mul_ctl.scala 137:112] + node _T_6458 = add(_T_6457, _T_6434) @[exu_mul_ctl.scala 137:112] + node _T_6459 = add(_T_6458, _T_6435) @[exu_mul_ctl.scala 137:112] + node _T_6460 = add(_T_6459, _T_6436) @[exu_mul_ctl.scala 137:112] + node _T_6461 = add(_T_6460, _T_6437) @[exu_mul_ctl.scala 137:112] + node _T_6462 = add(_T_6461, _T_6438) @[exu_mul_ctl.scala 137:112] + node _T_6463 = add(_T_6462, _T_6439) @[exu_mul_ctl.scala 137:112] + node _T_6464 = add(_T_6463, _T_6440) @[exu_mul_ctl.scala 137:112] + node _T_6465 = add(_T_6464, _T_6441) @[exu_mul_ctl.scala 137:112] + node _T_6466 = add(_T_6465, _T_6442) @[exu_mul_ctl.scala 137:112] + node _T_6467 = add(_T_6466, _T_6443) @[exu_mul_ctl.scala 137:112] + node _T_6468 = add(_T_6467, _T_6444) @[exu_mul_ctl.scala 137:112] + node _T_6469 = add(_T_6468, _T_6445) @[exu_mul_ctl.scala 137:112] + node _T_6470 = add(_T_6469, _T_6446) @[exu_mul_ctl.scala 137:112] + node _T_6471 = add(_T_6470, _T_6447) @[exu_mul_ctl.scala 137:112] + node _T_6472 = eq(_T_6471, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6473 = bits(_T_6472, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6474 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_6475 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6476 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6477 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6478 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6479 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6480 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6481 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6482 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6483 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6484 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6485 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6486 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6487 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6488 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6489 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6490 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6491 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6492 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6493 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6494 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6495 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6496 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6497 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6498 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6499 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6500 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6501 = add(_T_6475, _T_6476) @[exu_mul_ctl.scala 137:112] + node _T_6502 = add(_T_6501, _T_6477) @[exu_mul_ctl.scala 137:112] + node _T_6503 = add(_T_6502, _T_6478) @[exu_mul_ctl.scala 137:112] + node _T_6504 = add(_T_6503, _T_6479) @[exu_mul_ctl.scala 137:112] + node _T_6505 = add(_T_6504, _T_6480) @[exu_mul_ctl.scala 137:112] + node _T_6506 = add(_T_6505, _T_6481) @[exu_mul_ctl.scala 137:112] + node _T_6507 = add(_T_6506, _T_6482) @[exu_mul_ctl.scala 137:112] + node _T_6508 = add(_T_6507, _T_6483) @[exu_mul_ctl.scala 137:112] + node _T_6509 = add(_T_6508, _T_6484) @[exu_mul_ctl.scala 137:112] + node _T_6510 = add(_T_6509, _T_6485) @[exu_mul_ctl.scala 137:112] + node _T_6511 = add(_T_6510, _T_6486) @[exu_mul_ctl.scala 137:112] + node _T_6512 = add(_T_6511, _T_6487) @[exu_mul_ctl.scala 137:112] + node _T_6513 = add(_T_6512, _T_6488) @[exu_mul_ctl.scala 137:112] + node _T_6514 = add(_T_6513, _T_6489) @[exu_mul_ctl.scala 137:112] + node _T_6515 = add(_T_6514, _T_6490) @[exu_mul_ctl.scala 137:112] + node _T_6516 = add(_T_6515, _T_6491) @[exu_mul_ctl.scala 137:112] + node _T_6517 = add(_T_6516, _T_6492) @[exu_mul_ctl.scala 137:112] + node _T_6518 = add(_T_6517, _T_6493) @[exu_mul_ctl.scala 137:112] + node _T_6519 = add(_T_6518, _T_6494) @[exu_mul_ctl.scala 137:112] + node _T_6520 = add(_T_6519, _T_6495) @[exu_mul_ctl.scala 137:112] + node _T_6521 = add(_T_6520, _T_6496) @[exu_mul_ctl.scala 137:112] + node _T_6522 = add(_T_6521, _T_6497) @[exu_mul_ctl.scala 137:112] + node _T_6523 = add(_T_6522, _T_6498) @[exu_mul_ctl.scala 137:112] + node _T_6524 = add(_T_6523, _T_6499) @[exu_mul_ctl.scala 137:112] + node _T_6525 = add(_T_6524, _T_6500) @[exu_mul_ctl.scala 137:112] + node _T_6526 = eq(_T_6525, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6527 = bits(_T_6526, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6528 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_6529 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6530 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6531 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6532 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6533 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6534 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6535 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6536 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6537 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6538 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6539 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6540 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6541 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6542 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6543 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6544 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6545 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6546 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6547 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6548 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6549 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6550 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6551 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6552 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6553 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6554 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6555 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6556 = add(_T_6529, _T_6530) @[exu_mul_ctl.scala 137:112] + node _T_6557 = add(_T_6556, _T_6531) @[exu_mul_ctl.scala 137:112] + node _T_6558 = add(_T_6557, _T_6532) @[exu_mul_ctl.scala 137:112] + node _T_6559 = add(_T_6558, _T_6533) @[exu_mul_ctl.scala 137:112] + node _T_6560 = add(_T_6559, _T_6534) @[exu_mul_ctl.scala 137:112] + node _T_6561 = add(_T_6560, _T_6535) @[exu_mul_ctl.scala 137:112] + node _T_6562 = add(_T_6561, _T_6536) @[exu_mul_ctl.scala 137:112] + node _T_6563 = add(_T_6562, _T_6537) @[exu_mul_ctl.scala 137:112] + node _T_6564 = add(_T_6563, _T_6538) @[exu_mul_ctl.scala 137:112] + node _T_6565 = add(_T_6564, _T_6539) @[exu_mul_ctl.scala 137:112] + node _T_6566 = add(_T_6565, _T_6540) @[exu_mul_ctl.scala 137:112] + node _T_6567 = add(_T_6566, _T_6541) @[exu_mul_ctl.scala 137:112] + node _T_6568 = add(_T_6567, _T_6542) @[exu_mul_ctl.scala 137:112] + node _T_6569 = add(_T_6568, _T_6543) @[exu_mul_ctl.scala 137:112] + node _T_6570 = add(_T_6569, _T_6544) @[exu_mul_ctl.scala 137:112] + node _T_6571 = add(_T_6570, _T_6545) @[exu_mul_ctl.scala 137:112] + node _T_6572 = add(_T_6571, _T_6546) @[exu_mul_ctl.scala 137:112] + node _T_6573 = add(_T_6572, _T_6547) @[exu_mul_ctl.scala 137:112] + node _T_6574 = add(_T_6573, _T_6548) @[exu_mul_ctl.scala 137:112] + node _T_6575 = add(_T_6574, _T_6549) @[exu_mul_ctl.scala 137:112] + node _T_6576 = add(_T_6575, _T_6550) @[exu_mul_ctl.scala 137:112] + node _T_6577 = add(_T_6576, _T_6551) @[exu_mul_ctl.scala 137:112] + node _T_6578 = add(_T_6577, _T_6552) @[exu_mul_ctl.scala 137:112] + node _T_6579 = add(_T_6578, _T_6553) @[exu_mul_ctl.scala 137:112] + node _T_6580 = add(_T_6579, _T_6554) @[exu_mul_ctl.scala 137:112] + node _T_6581 = add(_T_6580, _T_6555) @[exu_mul_ctl.scala 137:112] + node _T_6582 = eq(_T_6581, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6583 = bits(_T_6582, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6584 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_6585 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6586 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6587 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6588 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6589 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6590 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6591 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6592 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6593 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6594 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6595 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6596 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6597 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6598 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6599 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6600 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6601 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6602 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6603 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6604 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6605 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6606 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6607 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6608 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6609 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6610 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6611 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6612 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_6613 = add(_T_6585, _T_6586) @[exu_mul_ctl.scala 137:112] + node _T_6614 = add(_T_6613, _T_6587) @[exu_mul_ctl.scala 137:112] + node _T_6615 = add(_T_6614, _T_6588) @[exu_mul_ctl.scala 137:112] + node _T_6616 = add(_T_6615, _T_6589) @[exu_mul_ctl.scala 137:112] + node _T_6617 = add(_T_6616, _T_6590) @[exu_mul_ctl.scala 137:112] + node _T_6618 = add(_T_6617, _T_6591) @[exu_mul_ctl.scala 137:112] + node _T_6619 = add(_T_6618, _T_6592) @[exu_mul_ctl.scala 137:112] + node _T_6620 = add(_T_6619, _T_6593) @[exu_mul_ctl.scala 137:112] + node _T_6621 = add(_T_6620, _T_6594) @[exu_mul_ctl.scala 137:112] + node _T_6622 = add(_T_6621, _T_6595) @[exu_mul_ctl.scala 137:112] + node _T_6623 = add(_T_6622, _T_6596) @[exu_mul_ctl.scala 137:112] + node _T_6624 = add(_T_6623, _T_6597) @[exu_mul_ctl.scala 137:112] + node _T_6625 = add(_T_6624, _T_6598) @[exu_mul_ctl.scala 137:112] + node _T_6626 = add(_T_6625, _T_6599) @[exu_mul_ctl.scala 137:112] + node _T_6627 = add(_T_6626, _T_6600) @[exu_mul_ctl.scala 137:112] + node _T_6628 = add(_T_6627, _T_6601) @[exu_mul_ctl.scala 137:112] + node _T_6629 = add(_T_6628, _T_6602) @[exu_mul_ctl.scala 137:112] + node _T_6630 = add(_T_6629, _T_6603) @[exu_mul_ctl.scala 137:112] + node _T_6631 = add(_T_6630, _T_6604) @[exu_mul_ctl.scala 137:112] + node _T_6632 = add(_T_6631, _T_6605) @[exu_mul_ctl.scala 137:112] + node _T_6633 = add(_T_6632, _T_6606) @[exu_mul_ctl.scala 137:112] + node _T_6634 = add(_T_6633, _T_6607) @[exu_mul_ctl.scala 137:112] + node _T_6635 = add(_T_6634, _T_6608) @[exu_mul_ctl.scala 137:112] + node _T_6636 = add(_T_6635, _T_6609) @[exu_mul_ctl.scala 137:112] + node _T_6637 = add(_T_6636, _T_6610) @[exu_mul_ctl.scala 137:112] + node _T_6638 = add(_T_6637, _T_6611) @[exu_mul_ctl.scala 137:112] + node _T_6639 = add(_T_6638, _T_6612) @[exu_mul_ctl.scala 137:112] + node _T_6640 = eq(_T_6639, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6641 = bits(_T_6640, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6642 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_6643 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6644 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6645 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6646 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6647 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6648 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6649 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6650 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6651 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6652 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6653 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6654 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6655 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6656 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6657 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6658 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6659 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6660 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6661 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6662 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6663 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6664 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6665 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6666 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6667 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6668 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6669 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6670 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_6671 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_6672 = add(_T_6643, _T_6644) @[exu_mul_ctl.scala 137:112] + node _T_6673 = add(_T_6672, _T_6645) @[exu_mul_ctl.scala 137:112] + node _T_6674 = add(_T_6673, _T_6646) @[exu_mul_ctl.scala 137:112] + node _T_6675 = add(_T_6674, _T_6647) @[exu_mul_ctl.scala 137:112] + node _T_6676 = add(_T_6675, _T_6648) @[exu_mul_ctl.scala 137:112] + node _T_6677 = add(_T_6676, _T_6649) @[exu_mul_ctl.scala 137:112] + node _T_6678 = add(_T_6677, _T_6650) @[exu_mul_ctl.scala 137:112] + node _T_6679 = add(_T_6678, _T_6651) @[exu_mul_ctl.scala 137:112] + node _T_6680 = add(_T_6679, _T_6652) @[exu_mul_ctl.scala 137:112] + node _T_6681 = add(_T_6680, _T_6653) @[exu_mul_ctl.scala 137:112] + node _T_6682 = add(_T_6681, _T_6654) @[exu_mul_ctl.scala 137:112] + node _T_6683 = add(_T_6682, _T_6655) @[exu_mul_ctl.scala 137:112] + node _T_6684 = add(_T_6683, _T_6656) @[exu_mul_ctl.scala 137:112] + node _T_6685 = add(_T_6684, _T_6657) @[exu_mul_ctl.scala 137:112] + node _T_6686 = add(_T_6685, _T_6658) @[exu_mul_ctl.scala 137:112] + node _T_6687 = add(_T_6686, _T_6659) @[exu_mul_ctl.scala 137:112] + node _T_6688 = add(_T_6687, _T_6660) @[exu_mul_ctl.scala 137:112] + node _T_6689 = add(_T_6688, _T_6661) @[exu_mul_ctl.scala 137:112] + node _T_6690 = add(_T_6689, _T_6662) @[exu_mul_ctl.scala 137:112] + node _T_6691 = add(_T_6690, _T_6663) @[exu_mul_ctl.scala 137:112] + node _T_6692 = add(_T_6691, _T_6664) @[exu_mul_ctl.scala 137:112] + node _T_6693 = add(_T_6692, _T_6665) @[exu_mul_ctl.scala 137:112] + node _T_6694 = add(_T_6693, _T_6666) @[exu_mul_ctl.scala 137:112] + node _T_6695 = add(_T_6694, _T_6667) @[exu_mul_ctl.scala 137:112] + node _T_6696 = add(_T_6695, _T_6668) @[exu_mul_ctl.scala 137:112] + node _T_6697 = add(_T_6696, _T_6669) @[exu_mul_ctl.scala 137:112] + node _T_6698 = add(_T_6697, _T_6670) @[exu_mul_ctl.scala 137:112] + node _T_6699 = add(_T_6698, _T_6671) @[exu_mul_ctl.scala 137:112] + node _T_6700 = eq(_T_6699, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6701 = bits(_T_6700, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6702 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_6703 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6704 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6705 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6706 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6707 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6708 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6709 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6710 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6711 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6712 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6713 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6714 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6715 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6716 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6717 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6718 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6719 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6720 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6721 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6722 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6723 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6724 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6725 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6726 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6727 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6728 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6729 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6730 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_6731 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_6732 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_6733 = add(_T_6703, _T_6704) @[exu_mul_ctl.scala 137:112] + node _T_6734 = add(_T_6733, _T_6705) @[exu_mul_ctl.scala 137:112] + node _T_6735 = add(_T_6734, _T_6706) @[exu_mul_ctl.scala 137:112] + node _T_6736 = add(_T_6735, _T_6707) @[exu_mul_ctl.scala 137:112] + node _T_6737 = add(_T_6736, _T_6708) @[exu_mul_ctl.scala 137:112] + node _T_6738 = add(_T_6737, _T_6709) @[exu_mul_ctl.scala 137:112] + node _T_6739 = add(_T_6738, _T_6710) @[exu_mul_ctl.scala 137:112] + node _T_6740 = add(_T_6739, _T_6711) @[exu_mul_ctl.scala 137:112] + node _T_6741 = add(_T_6740, _T_6712) @[exu_mul_ctl.scala 137:112] + node _T_6742 = add(_T_6741, _T_6713) @[exu_mul_ctl.scala 137:112] + node _T_6743 = add(_T_6742, _T_6714) @[exu_mul_ctl.scala 137:112] + node _T_6744 = add(_T_6743, _T_6715) @[exu_mul_ctl.scala 137:112] + node _T_6745 = add(_T_6744, _T_6716) @[exu_mul_ctl.scala 137:112] + node _T_6746 = add(_T_6745, _T_6717) @[exu_mul_ctl.scala 137:112] + node _T_6747 = add(_T_6746, _T_6718) @[exu_mul_ctl.scala 137:112] + node _T_6748 = add(_T_6747, _T_6719) @[exu_mul_ctl.scala 137:112] + node _T_6749 = add(_T_6748, _T_6720) @[exu_mul_ctl.scala 137:112] + node _T_6750 = add(_T_6749, _T_6721) @[exu_mul_ctl.scala 137:112] + node _T_6751 = add(_T_6750, _T_6722) @[exu_mul_ctl.scala 137:112] + node _T_6752 = add(_T_6751, _T_6723) @[exu_mul_ctl.scala 137:112] + node _T_6753 = add(_T_6752, _T_6724) @[exu_mul_ctl.scala 137:112] + node _T_6754 = add(_T_6753, _T_6725) @[exu_mul_ctl.scala 137:112] + node _T_6755 = add(_T_6754, _T_6726) @[exu_mul_ctl.scala 137:112] + node _T_6756 = add(_T_6755, _T_6727) @[exu_mul_ctl.scala 137:112] + node _T_6757 = add(_T_6756, _T_6728) @[exu_mul_ctl.scala 137:112] + node _T_6758 = add(_T_6757, _T_6729) @[exu_mul_ctl.scala 137:112] + node _T_6759 = add(_T_6758, _T_6730) @[exu_mul_ctl.scala 137:112] + node _T_6760 = add(_T_6759, _T_6731) @[exu_mul_ctl.scala 137:112] + node _T_6761 = add(_T_6760, _T_6732) @[exu_mul_ctl.scala 137:112] + node _T_6762 = eq(_T_6761, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6763 = bits(_T_6762, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6764 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_6765 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6766 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6767 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6768 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6769 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6770 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6771 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6772 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6773 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6774 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6775 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6776 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6777 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6778 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6779 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6780 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6781 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6782 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6783 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6784 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6785 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6786 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6787 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6788 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6789 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6790 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6791 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6792 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_6793 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_6794 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_6795 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_6796 = add(_T_6765, _T_6766) @[exu_mul_ctl.scala 137:112] + node _T_6797 = add(_T_6796, _T_6767) @[exu_mul_ctl.scala 137:112] + node _T_6798 = add(_T_6797, _T_6768) @[exu_mul_ctl.scala 137:112] + node _T_6799 = add(_T_6798, _T_6769) @[exu_mul_ctl.scala 137:112] + node _T_6800 = add(_T_6799, _T_6770) @[exu_mul_ctl.scala 137:112] + node _T_6801 = add(_T_6800, _T_6771) @[exu_mul_ctl.scala 137:112] + node _T_6802 = add(_T_6801, _T_6772) @[exu_mul_ctl.scala 137:112] + node _T_6803 = add(_T_6802, _T_6773) @[exu_mul_ctl.scala 137:112] + node _T_6804 = add(_T_6803, _T_6774) @[exu_mul_ctl.scala 137:112] + node _T_6805 = add(_T_6804, _T_6775) @[exu_mul_ctl.scala 137:112] + node _T_6806 = add(_T_6805, _T_6776) @[exu_mul_ctl.scala 137:112] + node _T_6807 = add(_T_6806, _T_6777) @[exu_mul_ctl.scala 137:112] + node _T_6808 = add(_T_6807, _T_6778) @[exu_mul_ctl.scala 137:112] + node _T_6809 = add(_T_6808, _T_6779) @[exu_mul_ctl.scala 137:112] + node _T_6810 = add(_T_6809, _T_6780) @[exu_mul_ctl.scala 137:112] + node _T_6811 = add(_T_6810, _T_6781) @[exu_mul_ctl.scala 137:112] + node _T_6812 = add(_T_6811, _T_6782) @[exu_mul_ctl.scala 137:112] + node _T_6813 = add(_T_6812, _T_6783) @[exu_mul_ctl.scala 137:112] + node _T_6814 = add(_T_6813, _T_6784) @[exu_mul_ctl.scala 137:112] + node _T_6815 = add(_T_6814, _T_6785) @[exu_mul_ctl.scala 137:112] + node _T_6816 = add(_T_6815, _T_6786) @[exu_mul_ctl.scala 137:112] + node _T_6817 = add(_T_6816, _T_6787) @[exu_mul_ctl.scala 137:112] + node _T_6818 = add(_T_6817, _T_6788) @[exu_mul_ctl.scala 137:112] + node _T_6819 = add(_T_6818, _T_6789) @[exu_mul_ctl.scala 137:112] + node _T_6820 = add(_T_6819, _T_6790) @[exu_mul_ctl.scala 137:112] + node _T_6821 = add(_T_6820, _T_6791) @[exu_mul_ctl.scala 137:112] + node _T_6822 = add(_T_6821, _T_6792) @[exu_mul_ctl.scala 137:112] + node _T_6823 = add(_T_6822, _T_6793) @[exu_mul_ctl.scala 137:112] + node _T_6824 = add(_T_6823, _T_6794) @[exu_mul_ctl.scala 137:112] + node _T_6825 = add(_T_6824, _T_6795) @[exu_mul_ctl.scala 137:112] + node _T_6826 = eq(_T_6825, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6827 = bits(_T_6826, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6828 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_6829 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6830 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6831 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6832 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6833 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6834 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6835 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6836 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_6837 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_6838 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_6839 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_6840 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_6841 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_6842 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_6843 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_6844 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_6845 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_6846 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_6847 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_6848 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_6849 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_6850 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_6851 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_6852 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_6853 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_6854 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_6855 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_6856 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_6857 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_6858 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_6859 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_6860 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_6861 = add(_T_6829, _T_6830) @[exu_mul_ctl.scala 137:112] + node _T_6862 = add(_T_6861, _T_6831) @[exu_mul_ctl.scala 137:112] + node _T_6863 = add(_T_6862, _T_6832) @[exu_mul_ctl.scala 137:112] + node _T_6864 = add(_T_6863, _T_6833) @[exu_mul_ctl.scala 137:112] + node _T_6865 = add(_T_6864, _T_6834) @[exu_mul_ctl.scala 137:112] + node _T_6866 = add(_T_6865, _T_6835) @[exu_mul_ctl.scala 137:112] + node _T_6867 = add(_T_6866, _T_6836) @[exu_mul_ctl.scala 137:112] + node _T_6868 = add(_T_6867, _T_6837) @[exu_mul_ctl.scala 137:112] + node _T_6869 = add(_T_6868, _T_6838) @[exu_mul_ctl.scala 137:112] + node _T_6870 = add(_T_6869, _T_6839) @[exu_mul_ctl.scala 137:112] + node _T_6871 = add(_T_6870, _T_6840) @[exu_mul_ctl.scala 137:112] + node _T_6872 = add(_T_6871, _T_6841) @[exu_mul_ctl.scala 137:112] + node _T_6873 = add(_T_6872, _T_6842) @[exu_mul_ctl.scala 137:112] + node _T_6874 = add(_T_6873, _T_6843) @[exu_mul_ctl.scala 137:112] + node _T_6875 = add(_T_6874, _T_6844) @[exu_mul_ctl.scala 137:112] + node _T_6876 = add(_T_6875, _T_6845) @[exu_mul_ctl.scala 137:112] + node _T_6877 = add(_T_6876, _T_6846) @[exu_mul_ctl.scala 137:112] + node _T_6878 = add(_T_6877, _T_6847) @[exu_mul_ctl.scala 137:112] + node _T_6879 = add(_T_6878, _T_6848) @[exu_mul_ctl.scala 137:112] + node _T_6880 = add(_T_6879, _T_6849) @[exu_mul_ctl.scala 137:112] + node _T_6881 = add(_T_6880, _T_6850) @[exu_mul_ctl.scala 137:112] + node _T_6882 = add(_T_6881, _T_6851) @[exu_mul_ctl.scala 137:112] + node _T_6883 = add(_T_6882, _T_6852) @[exu_mul_ctl.scala 137:112] + node _T_6884 = add(_T_6883, _T_6853) @[exu_mul_ctl.scala 137:112] + node _T_6885 = add(_T_6884, _T_6854) @[exu_mul_ctl.scala 137:112] + node _T_6886 = add(_T_6885, _T_6855) @[exu_mul_ctl.scala 137:112] + node _T_6887 = add(_T_6886, _T_6856) @[exu_mul_ctl.scala 137:112] + node _T_6888 = add(_T_6887, _T_6857) @[exu_mul_ctl.scala 137:112] + node _T_6889 = add(_T_6888, _T_6858) @[exu_mul_ctl.scala 137:112] + node _T_6890 = add(_T_6889, _T_6859) @[exu_mul_ctl.scala 137:112] + node _T_6891 = add(_T_6890, _T_6860) @[exu_mul_ctl.scala 137:112] + node _T_6892 = eq(_T_6891, UInt<3>("h06")) @[exu_mul_ctl.scala 138:87] + node _T_6893 = bits(_T_6892, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6894 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_6895 = mux(_T_6893, _T_6894, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_6896 = mux(_T_6827, _T_6828, _T_6895) @[Mux.scala 98:16] + node _T_6897 = mux(_T_6763, _T_6764, _T_6896) @[Mux.scala 98:16] + node _T_6898 = mux(_T_6701, _T_6702, _T_6897) @[Mux.scala 98:16] + node _T_6899 = mux(_T_6641, _T_6642, _T_6898) @[Mux.scala 98:16] + node _T_6900 = mux(_T_6583, _T_6584, _T_6899) @[Mux.scala 98:16] + node _T_6901 = mux(_T_6527, _T_6528, _T_6900) @[Mux.scala 98:16] + node _T_6902 = mux(_T_6473, _T_6474, _T_6901) @[Mux.scala 98:16] + node _T_6903 = mux(_T_6421, _T_6422, _T_6902) @[Mux.scala 98:16] + node _T_6904 = mux(_T_6371, _T_6372, _T_6903) @[Mux.scala 98:16] + node _T_6905 = mux(_T_6323, _T_6324, _T_6904) @[Mux.scala 98:16] + node _T_6906 = mux(_T_6277, _T_6278, _T_6905) @[Mux.scala 98:16] + node _T_6907 = mux(_T_6233, _T_6234, _T_6906) @[Mux.scala 98:16] + node _T_6908 = mux(_T_6191, _T_6192, _T_6907) @[Mux.scala 98:16] + node _T_6909 = mux(_T_6151, _T_6152, _T_6908) @[Mux.scala 98:16] + node _T_6910 = mux(_T_6113, _T_6114, _T_6909) @[Mux.scala 98:16] + node _T_6911 = mux(_T_6077, _T_6078, _T_6910) @[Mux.scala 98:16] + node _T_6912 = mux(_T_6043, _T_6044, _T_6911) @[Mux.scala 98:16] + node _T_6913 = mux(_T_6011, _T_6012, _T_6912) @[Mux.scala 98:16] + node _T_6914 = mux(_T_5981, _T_5982, _T_6913) @[Mux.scala 98:16] + node _T_6915 = mux(_T_5953, _T_5954, _T_6914) @[Mux.scala 98:16] + node _T_6916 = mux(_T_5927, _T_5928, _T_6915) @[Mux.scala 98:16] + node _T_6917 = mux(_T_5903, _T_5904, _T_6916) @[Mux.scala 98:16] + node _T_6918 = mux(_T_5881, _T_5882, _T_6917) @[Mux.scala 98:16] + node _T_6919 = mux(_T_5861, _T_5862, _T_6918) @[Mux.scala 98:16] + node _T_6920 = mux(_T_5843, _T_5844, _T_6919) @[Mux.scala 98:16] + node _T_6921 = mux(_T_5827, _T_5828, _T_6920) @[Mux.scala 98:16] + node _T_6922 = mux(_T_5813, _T_5814, _T_6921) @[Mux.scala 98:16] + node _T_6923 = mux(_T_5801, _T_5802, _T_6922) @[Mux.scala 98:16] + node _T_6924 = mux(_T_5791, _T_5792, _T_6923) @[Mux.scala 98:16] + node _T_6925 = mux(_T_5783, _T_5784, _T_6924) @[Mux.scala 98:16] + node _T_6926 = mux(_T_5777, _T_5778, _T_6925) @[Mux.scala 98:16] + node _T_6927 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_6928 = eq(_T_6927, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6929 = bits(_T_6928, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6930 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_6931 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6932 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6933 = add(_T_6931, _T_6932) @[exu_mul_ctl.scala 137:112] + node _T_6934 = eq(_T_6933, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6935 = bits(_T_6934, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6936 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_6937 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6938 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6939 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6940 = add(_T_6937, _T_6938) @[exu_mul_ctl.scala 137:112] + node _T_6941 = add(_T_6940, _T_6939) @[exu_mul_ctl.scala 137:112] + node _T_6942 = eq(_T_6941, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6943 = bits(_T_6942, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6944 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_6945 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6946 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6947 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6948 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6949 = add(_T_6945, _T_6946) @[exu_mul_ctl.scala 137:112] + node _T_6950 = add(_T_6949, _T_6947) @[exu_mul_ctl.scala 137:112] + node _T_6951 = add(_T_6950, _T_6948) @[exu_mul_ctl.scala 137:112] + node _T_6952 = eq(_T_6951, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6953 = bits(_T_6952, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6954 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_6955 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6956 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6957 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6958 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6959 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6960 = add(_T_6955, _T_6956) @[exu_mul_ctl.scala 137:112] + node _T_6961 = add(_T_6960, _T_6957) @[exu_mul_ctl.scala 137:112] + node _T_6962 = add(_T_6961, _T_6958) @[exu_mul_ctl.scala 137:112] + node _T_6963 = add(_T_6962, _T_6959) @[exu_mul_ctl.scala 137:112] + node _T_6964 = eq(_T_6963, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6965 = bits(_T_6964, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6966 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_6967 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6968 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6969 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6970 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6971 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6972 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6973 = add(_T_6967, _T_6968) @[exu_mul_ctl.scala 137:112] + node _T_6974 = add(_T_6973, _T_6969) @[exu_mul_ctl.scala 137:112] + node _T_6975 = add(_T_6974, _T_6970) @[exu_mul_ctl.scala 137:112] + node _T_6976 = add(_T_6975, _T_6971) @[exu_mul_ctl.scala 137:112] + node _T_6977 = add(_T_6976, _T_6972) @[exu_mul_ctl.scala 137:112] + node _T_6978 = eq(_T_6977, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6979 = bits(_T_6978, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6980 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_6981 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6982 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6983 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_6984 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_6985 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_6986 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_6987 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_6988 = add(_T_6981, _T_6982) @[exu_mul_ctl.scala 137:112] + node _T_6989 = add(_T_6988, _T_6983) @[exu_mul_ctl.scala 137:112] + node _T_6990 = add(_T_6989, _T_6984) @[exu_mul_ctl.scala 137:112] + node _T_6991 = add(_T_6990, _T_6985) @[exu_mul_ctl.scala 137:112] + node _T_6992 = add(_T_6991, _T_6986) @[exu_mul_ctl.scala 137:112] + node _T_6993 = add(_T_6992, _T_6987) @[exu_mul_ctl.scala 137:112] + node _T_6994 = eq(_T_6993, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_6995 = bits(_T_6994, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_6996 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_6997 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_6998 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_6999 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7000 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7001 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7002 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7003 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7004 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7005 = add(_T_6997, _T_6998) @[exu_mul_ctl.scala 137:112] + node _T_7006 = add(_T_7005, _T_6999) @[exu_mul_ctl.scala 137:112] + node _T_7007 = add(_T_7006, _T_7000) @[exu_mul_ctl.scala 137:112] + node _T_7008 = add(_T_7007, _T_7001) @[exu_mul_ctl.scala 137:112] + node _T_7009 = add(_T_7008, _T_7002) @[exu_mul_ctl.scala 137:112] + node _T_7010 = add(_T_7009, _T_7003) @[exu_mul_ctl.scala 137:112] + node _T_7011 = add(_T_7010, _T_7004) @[exu_mul_ctl.scala 137:112] + node _T_7012 = eq(_T_7011, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7013 = bits(_T_7012, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7014 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_7015 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7016 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7017 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7018 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7019 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7020 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7021 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7022 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7023 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7024 = add(_T_7015, _T_7016) @[exu_mul_ctl.scala 137:112] + node _T_7025 = add(_T_7024, _T_7017) @[exu_mul_ctl.scala 137:112] + node _T_7026 = add(_T_7025, _T_7018) @[exu_mul_ctl.scala 137:112] + node _T_7027 = add(_T_7026, _T_7019) @[exu_mul_ctl.scala 137:112] + node _T_7028 = add(_T_7027, _T_7020) @[exu_mul_ctl.scala 137:112] + node _T_7029 = add(_T_7028, _T_7021) @[exu_mul_ctl.scala 137:112] + node _T_7030 = add(_T_7029, _T_7022) @[exu_mul_ctl.scala 137:112] + node _T_7031 = add(_T_7030, _T_7023) @[exu_mul_ctl.scala 137:112] + node _T_7032 = eq(_T_7031, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7033 = bits(_T_7032, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7034 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_7035 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7036 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7037 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7038 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7039 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7040 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7041 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7042 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7043 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7044 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7045 = add(_T_7035, _T_7036) @[exu_mul_ctl.scala 137:112] + node _T_7046 = add(_T_7045, _T_7037) @[exu_mul_ctl.scala 137:112] + node _T_7047 = add(_T_7046, _T_7038) @[exu_mul_ctl.scala 137:112] + node _T_7048 = add(_T_7047, _T_7039) @[exu_mul_ctl.scala 137:112] + node _T_7049 = add(_T_7048, _T_7040) @[exu_mul_ctl.scala 137:112] + node _T_7050 = add(_T_7049, _T_7041) @[exu_mul_ctl.scala 137:112] + node _T_7051 = add(_T_7050, _T_7042) @[exu_mul_ctl.scala 137:112] + node _T_7052 = add(_T_7051, _T_7043) @[exu_mul_ctl.scala 137:112] + node _T_7053 = add(_T_7052, _T_7044) @[exu_mul_ctl.scala 137:112] + node _T_7054 = eq(_T_7053, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7055 = bits(_T_7054, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7056 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_7057 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7058 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7059 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7060 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7061 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7062 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7063 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7064 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7065 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7066 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7067 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7068 = add(_T_7057, _T_7058) @[exu_mul_ctl.scala 137:112] + node _T_7069 = add(_T_7068, _T_7059) @[exu_mul_ctl.scala 137:112] + node _T_7070 = add(_T_7069, _T_7060) @[exu_mul_ctl.scala 137:112] + node _T_7071 = add(_T_7070, _T_7061) @[exu_mul_ctl.scala 137:112] + node _T_7072 = add(_T_7071, _T_7062) @[exu_mul_ctl.scala 137:112] + node _T_7073 = add(_T_7072, _T_7063) @[exu_mul_ctl.scala 137:112] + node _T_7074 = add(_T_7073, _T_7064) @[exu_mul_ctl.scala 137:112] + node _T_7075 = add(_T_7074, _T_7065) @[exu_mul_ctl.scala 137:112] + node _T_7076 = add(_T_7075, _T_7066) @[exu_mul_ctl.scala 137:112] + node _T_7077 = add(_T_7076, _T_7067) @[exu_mul_ctl.scala 137:112] + node _T_7078 = eq(_T_7077, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7079 = bits(_T_7078, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7080 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_7081 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7082 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7083 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7084 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7085 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7086 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7087 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7088 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7089 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7090 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7091 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7092 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7093 = add(_T_7081, _T_7082) @[exu_mul_ctl.scala 137:112] + node _T_7094 = add(_T_7093, _T_7083) @[exu_mul_ctl.scala 137:112] + node _T_7095 = add(_T_7094, _T_7084) @[exu_mul_ctl.scala 137:112] + node _T_7096 = add(_T_7095, _T_7085) @[exu_mul_ctl.scala 137:112] + node _T_7097 = add(_T_7096, _T_7086) @[exu_mul_ctl.scala 137:112] + node _T_7098 = add(_T_7097, _T_7087) @[exu_mul_ctl.scala 137:112] + node _T_7099 = add(_T_7098, _T_7088) @[exu_mul_ctl.scala 137:112] + node _T_7100 = add(_T_7099, _T_7089) @[exu_mul_ctl.scala 137:112] + node _T_7101 = add(_T_7100, _T_7090) @[exu_mul_ctl.scala 137:112] + node _T_7102 = add(_T_7101, _T_7091) @[exu_mul_ctl.scala 137:112] + node _T_7103 = add(_T_7102, _T_7092) @[exu_mul_ctl.scala 137:112] + node _T_7104 = eq(_T_7103, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7105 = bits(_T_7104, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7106 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_7107 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7108 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7109 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7110 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7111 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7112 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7113 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7114 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7115 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7116 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7117 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7118 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7119 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7120 = add(_T_7107, _T_7108) @[exu_mul_ctl.scala 137:112] + node _T_7121 = add(_T_7120, _T_7109) @[exu_mul_ctl.scala 137:112] + node _T_7122 = add(_T_7121, _T_7110) @[exu_mul_ctl.scala 137:112] + node _T_7123 = add(_T_7122, _T_7111) @[exu_mul_ctl.scala 137:112] + node _T_7124 = add(_T_7123, _T_7112) @[exu_mul_ctl.scala 137:112] + node _T_7125 = add(_T_7124, _T_7113) @[exu_mul_ctl.scala 137:112] + node _T_7126 = add(_T_7125, _T_7114) @[exu_mul_ctl.scala 137:112] + node _T_7127 = add(_T_7126, _T_7115) @[exu_mul_ctl.scala 137:112] + node _T_7128 = add(_T_7127, _T_7116) @[exu_mul_ctl.scala 137:112] + node _T_7129 = add(_T_7128, _T_7117) @[exu_mul_ctl.scala 137:112] + node _T_7130 = add(_T_7129, _T_7118) @[exu_mul_ctl.scala 137:112] + node _T_7131 = add(_T_7130, _T_7119) @[exu_mul_ctl.scala 137:112] + node _T_7132 = eq(_T_7131, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7133 = bits(_T_7132, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7134 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_7135 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7136 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7137 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7138 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7139 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7140 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7141 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7142 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7143 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7144 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7145 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7146 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7147 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7148 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7149 = add(_T_7135, _T_7136) @[exu_mul_ctl.scala 137:112] + node _T_7150 = add(_T_7149, _T_7137) @[exu_mul_ctl.scala 137:112] + node _T_7151 = add(_T_7150, _T_7138) @[exu_mul_ctl.scala 137:112] + node _T_7152 = add(_T_7151, _T_7139) @[exu_mul_ctl.scala 137:112] + node _T_7153 = add(_T_7152, _T_7140) @[exu_mul_ctl.scala 137:112] + node _T_7154 = add(_T_7153, _T_7141) @[exu_mul_ctl.scala 137:112] + node _T_7155 = add(_T_7154, _T_7142) @[exu_mul_ctl.scala 137:112] + node _T_7156 = add(_T_7155, _T_7143) @[exu_mul_ctl.scala 137:112] + node _T_7157 = add(_T_7156, _T_7144) @[exu_mul_ctl.scala 137:112] + node _T_7158 = add(_T_7157, _T_7145) @[exu_mul_ctl.scala 137:112] + node _T_7159 = add(_T_7158, _T_7146) @[exu_mul_ctl.scala 137:112] + node _T_7160 = add(_T_7159, _T_7147) @[exu_mul_ctl.scala 137:112] + node _T_7161 = add(_T_7160, _T_7148) @[exu_mul_ctl.scala 137:112] + node _T_7162 = eq(_T_7161, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7163 = bits(_T_7162, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7164 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_7165 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7166 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7167 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7168 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7169 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7170 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7171 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7172 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7173 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7174 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7175 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7176 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7177 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7178 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7179 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7180 = add(_T_7165, _T_7166) @[exu_mul_ctl.scala 137:112] + node _T_7181 = add(_T_7180, _T_7167) @[exu_mul_ctl.scala 137:112] + node _T_7182 = add(_T_7181, _T_7168) @[exu_mul_ctl.scala 137:112] + node _T_7183 = add(_T_7182, _T_7169) @[exu_mul_ctl.scala 137:112] + node _T_7184 = add(_T_7183, _T_7170) @[exu_mul_ctl.scala 137:112] + node _T_7185 = add(_T_7184, _T_7171) @[exu_mul_ctl.scala 137:112] + node _T_7186 = add(_T_7185, _T_7172) @[exu_mul_ctl.scala 137:112] + node _T_7187 = add(_T_7186, _T_7173) @[exu_mul_ctl.scala 137:112] + node _T_7188 = add(_T_7187, _T_7174) @[exu_mul_ctl.scala 137:112] + node _T_7189 = add(_T_7188, _T_7175) @[exu_mul_ctl.scala 137:112] + node _T_7190 = add(_T_7189, _T_7176) @[exu_mul_ctl.scala 137:112] + node _T_7191 = add(_T_7190, _T_7177) @[exu_mul_ctl.scala 137:112] + node _T_7192 = add(_T_7191, _T_7178) @[exu_mul_ctl.scala 137:112] + node _T_7193 = add(_T_7192, _T_7179) @[exu_mul_ctl.scala 137:112] + node _T_7194 = eq(_T_7193, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7195 = bits(_T_7194, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7196 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_7197 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7198 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7199 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7200 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7201 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7202 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7203 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7204 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7205 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7206 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7207 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7208 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7209 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7210 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7211 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7212 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7213 = add(_T_7197, _T_7198) @[exu_mul_ctl.scala 137:112] + node _T_7214 = add(_T_7213, _T_7199) @[exu_mul_ctl.scala 137:112] + node _T_7215 = add(_T_7214, _T_7200) @[exu_mul_ctl.scala 137:112] + node _T_7216 = add(_T_7215, _T_7201) @[exu_mul_ctl.scala 137:112] + node _T_7217 = add(_T_7216, _T_7202) @[exu_mul_ctl.scala 137:112] + node _T_7218 = add(_T_7217, _T_7203) @[exu_mul_ctl.scala 137:112] + node _T_7219 = add(_T_7218, _T_7204) @[exu_mul_ctl.scala 137:112] + node _T_7220 = add(_T_7219, _T_7205) @[exu_mul_ctl.scala 137:112] + node _T_7221 = add(_T_7220, _T_7206) @[exu_mul_ctl.scala 137:112] + node _T_7222 = add(_T_7221, _T_7207) @[exu_mul_ctl.scala 137:112] + node _T_7223 = add(_T_7222, _T_7208) @[exu_mul_ctl.scala 137:112] + node _T_7224 = add(_T_7223, _T_7209) @[exu_mul_ctl.scala 137:112] + node _T_7225 = add(_T_7224, _T_7210) @[exu_mul_ctl.scala 137:112] + node _T_7226 = add(_T_7225, _T_7211) @[exu_mul_ctl.scala 137:112] + node _T_7227 = add(_T_7226, _T_7212) @[exu_mul_ctl.scala 137:112] + node _T_7228 = eq(_T_7227, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7229 = bits(_T_7228, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7230 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_7231 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7232 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7233 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7234 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7235 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7236 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7237 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7238 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7239 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7240 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7241 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7242 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7243 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7244 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7245 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7246 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7247 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7248 = add(_T_7231, _T_7232) @[exu_mul_ctl.scala 137:112] + node _T_7249 = add(_T_7248, _T_7233) @[exu_mul_ctl.scala 137:112] + node _T_7250 = add(_T_7249, _T_7234) @[exu_mul_ctl.scala 137:112] + node _T_7251 = add(_T_7250, _T_7235) @[exu_mul_ctl.scala 137:112] + node _T_7252 = add(_T_7251, _T_7236) @[exu_mul_ctl.scala 137:112] + node _T_7253 = add(_T_7252, _T_7237) @[exu_mul_ctl.scala 137:112] + node _T_7254 = add(_T_7253, _T_7238) @[exu_mul_ctl.scala 137:112] + node _T_7255 = add(_T_7254, _T_7239) @[exu_mul_ctl.scala 137:112] + node _T_7256 = add(_T_7255, _T_7240) @[exu_mul_ctl.scala 137:112] + node _T_7257 = add(_T_7256, _T_7241) @[exu_mul_ctl.scala 137:112] + node _T_7258 = add(_T_7257, _T_7242) @[exu_mul_ctl.scala 137:112] + node _T_7259 = add(_T_7258, _T_7243) @[exu_mul_ctl.scala 137:112] + node _T_7260 = add(_T_7259, _T_7244) @[exu_mul_ctl.scala 137:112] + node _T_7261 = add(_T_7260, _T_7245) @[exu_mul_ctl.scala 137:112] + node _T_7262 = add(_T_7261, _T_7246) @[exu_mul_ctl.scala 137:112] + node _T_7263 = add(_T_7262, _T_7247) @[exu_mul_ctl.scala 137:112] + node _T_7264 = eq(_T_7263, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7265 = bits(_T_7264, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7266 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_7267 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7268 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7269 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7270 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7271 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7272 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7273 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7274 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7275 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7276 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7277 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7278 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7279 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7280 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7281 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7282 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7283 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7284 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7285 = add(_T_7267, _T_7268) @[exu_mul_ctl.scala 137:112] + node _T_7286 = add(_T_7285, _T_7269) @[exu_mul_ctl.scala 137:112] + node _T_7287 = add(_T_7286, _T_7270) @[exu_mul_ctl.scala 137:112] + node _T_7288 = add(_T_7287, _T_7271) @[exu_mul_ctl.scala 137:112] + node _T_7289 = add(_T_7288, _T_7272) @[exu_mul_ctl.scala 137:112] + node _T_7290 = add(_T_7289, _T_7273) @[exu_mul_ctl.scala 137:112] + node _T_7291 = add(_T_7290, _T_7274) @[exu_mul_ctl.scala 137:112] + node _T_7292 = add(_T_7291, _T_7275) @[exu_mul_ctl.scala 137:112] + node _T_7293 = add(_T_7292, _T_7276) @[exu_mul_ctl.scala 137:112] + node _T_7294 = add(_T_7293, _T_7277) @[exu_mul_ctl.scala 137:112] + node _T_7295 = add(_T_7294, _T_7278) @[exu_mul_ctl.scala 137:112] + node _T_7296 = add(_T_7295, _T_7279) @[exu_mul_ctl.scala 137:112] + node _T_7297 = add(_T_7296, _T_7280) @[exu_mul_ctl.scala 137:112] + node _T_7298 = add(_T_7297, _T_7281) @[exu_mul_ctl.scala 137:112] + node _T_7299 = add(_T_7298, _T_7282) @[exu_mul_ctl.scala 137:112] + node _T_7300 = add(_T_7299, _T_7283) @[exu_mul_ctl.scala 137:112] + node _T_7301 = add(_T_7300, _T_7284) @[exu_mul_ctl.scala 137:112] + node _T_7302 = eq(_T_7301, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7303 = bits(_T_7302, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7304 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_7305 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7306 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7307 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7308 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7309 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7310 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7311 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7312 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7313 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7314 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7315 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7316 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7317 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7318 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7319 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7320 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7321 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7322 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7323 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7324 = add(_T_7305, _T_7306) @[exu_mul_ctl.scala 137:112] + node _T_7325 = add(_T_7324, _T_7307) @[exu_mul_ctl.scala 137:112] + node _T_7326 = add(_T_7325, _T_7308) @[exu_mul_ctl.scala 137:112] + node _T_7327 = add(_T_7326, _T_7309) @[exu_mul_ctl.scala 137:112] + node _T_7328 = add(_T_7327, _T_7310) @[exu_mul_ctl.scala 137:112] + node _T_7329 = add(_T_7328, _T_7311) @[exu_mul_ctl.scala 137:112] + node _T_7330 = add(_T_7329, _T_7312) @[exu_mul_ctl.scala 137:112] + node _T_7331 = add(_T_7330, _T_7313) @[exu_mul_ctl.scala 137:112] + node _T_7332 = add(_T_7331, _T_7314) @[exu_mul_ctl.scala 137:112] + node _T_7333 = add(_T_7332, _T_7315) @[exu_mul_ctl.scala 137:112] + node _T_7334 = add(_T_7333, _T_7316) @[exu_mul_ctl.scala 137:112] + node _T_7335 = add(_T_7334, _T_7317) @[exu_mul_ctl.scala 137:112] + node _T_7336 = add(_T_7335, _T_7318) @[exu_mul_ctl.scala 137:112] + node _T_7337 = add(_T_7336, _T_7319) @[exu_mul_ctl.scala 137:112] + node _T_7338 = add(_T_7337, _T_7320) @[exu_mul_ctl.scala 137:112] + node _T_7339 = add(_T_7338, _T_7321) @[exu_mul_ctl.scala 137:112] + node _T_7340 = add(_T_7339, _T_7322) @[exu_mul_ctl.scala 137:112] + node _T_7341 = add(_T_7340, _T_7323) @[exu_mul_ctl.scala 137:112] + node _T_7342 = eq(_T_7341, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7343 = bits(_T_7342, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7344 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_7345 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7346 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7347 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7348 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7349 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7350 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7351 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7352 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7353 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7354 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7355 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7356 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7357 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7358 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7359 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7360 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7361 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7362 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7363 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7364 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7365 = add(_T_7345, _T_7346) @[exu_mul_ctl.scala 137:112] + node _T_7366 = add(_T_7365, _T_7347) @[exu_mul_ctl.scala 137:112] + node _T_7367 = add(_T_7366, _T_7348) @[exu_mul_ctl.scala 137:112] + node _T_7368 = add(_T_7367, _T_7349) @[exu_mul_ctl.scala 137:112] + node _T_7369 = add(_T_7368, _T_7350) @[exu_mul_ctl.scala 137:112] + node _T_7370 = add(_T_7369, _T_7351) @[exu_mul_ctl.scala 137:112] + node _T_7371 = add(_T_7370, _T_7352) @[exu_mul_ctl.scala 137:112] + node _T_7372 = add(_T_7371, _T_7353) @[exu_mul_ctl.scala 137:112] + node _T_7373 = add(_T_7372, _T_7354) @[exu_mul_ctl.scala 137:112] + node _T_7374 = add(_T_7373, _T_7355) @[exu_mul_ctl.scala 137:112] + node _T_7375 = add(_T_7374, _T_7356) @[exu_mul_ctl.scala 137:112] + node _T_7376 = add(_T_7375, _T_7357) @[exu_mul_ctl.scala 137:112] + node _T_7377 = add(_T_7376, _T_7358) @[exu_mul_ctl.scala 137:112] + node _T_7378 = add(_T_7377, _T_7359) @[exu_mul_ctl.scala 137:112] + node _T_7379 = add(_T_7378, _T_7360) @[exu_mul_ctl.scala 137:112] + node _T_7380 = add(_T_7379, _T_7361) @[exu_mul_ctl.scala 137:112] + node _T_7381 = add(_T_7380, _T_7362) @[exu_mul_ctl.scala 137:112] + node _T_7382 = add(_T_7381, _T_7363) @[exu_mul_ctl.scala 137:112] + node _T_7383 = add(_T_7382, _T_7364) @[exu_mul_ctl.scala 137:112] + node _T_7384 = eq(_T_7383, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7385 = bits(_T_7384, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7386 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_7387 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7388 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7389 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7390 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7391 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7392 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7393 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7394 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7395 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7396 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7397 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7398 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7399 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7400 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7401 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7402 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7403 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7404 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7405 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7406 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7407 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7408 = add(_T_7387, _T_7388) @[exu_mul_ctl.scala 137:112] + node _T_7409 = add(_T_7408, _T_7389) @[exu_mul_ctl.scala 137:112] + node _T_7410 = add(_T_7409, _T_7390) @[exu_mul_ctl.scala 137:112] + node _T_7411 = add(_T_7410, _T_7391) @[exu_mul_ctl.scala 137:112] + node _T_7412 = add(_T_7411, _T_7392) @[exu_mul_ctl.scala 137:112] + node _T_7413 = add(_T_7412, _T_7393) @[exu_mul_ctl.scala 137:112] + node _T_7414 = add(_T_7413, _T_7394) @[exu_mul_ctl.scala 137:112] + node _T_7415 = add(_T_7414, _T_7395) @[exu_mul_ctl.scala 137:112] + node _T_7416 = add(_T_7415, _T_7396) @[exu_mul_ctl.scala 137:112] + node _T_7417 = add(_T_7416, _T_7397) @[exu_mul_ctl.scala 137:112] + node _T_7418 = add(_T_7417, _T_7398) @[exu_mul_ctl.scala 137:112] + node _T_7419 = add(_T_7418, _T_7399) @[exu_mul_ctl.scala 137:112] + node _T_7420 = add(_T_7419, _T_7400) @[exu_mul_ctl.scala 137:112] + node _T_7421 = add(_T_7420, _T_7401) @[exu_mul_ctl.scala 137:112] + node _T_7422 = add(_T_7421, _T_7402) @[exu_mul_ctl.scala 137:112] + node _T_7423 = add(_T_7422, _T_7403) @[exu_mul_ctl.scala 137:112] + node _T_7424 = add(_T_7423, _T_7404) @[exu_mul_ctl.scala 137:112] + node _T_7425 = add(_T_7424, _T_7405) @[exu_mul_ctl.scala 137:112] + node _T_7426 = add(_T_7425, _T_7406) @[exu_mul_ctl.scala 137:112] + node _T_7427 = add(_T_7426, _T_7407) @[exu_mul_ctl.scala 137:112] + node _T_7428 = eq(_T_7427, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7429 = bits(_T_7428, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7430 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_7431 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7432 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7433 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7434 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7435 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7436 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7437 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7438 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7439 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7440 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7441 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7442 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7443 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7444 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7445 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7446 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7447 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7448 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7449 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7450 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7451 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7452 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7453 = add(_T_7431, _T_7432) @[exu_mul_ctl.scala 137:112] + node _T_7454 = add(_T_7453, _T_7433) @[exu_mul_ctl.scala 137:112] + node _T_7455 = add(_T_7454, _T_7434) @[exu_mul_ctl.scala 137:112] + node _T_7456 = add(_T_7455, _T_7435) @[exu_mul_ctl.scala 137:112] + node _T_7457 = add(_T_7456, _T_7436) @[exu_mul_ctl.scala 137:112] + node _T_7458 = add(_T_7457, _T_7437) @[exu_mul_ctl.scala 137:112] + node _T_7459 = add(_T_7458, _T_7438) @[exu_mul_ctl.scala 137:112] + node _T_7460 = add(_T_7459, _T_7439) @[exu_mul_ctl.scala 137:112] + node _T_7461 = add(_T_7460, _T_7440) @[exu_mul_ctl.scala 137:112] + node _T_7462 = add(_T_7461, _T_7441) @[exu_mul_ctl.scala 137:112] + node _T_7463 = add(_T_7462, _T_7442) @[exu_mul_ctl.scala 137:112] + node _T_7464 = add(_T_7463, _T_7443) @[exu_mul_ctl.scala 137:112] + node _T_7465 = add(_T_7464, _T_7444) @[exu_mul_ctl.scala 137:112] + node _T_7466 = add(_T_7465, _T_7445) @[exu_mul_ctl.scala 137:112] + node _T_7467 = add(_T_7466, _T_7446) @[exu_mul_ctl.scala 137:112] + node _T_7468 = add(_T_7467, _T_7447) @[exu_mul_ctl.scala 137:112] + node _T_7469 = add(_T_7468, _T_7448) @[exu_mul_ctl.scala 137:112] + node _T_7470 = add(_T_7469, _T_7449) @[exu_mul_ctl.scala 137:112] + node _T_7471 = add(_T_7470, _T_7450) @[exu_mul_ctl.scala 137:112] + node _T_7472 = add(_T_7471, _T_7451) @[exu_mul_ctl.scala 137:112] + node _T_7473 = add(_T_7472, _T_7452) @[exu_mul_ctl.scala 137:112] + node _T_7474 = eq(_T_7473, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7475 = bits(_T_7474, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7476 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_7477 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7478 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7479 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7480 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7481 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7482 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7483 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7484 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7485 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7486 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7487 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7488 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7489 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7490 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7491 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7492 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7493 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7494 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7495 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7496 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7497 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7498 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7499 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7500 = add(_T_7477, _T_7478) @[exu_mul_ctl.scala 137:112] + node _T_7501 = add(_T_7500, _T_7479) @[exu_mul_ctl.scala 137:112] + node _T_7502 = add(_T_7501, _T_7480) @[exu_mul_ctl.scala 137:112] + node _T_7503 = add(_T_7502, _T_7481) @[exu_mul_ctl.scala 137:112] + node _T_7504 = add(_T_7503, _T_7482) @[exu_mul_ctl.scala 137:112] + node _T_7505 = add(_T_7504, _T_7483) @[exu_mul_ctl.scala 137:112] + node _T_7506 = add(_T_7505, _T_7484) @[exu_mul_ctl.scala 137:112] + node _T_7507 = add(_T_7506, _T_7485) @[exu_mul_ctl.scala 137:112] + node _T_7508 = add(_T_7507, _T_7486) @[exu_mul_ctl.scala 137:112] + node _T_7509 = add(_T_7508, _T_7487) @[exu_mul_ctl.scala 137:112] + node _T_7510 = add(_T_7509, _T_7488) @[exu_mul_ctl.scala 137:112] + node _T_7511 = add(_T_7510, _T_7489) @[exu_mul_ctl.scala 137:112] + node _T_7512 = add(_T_7511, _T_7490) @[exu_mul_ctl.scala 137:112] + node _T_7513 = add(_T_7512, _T_7491) @[exu_mul_ctl.scala 137:112] + node _T_7514 = add(_T_7513, _T_7492) @[exu_mul_ctl.scala 137:112] + node _T_7515 = add(_T_7514, _T_7493) @[exu_mul_ctl.scala 137:112] + node _T_7516 = add(_T_7515, _T_7494) @[exu_mul_ctl.scala 137:112] + node _T_7517 = add(_T_7516, _T_7495) @[exu_mul_ctl.scala 137:112] + node _T_7518 = add(_T_7517, _T_7496) @[exu_mul_ctl.scala 137:112] + node _T_7519 = add(_T_7518, _T_7497) @[exu_mul_ctl.scala 137:112] + node _T_7520 = add(_T_7519, _T_7498) @[exu_mul_ctl.scala 137:112] + node _T_7521 = add(_T_7520, _T_7499) @[exu_mul_ctl.scala 137:112] + node _T_7522 = eq(_T_7521, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7523 = bits(_T_7522, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7524 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_7525 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7526 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7527 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7528 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7529 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7530 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7531 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7532 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7533 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7534 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7535 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7536 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7537 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7538 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7539 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7540 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7541 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7542 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7543 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7544 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7545 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7546 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7547 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7548 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7549 = add(_T_7525, _T_7526) @[exu_mul_ctl.scala 137:112] + node _T_7550 = add(_T_7549, _T_7527) @[exu_mul_ctl.scala 137:112] + node _T_7551 = add(_T_7550, _T_7528) @[exu_mul_ctl.scala 137:112] + node _T_7552 = add(_T_7551, _T_7529) @[exu_mul_ctl.scala 137:112] + node _T_7553 = add(_T_7552, _T_7530) @[exu_mul_ctl.scala 137:112] + node _T_7554 = add(_T_7553, _T_7531) @[exu_mul_ctl.scala 137:112] + node _T_7555 = add(_T_7554, _T_7532) @[exu_mul_ctl.scala 137:112] + node _T_7556 = add(_T_7555, _T_7533) @[exu_mul_ctl.scala 137:112] + node _T_7557 = add(_T_7556, _T_7534) @[exu_mul_ctl.scala 137:112] + node _T_7558 = add(_T_7557, _T_7535) @[exu_mul_ctl.scala 137:112] + node _T_7559 = add(_T_7558, _T_7536) @[exu_mul_ctl.scala 137:112] + node _T_7560 = add(_T_7559, _T_7537) @[exu_mul_ctl.scala 137:112] + node _T_7561 = add(_T_7560, _T_7538) @[exu_mul_ctl.scala 137:112] + node _T_7562 = add(_T_7561, _T_7539) @[exu_mul_ctl.scala 137:112] + node _T_7563 = add(_T_7562, _T_7540) @[exu_mul_ctl.scala 137:112] + node _T_7564 = add(_T_7563, _T_7541) @[exu_mul_ctl.scala 137:112] + node _T_7565 = add(_T_7564, _T_7542) @[exu_mul_ctl.scala 137:112] + node _T_7566 = add(_T_7565, _T_7543) @[exu_mul_ctl.scala 137:112] + node _T_7567 = add(_T_7566, _T_7544) @[exu_mul_ctl.scala 137:112] + node _T_7568 = add(_T_7567, _T_7545) @[exu_mul_ctl.scala 137:112] + node _T_7569 = add(_T_7568, _T_7546) @[exu_mul_ctl.scala 137:112] + node _T_7570 = add(_T_7569, _T_7547) @[exu_mul_ctl.scala 137:112] + node _T_7571 = add(_T_7570, _T_7548) @[exu_mul_ctl.scala 137:112] + node _T_7572 = eq(_T_7571, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7573 = bits(_T_7572, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7574 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_7575 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7576 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7577 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7578 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7579 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7580 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7581 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7582 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7583 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7584 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7585 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7586 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7587 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7588 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7589 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7590 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7591 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7592 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7593 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7594 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7595 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7596 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7597 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7598 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7599 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7600 = add(_T_7575, _T_7576) @[exu_mul_ctl.scala 137:112] + node _T_7601 = add(_T_7600, _T_7577) @[exu_mul_ctl.scala 137:112] + node _T_7602 = add(_T_7601, _T_7578) @[exu_mul_ctl.scala 137:112] + node _T_7603 = add(_T_7602, _T_7579) @[exu_mul_ctl.scala 137:112] + node _T_7604 = add(_T_7603, _T_7580) @[exu_mul_ctl.scala 137:112] + node _T_7605 = add(_T_7604, _T_7581) @[exu_mul_ctl.scala 137:112] + node _T_7606 = add(_T_7605, _T_7582) @[exu_mul_ctl.scala 137:112] + node _T_7607 = add(_T_7606, _T_7583) @[exu_mul_ctl.scala 137:112] + node _T_7608 = add(_T_7607, _T_7584) @[exu_mul_ctl.scala 137:112] + node _T_7609 = add(_T_7608, _T_7585) @[exu_mul_ctl.scala 137:112] + node _T_7610 = add(_T_7609, _T_7586) @[exu_mul_ctl.scala 137:112] + node _T_7611 = add(_T_7610, _T_7587) @[exu_mul_ctl.scala 137:112] + node _T_7612 = add(_T_7611, _T_7588) @[exu_mul_ctl.scala 137:112] + node _T_7613 = add(_T_7612, _T_7589) @[exu_mul_ctl.scala 137:112] + node _T_7614 = add(_T_7613, _T_7590) @[exu_mul_ctl.scala 137:112] + node _T_7615 = add(_T_7614, _T_7591) @[exu_mul_ctl.scala 137:112] + node _T_7616 = add(_T_7615, _T_7592) @[exu_mul_ctl.scala 137:112] + node _T_7617 = add(_T_7616, _T_7593) @[exu_mul_ctl.scala 137:112] + node _T_7618 = add(_T_7617, _T_7594) @[exu_mul_ctl.scala 137:112] + node _T_7619 = add(_T_7618, _T_7595) @[exu_mul_ctl.scala 137:112] + node _T_7620 = add(_T_7619, _T_7596) @[exu_mul_ctl.scala 137:112] + node _T_7621 = add(_T_7620, _T_7597) @[exu_mul_ctl.scala 137:112] + node _T_7622 = add(_T_7621, _T_7598) @[exu_mul_ctl.scala 137:112] + node _T_7623 = add(_T_7622, _T_7599) @[exu_mul_ctl.scala 137:112] + node _T_7624 = eq(_T_7623, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7625 = bits(_T_7624, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7626 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_7627 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7628 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7629 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7630 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7631 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7632 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7633 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7634 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7635 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7636 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7637 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7638 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7639 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7640 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7641 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7642 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7643 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7644 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7645 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7646 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7647 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7648 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7649 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7650 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7651 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7652 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7653 = add(_T_7627, _T_7628) @[exu_mul_ctl.scala 137:112] + node _T_7654 = add(_T_7653, _T_7629) @[exu_mul_ctl.scala 137:112] + node _T_7655 = add(_T_7654, _T_7630) @[exu_mul_ctl.scala 137:112] + node _T_7656 = add(_T_7655, _T_7631) @[exu_mul_ctl.scala 137:112] + node _T_7657 = add(_T_7656, _T_7632) @[exu_mul_ctl.scala 137:112] + node _T_7658 = add(_T_7657, _T_7633) @[exu_mul_ctl.scala 137:112] + node _T_7659 = add(_T_7658, _T_7634) @[exu_mul_ctl.scala 137:112] + node _T_7660 = add(_T_7659, _T_7635) @[exu_mul_ctl.scala 137:112] + node _T_7661 = add(_T_7660, _T_7636) @[exu_mul_ctl.scala 137:112] + node _T_7662 = add(_T_7661, _T_7637) @[exu_mul_ctl.scala 137:112] + node _T_7663 = add(_T_7662, _T_7638) @[exu_mul_ctl.scala 137:112] + node _T_7664 = add(_T_7663, _T_7639) @[exu_mul_ctl.scala 137:112] + node _T_7665 = add(_T_7664, _T_7640) @[exu_mul_ctl.scala 137:112] + node _T_7666 = add(_T_7665, _T_7641) @[exu_mul_ctl.scala 137:112] + node _T_7667 = add(_T_7666, _T_7642) @[exu_mul_ctl.scala 137:112] + node _T_7668 = add(_T_7667, _T_7643) @[exu_mul_ctl.scala 137:112] + node _T_7669 = add(_T_7668, _T_7644) @[exu_mul_ctl.scala 137:112] + node _T_7670 = add(_T_7669, _T_7645) @[exu_mul_ctl.scala 137:112] + node _T_7671 = add(_T_7670, _T_7646) @[exu_mul_ctl.scala 137:112] + node _T_7672 = add(_T_7671, _T_7647) @[exu_mul_ctl.scala 137:112] + node _T_7673 = add(_T_7672, _T_7648) @[exu_mul_ctl.scala 137:112] + node _T_7674 = add(_T_7673, _T_7649) @[exu_mul_ctl.scala 137:112] + node _T_7675 = add(_T_7674, _T_7650) @[exu_mul_ctl.scala 137:112] + node _T_7676 = add(_T_7675, _T_7651) @[exu_mul_ctl.scala 137:112] + node _T_7677 = add(_T_7676, _T_7652) @[exu_mul_ctl.scala 137:112] + node _T_7678 = eq(_T_7677, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7679 = bits(_T_7678, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7680 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_7681 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7682 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7683 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7684 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7685 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7686 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7687 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7688 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7689 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7690 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7691 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7692 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7693 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7694 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7695 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7696 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7697 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7698 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7699 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7700 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7701 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7702 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7703 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7704 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7705 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7706 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7707 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_7708 = add(_T_7681, _T_7682) @[exu_mul_ctl.scala 137:112] + node _T_7709 = add(_T_7708, _T_7683) @[exu_mul_ctl.scala 137:112] + node _T_7710 = add(_T_7709, _T_7684) @[exu_mul_ctl.scala 137:112] + node _T_7711 = add(_T_7710, _T_7685) @[exu_mul_ctl.scala 137:112] + node _T_7712 = add(_T_7711, _T_7686) @[exu_mul_ctl.scala 137:112] + node _T_7713 = add(_T_7712, _T_7687) @[exu_mul_ctl.scala 137:112] + node _T_7714 = add(_T_7713, _T_7688) @[exu_mul_ctl.scala 137:112] + node _T_7715 = add(_T_7714, _T_7689) @[exu_mul_ctl.scala 137:112] + node _T_7716 = add(_T_7715, _T_7690) @[exu_mul_ctl.scala 137:112] + node _T_7717 = add(_T_7716, _T_7691) @[exu_mul_ctl.scala 137:112] + node _T_7718 = add(_T_7717, _T_7692) @[exu_mul_ctl.scala 137:112] + node _T_7719 = add(_T_7718, _T_7693) @[exu_mul_ctl.scala 137:112] + node _T_7720 = add(_T_7719, _T_7694) @[exu_mul_ctl.scala 137:112] + node _T_7721 = add(_T_7720, _T_7695) @[exu_mul_ctl.scala 137:112] + node _T_7722 = add(_T_7721, _T_7696) @[exu_mul_ctl.scala 137:112] + node _T_7723 = add(_T_7722, _T_7697) @[exu_mul_ctl.scala 137:112] + node _T_7724 = add(_T_7723, _T_7698) @[exu_mul_ctl.scala 137:112] + node _T_7725 = add(_T_7724, _T_7699) @[exu_mul_ctl.scala 137:112] + node _T_7726 = add(_T_7725, _T_7700) @[exu_mul_ctl.scala 137:112] + node _T_7727 = add(_T_7726, _T_7701) @[exu_mul_ctl.scala 137:112] + node _T_7728 = add(_T_7727, _T_7702) @[exu_mul_ctl.scala 137:112] + node _T_7729 = add(_T_7728, _T_7703) @[exu_mul_ctl.scala 137:112] + node _T_7730 = add(_T_7729, _T_7704) @[exu_mul_ctl.scala 137:112] + node _T_7731 = add(_T_7730, _T_7705) @[exu_mul_ctl.scala 137:112] + node _T_7732 = add(_T_7731, _T_7706) @[exu_mul_ctl.scala 137:112] + node _T_7733 = add(_T_7732, _T_7707) @[exu_mul_ctl.scala 137:112] + node _T_7734 = eq(_T_7733, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7735 = bits(_T_7734, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7736 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_7737 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7738 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7739 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7740 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7741 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7742 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7743 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7744 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7745 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7746 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7747 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7748 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7749 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7750 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7751 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7752 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7753 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7754 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7755 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7756 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7757 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7758 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7759 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7760 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7761 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7762 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7763 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_7764 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_7765 = add(_T_7737, _T_7738) @[exu_mul_ctl.scala 137:112] + node _T_7766 = add(_T_7765, _T_7739) @[exu_mul_ctl.scala 137:112] + node _T_7767 = add(_T_7766, _T_7740) @[exu_mul_ctl.scala 137:112] + node _T_7768 = add(_T_7767, _T_7741) @[exu_mul_ctl.scala 137:112] + node _T_7769 = add(_T_7768, _T_7742) @[exu_mul_ctl.scala 137:112] + node _T_7770 = add(_T_7769, _T_7743) @[exu_mul_ctl.scala 137:112] + node _T_7771 = add(_T_7770, _T_7744) @[exu_mul_ctl.scala 137:112] + node _T_7772 = add(_T_7771, _T_7745) @[exu_mul_ctl.scala 137:112] + node _T_7773 = add(_T_7772, _T_7746) @[exu_mul_ctl.scala 137:112] + node _T_7774 = add(_T_7773, _T_7747) @[exu_mul_ctl.scala 137:112] + node _T_7775 = add(_T_7774, _T_7748) @[exu_mul_ctl.scala 137:112] + node _T_7776 = add(_T_7775, _T_7749) @[exu_mul_ctl.scala 137:112] + node _T_7777 = add(_T_7776, _T_7750) @[exu_mul_ctl.scala 137:112] + node _T_7778 = add(_T_7777, _T_7751) @[exu_mul_ctl.scala 137:112] + node _T_7779 = add(_T_7778, _T_7752) @[exu_mul_ctl.scala 137:112] + node _T_7780 = add(_T_7779, _T_7753) @[exu_mul_ctl.scala 137:112] + node _T_7781 = add(_T_7780, _T_7754) @[exu_mul_ctl.scala 137:112] + node _T_7782 = add(_T_7781, _T_7755) @[exu_mul_ctl.scala 137:112] + node _T_7783 = add(_T_7782, _T_7756) @[exu_mul_ctl.scala 137:112] + node _T_7784 = add(_T_7783, _T_7757) @[exu_mul_ctl.scala 137:112] + node _T_7785 = add(_T_7784, _T_7758) @[exu_mul_ctl.scala 137:112] + node _T_7786 = add(_T_7785, _T_7759) @[exu_mul_ctl.scala 137:112] + node _T_7787 = add(_T_7786, _T_7760) @[exu_mul_ctl.scala 137:112] + node _T_7788 = add(_T_7787, _T_7761) @[exu_mul_ctl.scala 137:112] + node _T_7789 = add(_T_7788, _T_7762) @[exu_mul_ctl.scala 137:112] + node _T_7790 = add(_T_7789, _T_7763) @[exu_mul_ctl.scala 137:112] + node _T_7791 = add(_T_7790, _T_7764) @[exu_mul_ctl.scala 137:112] + node _T_7792 = eq(_T_7791, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7793 = bits(_T_7792, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7794 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_7795 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7796 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7797 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7798 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7799 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7800 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7801 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7802 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7803 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7804 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7805 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7806 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7807 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7808 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7809 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7810 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7811 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7812 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7813 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7814 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7815 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7816 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7817 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7818 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7819 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7820 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7821 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_7822 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_7823 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_7824 = add(_T_7795, _T_7796) @[exu_mul_ctl.scala 137:112] + node _T_7825 = add(_T_7824, _T_7797) @[exu_mul_ctl.scala 137:112] + node _T_7826 = add(_T_7825, _T_7798) @[exu_mul_ctl.scala 137:112] + node _T_7827 = add(_T_7826, _T_7799) @[exu_mul_ctl.scala 137:112] + node _T_7828 = add(_T_7827, _T_7800) @[exu_mul_ctl.scala 137:112] + node _T_7829 = add(_T_7828, _T_7801) @[exu_mul_ctl.scala 137:112] + node _T_7830 = add(_T_7829, _T_7802) @[exu_mul_ctl.scala 137:112] + node _T_7831 = add(_T_7830, _T_7803) @[exu_mul_ctl.scala 137:112] + node _T_7832 = add(_T_7831, _T_7804) @[exu_mul_ctl.scala 137:112] + node _T_7833 = add(_T_7832, _T_7805) @[exu_mul_ctl.scala 137:112] + node _T_7834 = add(_T_7833, _T_7806) @[exu_mul_ctl.scala 137:112] + node _T_7835 = add(_T_7834, _T_7807) @[exu_mul_ctl.scala 137:112] + node _T_7836 = add(_T_7835, _T_7808) @[exu_mul_ctl.scala 137:112] + node _T_7837 = add(_T_7836, _T_7809) @[exu_mul_ctl.scala 137:112] + node _T_7838 = add(_T_7837, _T_7810) @[exu_mul_ctl.scala 137:112] + node _T_7839 = add(_T_7838, _T_7811) @[exu_mul_ctl.scala 137:112] + node _T_7840 = add(_T_7839, _T_7812) @[exu_mul_ctl.scala 137:112] + node _T_7841 = add(_T_7840, _T_7813) @[exu_mul_ctl.scala 137:112] + node _T_7842 = add(_T_7841, _T_7814) @[exu_mul_ctl.scala 137:112] + node _T_7843 = add(_T_7842, _T_7815) @[exu_mul_ctl.scala 137:112] + node _T_7844 = add(_T_7843, _T_7816) @[exu_mul_ctl.scala 137:112] + node _T_7845 = add(_T_7844, _T_7817) @[exu_mul_ctl.scala 137:112] + node _T_7846 = add(_T_7845, _T_7818) @[exu_mul_ctl.scala 137:112] + node _T_7847 = add(_T_7846, _T_7819) @[exu_mul_ctl.scala 137:112] + node _T_7848 = add(_T_7847, _T_7820) @[exu_mul_ctl.scala 137:112] + node _T_7849 = add(_T_7848, _T_7821) @[exu_mul_ctl.scala 137:112] + node _T_7850 = add(_T_7849, _T_7822) @[exu_mul_ctl.scala 137:112] + node _T_7851 = add(_T_7850, _T_7823) @[exu_mul_ctl.scala 137:112] + node _T_7852 = eq(_T_7851, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7853 = bits(_T_7852, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7854 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_7855 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7856 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7857 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7858 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7859 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7860 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7861 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7862 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7863 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7864 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7865 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7866 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7867 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7868 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7869 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7870 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7871 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7872 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7873 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7874 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7875 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7876 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7877 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7878 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7879 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7880 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7881 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_7882 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_7883 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_7884 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_7885 = add(_T_7855, _T_7856) @[exu_mul_ctl.scala 137:112] + node _T_7886 = add(_T_7885, _T_7857) @[exu_mul_ctl.scala 137:112] + node _T_7887 = add(_T_7886, _T_7858) @[exu_mul_ctl.scala 137:112] + node _T_7888 = add(_T_7887, _T_7859) @[exu_mul_ctl.scala 137:112] + node _T_7889 = add(_T_7888, _T_7860) @[exu_mul_ctl.scala 137:112] + node _T_7890 = add(_T_7889, _T_7861) @[exu_mul_ctl.scala 137:112] + node _T_7891 = add(_T_7890, _T_7862) @[exu_mul_ctl.scala 137:112] + node _T_7892 = add(_T_7891, _T_7863) @[exu_mul_ctl.scala 137:112] + node _T_7893 = add(_T_7892, _T_7864) @[exu_mul_ctl.scala 137:112] + node _T_7894 = add(_T_7893, _T_7865) @[exu_mul_ctl.scala 137:112] + node _T_7895 = add(_T_7894, _T_7866) @[exu_mul_ctl.scala 137:112] + node _T_7896 = add(_T_7895, _T_7867) @[exu_mul_ctl.scala 137:112] + node _T_7897 = add(_T_7896, _T_7868) @[exu_mul_ctl.scala 137:112] + node _T_7898 = add(_T_7897, _T_7869) @[exu_mul_ctl.scala 137:112] + node _T_7899 = add(_T_7898, _T_7870) @[exu_mul_ctl.scala 137:112] + node _T_7900 = add(_T_7899, _T_7871) @[exu_mul_ctl.scala 137:112] + node _T_7901 = add(_T_7900, _T_7872) @[exu_mul_ctl.scala 137:112] + node _T_7902 = add(_T_7901, _T_7873) @[exu_mul_ctl.scala 137:112] + node _T_7903 = add(_T_7902, _T_7874) @[exu_mul_ctl.scala 137:112] + node _T_7904 = add(_T_7903, _T_7875) @[exu_mul_ctl.scala 137:112] + node _T_7905 = add(_T_7904, _T_7876) @[exu_mul_ctl.scala 137:112] + node _T_7906 = add(_T_7905, _T_7877) @[exu_mul_ctl.scala 137:112] + node _T_7907 = add(_T_7906, _T_7878) @[exu_mul_ctl.scala 137:112] + node _T_7908 = add(_T_7907, _T_7879) @[exu_mul_ctl.scala 137:112] + node _T_7909 = add(_T_7908, _T_7880) @[exu_mul_ctl.scala 137:112] + node _T_7910 = add(_T_7909, _T_7881) @[exu_mul_ctl.scala 137:112] + node _T_7911 = add(_T_7910, _T_7882) @[exu_mul_ctl.scala 137:112] + node _T_7912 = add(_T_7911, _T_7883) @[exu_mul_ctl.scala 137:112] + node _T_7913 = add(_T_7912, _T_7884) @[exu_mul_ctl.scala 137:112] + node _T_7914 = eq(_T_7913, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7915 = bits(_T_7914, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7916 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_7917 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7918 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7919 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7920 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7921 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7922 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7923 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7924 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7925 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7926 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7927 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7928 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7929 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7930 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7931 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7932 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7933 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7934 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7935 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_7936 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_7937 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_7938 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_7939 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_7940 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_7941 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_7942 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_7943 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_7944 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_7945 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_7946 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_7947 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_7948 = add(_T_7917, _T_7918) @[exu_mul_ctl.scala 137:112] + node _T_7949 = add(_T_7948, _T_7919) @[exu_mul_ctl.scala 137:112] + node _T_7950 = add(_T_7949, _T_7920) @[exu_mul_ctl.scala 137:112] + node _T_7951 = add(_T_7950, _T_7921) @[exu_mul_ctl.scala 137:112] + node _T_7952 = add(_T_7951, _T_7922) @[exu_mul_ctl.scala 137:112] + node _T_7953 = add(_T_7952, _T_7923) @[exu_mul_ctl.scala 137:112] + node _T_7954 = add(_T_7953, _T_7924) @[exu_mul_ctl.scala 137:112] + node _T_7955 = add(_T_7954, _T_7925) @[exu_mul_ctl.scala 137:112] + node _T_7956 = add(_T_7955, _T_7926) @[exu_mul_ctl.scala 137:112] + node _T_7957 = add(_T_7956, _T_7927) @[exu_mul_ctl.scala 137:112] + node _T_7958 = add(_T_7957, _T_7928) @[exu_mul_ctl.scala 137:112] + node _T_7959 = add(_T_7958, _T_7929) @[exu_mul_ctl.scala 137:112] + node _T_7960 = add(_T_7959, _T_7930) @[exu_mul_ctl.scala 137:112] + node _T_7961 = add(_T_7960, _T_7931) @[exu_mul_ctl.scala 137:112] + node _T_7962 = add(_T_7961, _T_7932) @[exu_mul_ctl.scala 137:112] + node _T_7963 = add(_T_7962, _T_7933) @[exu_mul_ctl.scala 137:112] + node _T_7964 = add(_T_7963, _T_7934) @[exu_mul_ctl.scala 137:112] + node _T_7965 = add(_T_7964, _T_7935) @[exu_mul_ctl.scala 137:112] + node _T_7966 = add(_T_7965, _T_7936) @[exu_mul_ctl.scala 137:112] + node _T_7967 = add(_T_7966, _T_7937) @[exu_mul_ctl.scala 137:112] + node _T_7968 = add(_T_7967, _T_7938) @[exu_mul_ctl.scala 137:112] + node _T_7969 = add(_T_7968, _T_7939) @[exu_mul_ctl.scala 137:112] + node _T_7970 = add(_T_7969, _T_7940) @[exu_mul_ctl.scala 137:112] + node _T_7971 = add(_T_7970, _T_7941) @[exu_mul_ctl.scala 137:112] + node _T_7972 = add(_T_7971, _T_7942) @[exu_mul_ctl.scala 137:112] + node _T_7973 = add(_T_7972, _T_7943) @[exu_mul_ctl.scala 137:112] + node _T_7974 = add(_T_7973, _T_7944) @[exu_mul_ctl.scala 137:112] + node _T_7975 = add(_T_7974, _T_7945) @[exu_mul_ctl.scala 137:112] + node _T_7976 = add(_T_7975, _T_7946) @[exu_mul_ctl.scala 137:112] + node _T_7977 = add(_T_7976, _T_7947) @[exu_mul_ctl.scala 137:112] + node _T_7978 = eq(_T_7977, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_7979 = bits(_T_7978, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_7980 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_7981 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_7982 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_7983 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_7984 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_7985 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_7986 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_7987 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_7988 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_7989 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_7990 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_7991 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_7992 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_7993 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_7994 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_7995 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_7996 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_7997 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_7998 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_7999 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8000 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8001 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8002 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8003 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8004 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8005 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8006 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_8007 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_8008 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_8009 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_8010 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_8011 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_8012 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_8013 = add(_T_7981, _T_7982) @[exu_mul_ctl.scala 137:112] + node _T_8014 = add(_T_8013, _T_7983) @[exu_mul_ctl.scala 137:112] + node _T_8015 = add(_T_8014, _T_7984) @[exu_mul_ctl.scala 137:112] + node _T_8016 = add(_T_8015, _T_7985) @[exu_mul_ctl.scala 137:112] + node _T_8017 = add(_T_8016, _T_7986) @[exu_mul_ctl.scala 137:112] + node _T_8018 = add(_T_8017, _T_7987) @[exu_mul_ctl.scala 137:112] + node _T_8019 = add(_T_8018, _T_7988) @[exu_mul_ctl.scala 137:112] + node _T_8020 = add(_T_8019, _T_7989) @[exu_mul_ctl.scala 137:112] + node _T_8021 = add(_T_8020, _T_7990) @[exu_mul_ctl.scala 137:112] + node _T_8022 = add(_T_8021, _T_7991) @[exu_mul_ctl.scala 137:112] + node _T_8023 = add(_T_8022, _T_7992) @[exu_mul_ctl.scala 137:112] + node _T_8024 = add(_T_8023, _T_7993) @[exu_mul_ctl.scala 137:112] + node _T_8025 = add(_T_8024, _T_7994) @[exu_mul_ctl.scala 137:112] + node _T_8026 = add(_T_8025, _T_7995) @[exu_mul_ctl.scala 137:112] + node _T_8027 = add(_T_8026, _T_7996) @[exu_mul_ctl.scala 137:112] + node _T_8028 = add(_T_8027, _T_7997) @[exu_mul_ctl.scala 137:112] + node _T_8029 = add(_T_8028, _T_7998) @[exu_mul_ctl.scala 137:112] + node _T_8030 = add(_T_8029, _T_7999) @[exu_mul_ctl.scala 137:112] + node _T_8031 = add(_T_8030, _T_8000) @[exu_mul_ctl.scala 137:112] + node _T_8032 = add(_T_8031, _T_8001) @[exu_mul_ctl.scala 137:112] + node _T_8033 = add(_T_8032, _T_8002) @[exu_mul_ctl.scala 137:112] + node _T_8034 = add(_T_8033, _T_8003) @[exu_mul_ctl.scala 137:112] + node _T_8035 = add(_T_8034, _T_8004) @[exu_mul_ctl.scala 137:112] + node _T_8036 = add(_T_8035, _T_8005) @[exu_mul_ctl.scala 137:112] + node _T_8037 = add(_T_8036, _T_8006) @[exu_mul_ctl.scala 137:112] + node _T_8038 = add(_T_8037, _T_8007) @[exu_mul_ctl.scala 137:112] + node _T_8039 = add(_T_8038, _T_8008) @[exu_mul_ctl.scala 137:112] + node _T_8040 = add(_T_8039, _T_8009) @[exu_mul_ctl.scala 137:112] + node _T_8041 = add(_T_8040, _T_8010) @[exu_mul_ctl.scala 137:112] + node _T_8042 = add(_T_8041, _T_8011) @[exu_mul_ctl.scala 137:112] + node _T_8043 = add(_T_8042, _T_8012) @[exu_mul_ctl.scala 137:112] + node _T_8044 = eq(_T_8043, UInt<3>("h07")) @[exu_mul_ctl.scala 138:87] + node _T_8045 = bits(_T_8044, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8046 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_8047 = mux(_T_8045, _T_8046, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_8048 = mux(_T_7979, _T_7980, _T_8047) @[Mux.scala 98:16] + node _T_8049 = mux(_T_7915, _T_7916, _T_8048) @[Mux.scala 98:16] + node _T_8050 = mux(_T_7853, _T_7854, _T_8049) @[Mux.scala 98:16] + node _T_8051 = mux(_T_7793, _T_7794, _T_8050) @[Mux.scala 98:16] + node _T_8052 = mux(_T_7735, _T_7736, _T_8051) @[Mux.scala 98:16] + node _T_8053 = mux(_T_7679, _T_7680, _T_8052) @[Mux.scala 98:16] + node _T_8054 = mux(_T_7625, _T_7626, _T_8053) @[Mux.scala 98:16] + node _T_8055 = mux(_T_7573, _T_7574, _T_8054) @[Mux.scala 98:16] + node _T_8056 = mux(_T_7523, _T_7524, _T_8055) @[Mux.scala 98:16] + node _T_8057 = mux(_T_7475, _T_7476, _T_8056) @[Mux.scala 98:16] + node _T_8058 = mux(_T_7429, _T_7430, _T_8057) @[Mux.scala 98:16] + node _T_8059 = mux(_T_7385, _T_7386, _T_8058) @[Mux.scala 98:16] + node _T_8060 = mux(_T_7343, _T_7344, _T_8059) @[Mux.scala 98:16] + node _T_8061 = mux(_T_7303, _T_7304, _T_8060) @[Mux.scala 98:16] + node _T_8062 = mux(_T_7265, _T_7266, _T_8061) @[Mux.scala 98:16] + node _T_8063 = mux(_T_7229, _T_7230, _T_8062) @[Mux.scala 98:16] + node _T_8064 = mux(_T_7195, _T_7196, _T_8063) @[Mux.scala 98:16] + node _T_8065 = mux(_T_7163, _T_7164, _T_8064) @[Mux.scala 98:16] + node _T_8066 = mux(_T_7133, _T_7134, _T_8065) @[Mux.scala 98:16] + node _T_8067 = mux(_T_7105, _T_7106, _T_8066) @[Mux.scala 98:16] + node _T_8068 = mux(_T_7079, _T_7080, _T_8067) @[Mux.scala 98:16] + node _T_8069 = mux(_T_7055, _T_7056, _T_8068) @[Mux.scala 98:16] + node _T_8070 = mux(_T_7033, _T_7034, _T_8069) @[Mux.scala 98:16] + node _T_8071 = mux(_T_7013, _T_7014, _T_8070) @[Mux.scala 98:16] + node _T_8072 = mux(_T_6995, _T_6996, _T_8071) @[Mux.scala 98:16] + node _T_8073 = mux(_T_6979, _T_6980, _T_8072) @[Mux.scala 98:16] + node _T_8074 = mux(_T_6965, _T_6966, _T_8073) @[Mux.scala 98:16] + node _T_8075 = mux(_T_6953, _T_6954, _T_8074) @[Mux.scala 98:16] + node _T_8076 = mux(_T_6943, _T_6944, _T_8075) @[Mux.scala 98:16] + node _T_8077 = mux(_T_6935, _T_6936, _T_8076) @[Mux.scala 98:16] + node _T_8078 = mux(_T_6929, _T_6930, _T_8077) @[Mux.scala 98:16] + node _T_8079 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_8080 = eq(_T_8079, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8081 = bits(_T_8080, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8082 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_8083 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8084 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8085 = add(_T_8083, _T_8084) @[exu_mul_ctl.scala 137:112] + node _T_8086 = eq(_T_8085, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8087 = bits(_T_8086, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8088 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_8089 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8090 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8091 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8092 = add(_T_8089, _T_8090) @[exu_mul_ctl.scala 137:112] + node _T_8093 = add(_T_8092, _T_8091) @[exu_mul_ctl.scala 137:112] + node _T_8094 = eq(_T_8093, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8095 = bits(_T_8094, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8096 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_8097 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8098 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8099 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8100 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8101 = add(_T_8097, _T_8098) @[exu_mul_ctl.scala 137:112] + node _T_8102 = add(_T_8101, _T_8099) @[exu_mul_ctl.scala 137:112] + node _T_8103 = add(_T_8102, _T_8100) @[exu_mul_ctl.scala 137:112] + node _T_8104 = eq(_T_8103, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8105 = bits(_T_8104, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8106 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_8107 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8108 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8109 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8110 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8111 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8112 = add(_T_8107, _T_8108) @[exu_mul_ctl.scala 137:112] + node _T_8113 = add(_T_8112, _T_8109) @[exu_mul_ctl.scala 137:112] + node _T_8114 = add(_T_8113, _T_8110) @[exu_mul_ctl.scala 137:112] + node _T_8115 = add(_T_8114, _T_8111) @[exu_mul_ctl.scala 137:112] + node _T_8116 = eq(_T_8115, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8117 = bits(_T_8116, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8118 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_8119 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8120 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8121 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8122 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8123 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8124 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8125 = add(_T_8119, _T_8120) @[exu_mul_ctl.scala 137:112] + node _T_8126 = add(_T_8125, _T_8121) @[exu_mul_ctl.scala 137:112] + node _T_8127 = add(_T_8126, _T_8122) @[exu_mul_ctl.scala 137:112] + node _T_8128 = add(_T_8127, _T_8123) @[exu_mul_ctl.scala 137:112] + node _T_8129 = add(_T_8128, _T_8124) @[exu_mul_ctl.scala 137:112] + node _T_8130 = eq(_T_8129, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8131 = bits(_T_8130, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8132 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_8133 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8134 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8135 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8136 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8137 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8138 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8139 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8140 = add(_T_8133, _T_8134) @[exu_mul_ctl.scala 137:112] + node _T_8141 = add(_T_8140, _T_8135) @[exu_mul_ctl.scala 137:112] + node _T_8142 = add(_T_8141, _T_8136) @[exu_mul_ctl.scala 137:112] + node _T_8143 = add(_T_8142, _T_8137) @[exu_mul_ctl.scala 137:112] + node _T_8144 = add(_T_8143, _T_8138) @[exu_mul_ctl.scala 137:112] + node _T_8145 = add(_T_8144, _T_8139) @[exu_mul_ctl.scala 137:112] + node _T_8146 = eq(_T_8145, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8147 = bits(_T_8146, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8148 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_8149 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8150 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8151 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8152 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8153 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8154 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8155 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8156 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8157 = add(_T_8149, _T_8150) @[exu_mul_ctl.scala 137:112] + node _T_8158 = add(_T_8157, _T_8151) @[exu_mul_ctl.scala 137:112] + node _T_8159 = add(_T_8158, _T_8152) @[exu_mul_ctl.scala 137:112] + node _T_8160 = add(_T_8159, _T_8153) @[exu_mul_ctl.scala 137:112] + node _T_8161 = add(_T_8160, _T_8154) @[exu_mul_ctl.scala 137:112] + node _T_8162 = add(_T_8161, _T_8155) @[exu_mul_ctl.scala 137:112] + node _T_8163 = add(_T_8162, _T_8156) @[exu_mul_ctl.scala 137:112] + node _T_8164 = eq(_T_8163, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8165 = bits(_T_8164, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8166 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_8167 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8168 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8169 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8170 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8171 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8172 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8173 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8174 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8175 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8176 = add(_T_8167, _T_8168) @[exu_mul_ctl.scala 137:112] + node _T_8177 = add(_T_8176, _T_8169) @[exu_mul_ctl.scala 137:112] + node _T_8178 = add(_T_8177, _T_8170) @[exu_mul_ctl.scala 137:112] + node _T_8179 = add(_T_8178, _T_8171) @[exu_mul_ctl.scala 137:112] + node _T_8180 = add(_T_8179, _T_8172) @[exu_mul_ctl.scala 137:112] + node _T_8181 = add(_T_8180, _T_8173) @[exu_mul_ctl.scala 137:112] + node _T_8182 = add(_T_8181, _T_8174) @[exu_mul_ctl.scala 137:112] + node _T_8183 = add(_T_8182, _T_8175) @[exu_mul_ctl.scala 137:112] + node _T_8184 = eq(_T_8183, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8185 = bits(_T_8184, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8186 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_8187 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8188 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8189 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8190 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8191 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8192 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8193 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8194 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8195 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8196 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8197 = add(_T_8187, _T_8188) @[exu_mul_ctl.scala 137:112] + node _T_8198 = add(_T_8197, _T_8189) @[exu_mul_ctl.scala 137:112] + node _T_8199 = add(_T_8198, _T_8190) @[exu_mul_ctl.scala 137:112] + node _T_8200 = add(_T_8199, _T_8191) @[exu_mul_ctl.scala 137:112] + node _T_8201 = add(_T_8200, _T_8192) @[exu_mul_ctl.scala 137:112] + node _T_8202 = add(_T_8201, _T_8193) @[exu_mul_ctl.scala 137:112] + node _T_8203 = add(_T_8202, _T_8194) @[exu_mul_ctl.scala 137:112] + node _T_8204 = add(_T_8203, _T_8195) @[exu_mul_ctl.scala 137:112] + node _T_8205 = add(_T_8204, _T_8196) @[exu_mul_ctl.scala 137:112] + node _T_8206 = eq(_T_8205, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8207 = bits(_T_8206, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8208 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_8209 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8210 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8211 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8212 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8213 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8214 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8215 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8216 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8217 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8218 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8219 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8220 = add(_T_8209, _T_8210) @[exu_mul_ctl.scala 137:112] + node _T_8221 = add(_T_8220, _T_8211) @[exu_mul_ctl.scala 137:112] + node _T_8222 = add(_T_8221, _T_8212) @[exu_mul_ctl.scala 137:112] + node _T_8223 = add(_T_8222, _T_8213) @[exu_mul_ctl.scala 137:112] + node _T_8224 = add(_T_8223, _T_8214) @[exu_mul_ctl.scala 137:112] + node _T_8225 = add(_T_8224, _T_8215) @[exu_mul_ctl.scala 137:112] + node _T_8226 = add(_T_8225, _T_8216) @[exu_mul_ctl.scala 137:112] + node _T_8227 = add(_T_8226, _T_8217) @[exu_mul_ctl.scala 137:112] + node _T_8228 = add(_T_8227, _T_8218) @[exu_mul_ctl.scala 137:112] + node _T_8229 = add(_T_8228, _T_8219) @[exu_mul_ctl.scala 137:112] + node _T_8230 = eq(_T_8229, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8231 = bits(_T_8230, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8232 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_8233 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8234 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8235 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8236 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8237 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8238 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8239 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8240 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8241 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8242 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8243 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8244 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8245 = add(_T_8233, _T_8234) @[exu_mul_ctl.scala 137:112] + node _T_8246 = add(_T_8245, _T_8235) @[exu_mul_ctl.scala 137:112] + node _T_8247 = add(_T_8246, _T_8236) @[exu_mul_ctl.scala 137:112] + node _T_8248 = add(_T_8247, _T_8237) @[exu_mul_ctl.scala 137:112] + node _T_8249 = add(_T_8248, _T_8238) @[exu_mul_ctl.scala 137:112] + node _T_8250 = add(_T_8249, _T_8239) @[exu_mul_ctl.scala 137:112] + node _T_8251 = add(_T_8250, _T_8240) @[exu_mul_ctl.scala 137:112] + node _T_8252 = add(_T_8251, _T_8241) @[exu_mul_ctl.scala 137:112] + node _T_8253 = add(_T_8252, _T_8242) @[exu_mul_ctl.scala 137:112] + node _T_8254 = add(_T_8253, _T_8243) @[exu_mul_ctl.scala 137:112] + node _T_8255 = add(_T_8254, _T_8244) @[exu_mul_ctl.scala 137:112] + node _T_8256 = eq(_T_8255, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8257 = bits(_T_8256, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8258 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_8259 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8260 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8261 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8262 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8263 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8264 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8265 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8266 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8267 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8268 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8269 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8270 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8271 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8272 = add(_T_8259, _T_8260) @[exu_mul_ctl.scala 137:112] + node _T_8273 = add(_T_8272, _T_8261) @[exu_mul_ctl.scala 137:112] + node _T_8274 = add(_T_8273, _T_8262) @[exu_mul_ctl.scala 137:112] + node _T_8275 = add(_T_8274, _T_8263) @[exu_mul_ctl.scala 137:112] + node _T_8276 = add(_T_8275, _T_8264) @[exu_mul_ctl.scala 137:112] + node _T_8277 = add(_T_8276, _T_8265) @[exu_mul_ctl.scala 137:112] + node _T_8278 = add(_T_8277, _T_8266) @[exu_mul_ctl.scala 137:112] + node _T_8279 = add(_T_8278, _T_8267) @[exu_mul_ctl.scala 137:112] + node _T_8280 = add(_T_8279, _T_8268) @[exu_mul_ctl.scala 137:112] + node _T_8281 = add(_T_8280, _T_8269) @[exu_mul_ctl.scala 137:112] + node _T_8282 = add(_T_8281, _T_8270) @[exu_mul_ctl.scala 137:112] + node _T_8283 = add(_T_8282, _T_8271) @[exu_mul_ctl.scala 137:112] + node _T_8284 = eq(_T_8283, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8285 = bits(_T_8284, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8286 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_8287 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8288 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8289 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8290 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8291 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8292 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8293 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8294 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8295 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8296 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8297 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8298 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8299 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8300 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8301 = add(_T_8287, _T_8288) @[exu_mul_ctl.scala 137:112] + node _T_8302 = add(_T_8301, _T_8289) @[exu_mul_ctl.scala 137:112] + node _T_8303 = add(_T_8302, _T_8290) @[exu_mul_ctl.scala 137:112] + node _T_8304 = add(_T_8303, _T_8291) @[exu_mul_ctl.scala 137:112] + node _T_8305 = add(_T_8304, _T_8292) @[exu_mul_ctl.scala 137:112] + node _T_8306 = add(_T_8305, _T_8293) @[exu_mul_ctl.scala 137:112] + node _T_8307 = add(_T_8306, _T_8294) @[exu_mul_ctl.scala 137:112] + node _T_8308 = add(_T_8307, _T_8295) @[exu_mul_ctl.scala 137:112] + node _T_8309 = add(_T_8308, _T_8296) @[exu_mul_ctl.scala 137:112] + node _T_8310 = add(_T_8309, _T_8297) @[exu_mul_ctl.scala 137:112] + node _T_8311 = add(_T_8310, _T_8298) @[exu_mul_ctl.scala 137:112] + node _T_8312 = add(_T_8311, _T_8299) @[exu_mul_ctl.scala 137:112] + node _T_8313 = add(_T_8312, _T_8300) @[exu_mul_ctl.scala 137:112] + node _T_8314 = eq(_T_8313, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8315 = bits(_T_8314, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8316 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_8317 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8318 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8319 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8320 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8321 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8322 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8323 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8324 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8325 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8326 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8327 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8328 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8329 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8330 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8331 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8332 = add(_T_8317, _T_8318) @[exu_mul_ctl.scala 137:112] + node _T_8333 = add(_T_8332, _T_8319) @[exu_mul_ctl.scala 137:112] + node _T_8334 = add(_T_8333, _T_8320) @[exu_mul_ctl.scala 137:112] + node _T_8335 = add(_T_8334, _T_8321) @[exu_mul_ctl.scala 137:112] + node _T_8336 = add(_T_8335, _T_8322) @[exu_mul_ctl.scala 137:112] + node _T_8337 = add(_T_8336, _T_8323) @[exu_mul_ctl.scala 137:112] + node _T_8338 = add(_T_8337, _T_8324) @[exu_mul_ctl.scala 137:112] + node _T_8339 = add(_T_8338, _T_8325) @[exu_mul_ctl.scala 137:112] + node _T_8340 = add(_T_8339, _T_8326) @[exu_mul_ctl.scala 137:112] + node _T_8341 = add(_T_8340, _T_8327) @[exu_mul_ctl.scala 137:112] + node _T_8342 = add(_T_8341, _T_8328) @[exu_mul_ctl.scala 137:112] + node _T_8343 = add(_T_8342, _T_8329) @[exu_mul_ctl.scala 137:112] + node _T_8344 = add(_T_8343, _T_8330) @[exu_mul_ctl.scala 137:112] + node _T_8345 = add(_T_8344, _T_8331) @[exu_mul_ctl.scala 137:112] + node _T_8346 = eq(_T_8345, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8347 = bits(_T_8346, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8348 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_8349 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8350 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8351 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8352 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8353 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8354 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8355 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8356 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8357 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8358 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8359 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8360 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8361 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8362 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8363 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8364 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8365 = add(_T_8349, _T_8350) @[exu_mul_ctl.scala 137:112] + node _T_8366 = add(_T_8365, _T_8351) @[exu_mul_ctl.scala 137:112] + node _T_8367 = add(_T_8366, _T_8352) @[exu_mul_ctl.scala 137:112] + node _T_8368 = add(_T_8367, _T_8353) @[exu_mul_ctl.scala 137:112] + node _T_8369 = add(_T_8368, _T_8354) @[exu_mul_ctl.scala 137:112] + node _T_8370 = add(_T_8369, _T_8355) @[exu_mul_ctl.scala 137:112] + node _T_8371 = add(_T_8370, _T_8356) @[exu_mul_ctl.scala 137:112] + node _T_8372 = add(_T_8371, _T_8357) @[exu_mul_ctl.scala 137:112] + node _T_8373 = add(_T_8372, _T_8358) @[exu_mul_ctl.scala 137:112] + node _T_8374 = add(_T_8373, _T_8359) @[exu_mul_ctl.scala 137:112] + node _T_8375 = add(_T_8374, _T_8360) @[exu_mul_ctl.scala 137:112] + node _T_8376 = add(_T_8375, _T_8361) @[exu_mul_ctl.scala 137:112] + node _T_8377 = add(_T_8376, _T_8362) @[exu_mul_ctl.scala 137:112] + node _T_8378 = add(_T_8377, _T_8363) @[exu_mul_ctl.scala 137:112] + node _T_8379 = add(_T_8378, _T_8364) @[exu_mul_ctl.scala 137:112] + node _T_8380 = eq(_T_8379, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8381 = bits(_T_8380, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8382 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_8383 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8384 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8385 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8386 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8387 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8388 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8389 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8390 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8391 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8392 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8393 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8394 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8395 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8396 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8397 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8398 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8399 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8400 = add(_T_8383, _T_8384) @[exu_mul_ctl.scala 137:112] + node _T_8401 = add(_T_8400, _T_8385) @[exu_mul_ctl.scala 137:112] + node _T_8402 = add(_T_8401, _T_8386) @[exu_mul_ctl.scala 137:112] + node _T_8403 = add(_T_8402, _T_8387) @[exu_mul_ctl.scala 137:112] + node _T_8404 = add(_T_8403, _T_8388) @[exu_mul_ctl.scala 137:112] + node _T_8405 = add(_T_8404, _T_8389) @[exu_mul_ctl.scala 137:112] + node _T_8406 = add(_T_8405, _T_8390) @[exu_mul_ctl.scala 137:112] + node _T_8407 = add(_T_8406, _T_8391) @[exu_mul_ctl.scala 137:112] + node _T_8408 = add(_T_8407, _T_8392) @[exu_mul_ctl.scala 137:112] + node _T_8409 = add(_T_8408, _T_8393) @[exu_mul_ctl.scala 137:112] + node _T_8410 = add(_T_8409, _T_8394) @[exu_mul_ctl.scala 137:112] + node _T_8411 = add(_T_8410, _T_8395) @[exu_mul_ctl.scala 137:112] + node _T_8412 = add(_T_8411, _T_8396) @[exu_mul_ctl.scala 137:112] + node _T_8413 = add(_T_8412, _T_8397) @[exu_mul_ctl.scala 137:112] + node _T_8414 = add(_T_8413, _T_8398) @[exu_mul_ctl.scala 137:112] + node _T_8415 = add(_T_8414, _T_8399) @[exu_mul_ctl.scala 137:112] + node _T_8416 = eq(_T_8415, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8417 = bits(_T_8416, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8418 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_8419 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8420 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8421 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8422 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8423 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8424 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8425 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8426 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8427 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8428 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8429 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8430 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8431 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8432 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8433 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8434 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8435 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8436 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8437 = add(_T_8419, _T_8420) @[exu_mul_ctl.scala 137:112] + node _T_8438 = add(_T_8437, _T_8421) @[exu_mul_ctl.scala 137:112] + node _T_8439 = add(_T_8438, _T_8422) @[exu_mul_ctl.scala 137:112] + node _T_8440 = add(_T_8439, _T_8423) @[exu_mul_ctl.scala 137:112] + node _T_8441 = add(_T_8440, _T_8424) @[exu_mul_ctl.scala 137:112] + node _T_8442 = add(_T_8441, _T_8425) @[exu_mul_ctl.scala 137:112] + node _T_8443 = add(_T_8442, _T_8426) @[exu_mul_ctl.scala 137:112] + node _T_8444 = add(_T_8443, _T_8427) @[exu_mul_ctl.scala 137:112] + node _T_8445 = add(_T_8444, _T_8428) @[exu_mul_ctl.scala 137:112] + node _T_8446 = add(_T_8445, _T_8429) @[exu_mul_ctl.scala 137:112] + node _T_8447 = add(_T_8446, _T_8430) @[exu_mul_ctl.scala 137:112] + node _T_8448 = add(_T_8447, _T_8431) @[exu_mul_ctl.scala 137:112] + node _T_8449 = add(_T_8448, _T_8432) @[exu_mul_ctl.scala 137:112] + node _T_8450 = add(_T_8449, _T_8433) @[exu_mul_ctl.scala 137:112] + node _T_8451 = add(_T_8450, _T_8434) @[exu_mul_ctl.scala 137:112] + node _T_8452 = add(_T_8451, _T_8435) @[exu_mul_ctl.scala 137:112] + node _T_8453 = add(_T_8452, _T_8436) @[exu_mul_ctl.scala 137:112] + node _T_8454 = eq(_T_8453, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8455 = bits(_T_8454, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8456 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_8457 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8458 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8459 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8460 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8461 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8462 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8463 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8464 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8465 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8466 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8467 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8468 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8469 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8470 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8471 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8472 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8473 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8474 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8475 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8476 = add(_T_8457, _T_8458) @[exu_mul_ctl.scala 137:112] + node _T_8477 = add(_T_8476, _T_8459) @[exu_mul_ctl.scala 137:112] + node _T_8478 = add(_T_8477, _T_8460) @[exu_mul_ctl.scala 137:112] + node _T_8479 = add(_T_8478, _T_8461) @[exu_mul_ctl.scala 137:112] + node _T_8480 = add(_T_8479, _T_8462) @[exu_mul_ctl.scala 137:112] + node _T_8481 = add(_T_8480, _T_8463) @[exu_mul_ctl.scala 137:112] + node _T_8482 = add(_T_8481, _T_8464) @[exu_mul_ctl.scala 137:112] + node _T_8483 = add(_T_8482, _T_8465) @[exu_mul_ctl.scala 137:112] + node _T_8484 = add(_T_8483, _T_8466) @[exu_mul_ctl.scala 137:112] + node _T_8485 = add(_T_8484, _T_8467) @[exu_mul_ctl.scala 137:112] + node _T_8486 = add(_T_8485, _T_8468) @[exu_mul_ctl.scala 137:112] + node _T_8487 = add(_T_8486, _T_8469) @[exu_mul_ctl.scala 137:112] + node _T_8488 = add(_T_8487, _T_8470) @[exu_mul_ctl.scala 137:112] + node _T_8489 = add(_T_8488, _T_8471) @[exu_mul_ctl.scala 137:112] + node _T_8490 = add(_T_8489, _T_8472) @[exu_mul_ctl.scala 137:112] + node _T_8491 = add(_T_8490, _T_8473) @[exu_mul_ctl.scala 137:112] + node _T_8492 = add(_T_8491, _T_8474) @[exu_mul_ctl.scala 137:112] + node _T_8493 = add(_T_8492, _T_8475) @[exu_mul_ctl.scala 137:112] + node _T_8494 = eq(_T_8493, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8495 = bits(_T_8494, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8496 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_8497 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8498 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8499 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8500 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8501 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8502 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8503 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8504 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8505 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8506 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8507 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8508 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8509 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8510 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8511 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8512 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8513 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8514 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8515 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8516 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8517 = add(_T_8497, _T_8498) @[exu_mul_ctl.scala 137:112] + node _T_8518 = add(_T_8517, _T_8499) @[exu_mul_ctl.scala 137:112] + node _T_8519 = add(_T_8518, _T_8500) @[exu_mul_ctl.scala 137:112] + node _T_8520 = add(_T_8519, _T_8501) @[exu_mul_ctl.scala 137:112] + node _T_8521 = add(_T_8520, _T_8502) @[exu_mul_ctl.scala 137:112] + node _T_8522 = add(_T_8521, _T_8503) @[exu_mul_ctl.scala 137:112] + node _T_8523 = add(_T_8522, _T_8504) @[exu_mul_ctl.scala 137:112] + node _T_8524 = add(_T_8523, _T_8505) @[exu_mul_ctl.scala 137:112] + node _T_8525 = add(_T_8524, _T_8506) @[exu_mul_ctl.scala 137:112] + node _T_8526 = add(_T_8525, _T_8507) @[exu_mul_ctl.scala 137:112] + node _T_8527 = add(_T_8526, _T_8508) @[exu_mul_ctl.scala 137:112] + node _T_8528 = add(_T_8527, _T_8509) @[exu_mul_ctl.scala 137:112] + node _T_8529 = add(_T_8528, _T_8510) @[exu_mul_ctl.scala 137:112] + node _T_8530 = add(_T_8529, _T_8511) @[exu_mul_ctl.scala 137:112] + node _T_8531 = add(_T_8530, _T_8512) @[exu_mul_ctl.scala 137:112] + node _T_8532 = add(_T_8531, _T_8513) @[exu_mul_ctl.scala 137:112] + node _T_8533 = add(_T_8532, _T_8514) @[exu_mul_ctl.scala 137:112] + node _T_8534 = add(_T_8533, _T_8515) @[exu_mul_ctl.scala 137:112] + node _T_8535 = add(_T_8534, _T_8516) @[exu_mul_ctl.scala 137:112] + node _T_8536 = eq(_T_8535, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8537 = bits(_T_8536, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8538 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_8539 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8540 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8541 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8542 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8543 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8544 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8545 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8546 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8547 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8548 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8549 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8550 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8551 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8552 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8553 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8554 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8555 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8556 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8557 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8558 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8559 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8560 = add(_T_8539, _T_8540) @[exu_mul_ctl.scala 137:112] + node _T_8561 = add(_T_8560, _T_8541) @[exu_mul_ctl.scala 137:112] + node _T_8562 = add(_T_8561, _T_8542) @[exu_mul_ctl.scala 137:112] + node _T_8563 = add(_T_8562, _T_8543) @[exu_mul_ctl.scala 137:112] + node _T_8564 = add(_T_8563, _T_8544) @[exu_mul_ctl.scala 137:112] + node _T_8565 = add(_T_8564, _T_8545) @[exu_mul_ctl.scala 137:112] + node _T_8566 = add(_T_8565, _T_8546) @[exu_mul_ctl.scala 137:112] + node _T_8567 = add(_T_8566, _T_8547) @[exu_mul_ctl.scala 137:112] + node _T_8568 = add(_T_8567, _T_8548) @[exu_mul_ctl.scala 137:112] + node _T_8569 = add(_T_8568, _T_8549) @[exu_mul_ctl.scala 137:112] + node _T_8570 = add(_T_8569, _T_8550) @[exu_mul_ctl.scala 137:112] + node _T_8571 = add(_T_8570, _T_8551) @[exu_mul_ctl.scala 137:112] + node _T_8572 = add(_T_8571, _T_8552) @[exu_mul_ctl.scala 137:112] + node _T_8573 = add(_T_8572, _T_8553) @[exu_mul_ctl.scala 137:112] + node _T_8574 = add(_T_8573, _T_8554) @[exu_mul_ctl.scala 137:112] + node _T_8575 = add(_T_8574, _T_8555) @[exu_mul_ctl.scala 137:112] + node _T_8576 = add(_T_8575, _T_8556) @[exu_mul_ctl.scala 137:112] + node _T_8577 = add(_T_8576, _T_8557) @[exu_mul_ctl.scala 137:112] + node _T_8578 = add(_T_8577, _T_8558) @[exu_mul_ctl.scala 137:112] + node _T_8579 = add(_T_8578, _T_8559) @[exu_mul_ctl.scala 137:112] + node _T_8580 = eq(_T_8579, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8581 = bits(_T_8580, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8582 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_8583 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8584 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8585 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8586 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8587 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8588 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8589 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8590 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8591 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8592 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8593 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8594 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8595 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8596 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8597 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8598 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8599 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8600 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8601 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8602 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8603 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8604 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8605 = add(_T_8583, _T_8584) @[exu_mul_ctl.scala 137:112] + node _T_8606 = add(_T_8605, _T_8585) @[exu_mul_ctl.scala 137:112] + node _T_8607 = add(_T_8606, _T_8586) @[exu_mul_ctl.scala 137:112] + node _T_8608 = add(_T_8607, _T_8587) @[exu_mul_ctl.scala 137:112] + node _T_8609 = add(_T_8608, _T_8588) @[exu_mul_ctl.scala 137:112] + node _T_8610 = add(_T_8609, _T_8589) @[exu_mul_ctl.scala 137:112] + node _T_8611 = add(_T_8610, _T_8590) @[exu_mul_ctl.scala 137:112] + node _T_8612 = add(_T_8611, _T_8591) @[exu_mul_ctl.scala 137:112] + node _T_8613 = add(_T_8612, _T_8592) @[exu_mul_ctl.scala 137:112] + node _T_8614 = add(_T_8613, _T_8593) @[exu_mul_ctl.scala 137:112] + node _T_8615 = add(_T_8614, _T_8594) @[exu_mul_ctl.scala 137:112] + node _T_8616 = add(_T_8615, _T_8595) @[exu_mul_ctl.scala 137:112] + node _T_8617 = add(_T_8616, _T_8596) @[exu_mul_ctl.scala 137:112] + node _T_8618 = add(_T_8617, _T_8597) @[exu_mul_ctl.scala 137:112] + node _T_8619 = add(_T_8618, _T_8598) @[exu_mul_ctl.scala 137:112] + node _T_8620 = add(_T_8619, _T_8599) @[exu_mul_ctl.scala 137:112] + node _T_8621 = add(_T_8620, _T_8600) @[exu_mul_ctl.scala 137:112] + node _T_8622 = add(_T_8621, _T_8601) @[exu_mul_ctl.scala 137:112] + node _T_8623 = add(_T_8622, _T_8602) @[exu_mul_ctl.scala 137:112] + node _T_8624 = add(_T_8623, _T_8603) @[exu_mul_ctl.scala 137:112] + node _T_8625 = add(_T_8624, _T_8604) @[exu_mul_ctl.scala 137:112] + node _T_8626 = eq(_T_8625, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8627 = bits(_T_8626, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8628 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_8629 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8630 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8631 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8632 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8633 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8634 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8635 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8636 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8637 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8638 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8639 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8640 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8641 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8642 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8643 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8644 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8645 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8646 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8647 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8648 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8649 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8650 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8651 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8652 = add(_T_8629, _T_8630) @[exu_mul_ctl.scala 137:112] + node _T_8653 = add(_T_8652, _T_8631) @[exu_mul_ctl.scala 137:112] + node _T_8654 = add(_T_8653, _T_8632) @[exu_mul_ctl.scala 137:112] + node _T_8655 = add(_T_8654, _T_8633) @[exu_mul_ctl.scala 137:112] + node _T_8656 = add(_T_8655, _T_8634) @[exu_mul_ctl.scala 137:112] + node _T_8657 = add(_T_8656, _T_8635) @[exu_mul_ctl.scala 137:112] + node _T_8658 = add(_T_8657, _T_8636) @[exu_mul_ctl.scala 137:112] + node _T_8659 = add(_T_8658, _T_8637) @[exu_mul_ctl.scala 137:112] + node _T_8660 = add(_T_8659, _T_8638) @[exu_mul_ctl.scala 137:112] + node _T_8661 = add(_T_8660, _T_8639) @[exu_mul_ctl.scala 137:112] + node _T_8662 = add(_T_8661, _T_8640) @[exu_mul_ctl.scala 137:112] + node _T_8663 = add(_T_8662, _T_8641) @[exu_mul_ctl.scala 137:112] + node _T_8664 = add(_T_8663, _T_8642) @[exu_mul_ctl.scala 137:112] + node _T_8665 = add(_T_8664, _T_8643) @[exu_mul_ctl.scala 137:112] + node _T_8666 = add(_T_8665, _T_8644) @[exu_mul_ctl.scala 137:112] + node _T_8667 = add(_T_8666, _T_8645) @[exu_mul_ctl.scala 137:112] + node _T_8668 = add(_T_8667, _T_8646) @[exu_mul_ctl.scala 137:112] + node _T_8669 = add(_T_8668, _T_8647) @[exu_mul_ctl.scala 137:112] + node _T_8670 = add(_T_8669, _T_8648) @[exu_mul_ctl.scala 137:112] + node _T_8671 = add(_T_8670, _T_8649) @[exu_mul_ctl.scala 137:112] + node _T_8672 = add(_T_8671, _T_8650) @[exu_mul_ctl.scala 137:112] + node _T_8673 = add(_T_8672, _T_8651) @[exu_mul_ctl.scala 137:112] + node _T_8674 = eq(_T_8673, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8675 = bits(_T_8674, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8676 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_8677 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8678 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8679 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8680 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8681 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8682 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8683 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8684 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8685 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8686 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8687 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8688 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8689 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8690 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8691 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8692 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8693 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8694 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8695 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8696 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8697 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8698 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8699 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8700 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8701 = add(_T_8677, _T_8678) @[exu_mul_ctl.scala 137:112] + node _T_8702 = add(_T_8701, _T_8679) @[exu_mul_ctl.scala 137:112] + node _T_8703 = add(_T_8702, _T_8680) @[exu_mul_ctl.scala 137:112] + node _T_8704 = add(_T_8703, _T_8681) @[exu_mul_ctl.scala 137:112] + node _T_8705 = add(_T_8704, _T_8682) @[exu_mul_ctl.scala 137:112] + node _T_8706 = add(_T_8705, _T_8683) @[exu_mul_ctl.scala 137:112] + node _T_8707 = add(_T_8706, _T_8684) @[exu_mul_ctl.scala 137:112] + node _T_8708 = add(_T_8707, _T_8685) @[exu_mul_ctl.scala 137:112] + node _T_8709 = add(_T_8708, _T_8686) @[exu_mul_ctl.scala 137:112] + node _T_8710 = add(_T_8709, _T_8687) @[exu_mul_ctl.scala 137:112] + node _T_8711 = add(_T_8710, _T_8688) @[exu_mul_ctl.scala 137:112] + node _T_8712 = add(_T_8711, _T_8689) @[exu_mul_ctl.scala 137:112] + node _T_8713 = add(_T_8712, _T_8690) @[exu_mul_ctl.scala 137:112] + node _T_8714 = add(_T_8713, _T_8691) @[exu_mul_ctl.scala 137:112] + node _T_8715 = add(_T_8714, _T_8692) @[exu_mul_ctl.scala 137:112] + node _T_8716 = add(_T_8715, _T_8693) @[exu_mul_ctl.scala 137:112] + node _T_8717 = add(_T_8716, _T_8694) @[exu_mul_ctl.scala 137:112] + node _T_8718 = add(_T_8717, _T_8695) @[exu_mul_ctl.scala 137:112] + node _T_8719 = add(_T_8718, _T_8696) @[exu_mul_ctl.scala 137:112] + node _T_8720 = add(_T_8719, _T_8697) @[exu_mul_ctl.scala 137:112] + node _T_8721 = add(_T_8720, _T_8698) @[exu_mul_ctl.scala 137:112] + node _T_8722 = add(_T_8721, _T_8699) @[exu_mul_ctl.scala 137:112] + node _T_8723 = add(_T_8722, _T_8700) @[exu_mul_ctl.scala 137:112] + node _T_8724 = eq(_T_8723, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8725 = bits(_T_8724, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8726 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_8727 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8728 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8729 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8730 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8731 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8732 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8733 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8734 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8735 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8736 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8737 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8738 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8739 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8740 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8741 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8742 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8743 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8744 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8745 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8746 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8747 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8748 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8749 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8750 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8751 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8752 = add(_T_8727, _T_8728) @[exu_mul_ctl.scala 137:112] + node _T_8753 = add(_T_8752, _T_8729) @[exu_mul_ctl.scala 137:112] + node _T_8754 = add(_T_8753, _T_8730) @[exu_mul_ctl.scala 137:112] + node _T_8755 = add(_T_8754, _T_8731) @[exu_mul_ctl.scala 137:112] + node _T_8756 = add(_T_8755, _T_8732) @[exu_mul_ctl.scala 137:112] + node _T_8757 = add(_T_8756, _T_8733) @[exu_mul_ctl.scala 137:112] + node _T_8758 = add(_T_8757, _T_8734) @[exu_mul_ctl.scala 137:112] + node _T_8759 = add(_T_8758, _T_8735) @[exu_mul_ctl.scala 137:112] + node _T_8760 = add(_T_8759, _T_8736) @[exu_mul_ctl.scala 137:112] + node _T_8761 = add(_T_8760, _T_8737) @[exu_mul_ctl.scala 137:112] + node _T_8762 = add(_T_8761, _T_8738) @[exu_mul_ctl.scala 137:112] + node _T_8763 = add(_T_8762, _T_8739) @[exu_mul_ctl.scala 137:112] + node _T_8764 = add(_T_8763, _T_8740) @[exu_mul_ctl.scala 137:112] + node _T_8765 = add(_T_8764, _T_8741) @[exu_mul_ctl.scala 137:112] + node _T_8766 = add(_T_8765, _T_8742) @[exu_mul_ctl.scala 137:112] + node _T_8767 = add(_T_8766, _T_8743) @[exu_mul_ctl.scala 137:112] + node _T_8768 = add(_T_8767, _T_8744) @[exu_mul_ctl.scala 137:112] + node _T_8769 = add(_T_8768, _T_8745) @[exu_mul_ctl.scala 137:112] + node _T_8770 = add(_T_8769, _T_8746) @[exu_mul_ctl.scala 137:112] + node _T_8771 = add(_T_8770, _T_8747) @[exu_mul_ctl.scala 137:112] + node _T_8772 = add(_T_8771, _T_8748) @[exu_mul_ctl.scala 137:112] + node _T_8773 = add(_T_8772, _T_8749) @[exu_mul_ctl.scala 137:112] + node _T_8774 = add(_T_8773, _T_8750) @[exu_mul_ctl.scala 137:112] + node _T_8775 = add(_T_8774, _T_8751) @[exu_mul_ctl.scala 137:112] + node _T_8776 = eq(_T_8775, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8777 = bits(_T_8776, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8778 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_8779 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8780 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8781 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8782 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8783 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8784 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8785 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8786 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8787 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8788 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8789 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8790 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8791 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8792 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8793 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8794 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8795 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8796 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8797 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8798 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8799 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8800 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8801 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8802 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8803 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8804 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_8805 = add(_T_8779, _T_8780) @[exu_mul_ctl.scala 137:112] + node _T_8806 = add(_T_8805, _T_8781) @[exu_mul_ctl.scala 137:112] + node _T_8807 = add(_T_8806, _T_8782) @[exu_mul_ctl.scala 137:112] + node _T_8808 = add(_T_8807, _T_8783) @[exu_mul_ctl.scala 137:112] + node _T_8809 = add(_T_8808, _T_8784) @[exu_mul_ctl.scala 137:112] + node _T_8810 = add(_T_8809, _T_8785) @[exu_mul_ctl.scala 137:112] + node _T_8811 = add(_T_8810, _T_8786) @[exu_mul_ctl.scala 137:112] + node _T_8812 = add(_T_8811, _T_8787) @[exu_mul_ctl.scala 137:112] + node _T_8813 = add(_T_8812, _T_8788) @[exu_mul_ctl.scala 137:112] + node _T_8814 = add(_T_8813, _T_8789) @[exu_mul_ctl.scala 137:112] + node _T_8815 = add(_T_8814, _T_8790) @[exu_mul_ctl.scala 137:112] + node _T_8816 = add(_T_8815, _T_8791) @[exu_mul_ctl.scala 137:112] + node _T_8817 = add(_T_8816, _T_8792) @[exu_mul_ctl.scala 137:112] + node _T_8818 = add(_T_8817, _T_8793) @[exu_mul_ctl.scala 137:112] + node _T_8819 = add(_T_8818, _T_8794) @[exu_mul_ctl.scala 137:112] + node _T_8820 = add(_T_8819, _T_8795) @[exu_mul_ctl.scala 137:112] + node _T_8821 = add(_T_8820, _T_8796) @[exu_mul_ctl.scala 137:112] + node _T_8822 = add(_T_8821, _T_8797) @[exu_mul_ctl.scala 137:112] + node _T_8823 = add(_T_8822, _T_8798) @[exu_mul_ctl.scala 137:112] + node _T_8824 = add(_T_8823, _T_8799) @[exu_mul_ctl.scala 137:112] + node _T_8825 = add(_T_8824, _T_8800) @[exu_mul_ctl.scala 137:112] + node _T_8826 = add(_T_8825, _T_8801) @[exu_mul_ctl.scala 137:112] + node _T_8827 = add(_T_8826, _T_8802) @[exu_mul_ctl.scala 137:112] + node _T_8828 = add(_T_8827, _T_8803) @[exu_mul_ctl.scala 137:112] + node _T_8829 = add(_T_8828, _T_8804) @[exu_mul_ctl.scala 137:112] + node _T_8830 = eq(_T_8829, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8831 = bits(_T_8830, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8832 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_8833 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8834 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8835 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8836 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8837 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8838 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8839 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8840 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8841 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8842 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8843 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8844 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8845 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8846 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8847 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8848 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8849 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8850 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8851 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8852 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8853 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8854 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8855 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8856 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8857 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8858 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_8859 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_8860 = add(_T_8833, _T_8834) @[exu_mul_ctl.scala 137:112] + node _T_8861 = add(_T_8860, _T_8835) @[exu_mul_ctl.scala 137:112] + node _T_8862 = add(_T_8861, _T_8836) @[exu_mul_ctl.scala 137:112] + node _T_8863 = add(_T_8862, _T_8837) @[exu_mul_ctl.scala 137:112] + node _T_8864 = add(_T_8863, _T_8838) @[exu_mul_ctl.scala 137:112] + node _T_8865 = add(_T_8864, _T_8839) @[exu_mul_ctl.scala 137:112] + node _T_8866 = add(_T_8865, _T_8840) @[exu_mul_ctl.scala 137:112] + node _T_8867 = add(_T_8866, _T_8841) @[exu_mul_ctl.scala 137:112] + node _T_8868 = add(_T_8867, _T_8842) @[exu_mul_ctl.scala 137:112] + node _T_8869 = add(_T_8868, _T_8843) @[exu_mul_ctl.scala 137:112] + node _T_8870 = add(_T_8869, _T_8844) @[exu_mul_ctl.scala 137:112] + node _T_8871 = add(_T_8870, _T_8845) @[exu_mul_ctl.scala 137:112] + node _T_8872 = add(_T_8871, _T_8846) @[exu_mul_ctl.scala 137:112] + node _T_8873 = add(_T_8872, _T_8847) @[exu_mul_ctl.scala 137:112] + node _T_8874 = add(_T_8873, _T_8848) @[exu_mul_ctl.scala 137:112] + node _T_8875 = add(_T_8874, _T_8849) @[exu_mul_ctl.scala 137:112] + node _T_8876 = add(_T_8875, _T_8850) @[exu_mul_ctl.scala 137:112] + node _T_8877 = add(_T_8876, _T_8851) @[exu_mul_ctl.scala 137:112] + node _T_8878 = add(_T_8877, _T_8852) @[exu_mul_ctl.scala 137:112] + node _T_8879 = add(_T_8878, _T_8853) @[exu_mul_ctl.scala 137:112] + node _T_8880 = add(_T_8879, _T_8854) @[exu_mul_ctl.scala 137:112] + node _T_8881 = add(_T_8880, _T_8855) @[exu_mul_ctl.scala 137:112] + node _T_8882 = add(_T_8881, _T_8856) @[exu_mul_ctl.scala 137:112] + node _T_8883 = add(_T_8882, _T_8857) @[exu_mul_ctl.scala 137:112] + node _T_8884 = add(_T_8883, _T_8858) @[exu_mul_ctl.scala 137:112] + node _T_8885 = add(_T_8884, _T_8859) @[exu_mul_ctl.scala 137:112] + node _T_8886 = eq(_T_8885, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8887 = bits(_T_8886, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8888 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_8889 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8890 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8891 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8892 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8893 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8894 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8895 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8896 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8897 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8898 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8899 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8900 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8901 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8902 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8903 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8904 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8905 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8906 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8907 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8908 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8909 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8910 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8911 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8912 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8913 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8914 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_8915 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_8916 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_8917 = add(_T_8889, _T_8890) @[exu_mul_ctl.scala 137:112] + node _T_8918 = add(_T_8917, _T_8891) @[exu_mul_ctl.scala 137:112] + node _T_8919 = add(_T_8918, _T_8892) @[exu_mul_ctl.scala 137:112] + node _T_8920 = add(_T_8919, _T_8893) @[exu_mul_ctl.scala 137:112] + node _T_8921 = add(_T_8920, _T_8894) @[exu_mul_ctl.scala 137:112] + node _T_8922 = add(_T_8921, _T_8895) @[exu_mul_ctl.scala 137:112] + node _T_8923 = add(_T_8922, _T_8896) @[exu_mul_ctl.scala 137:112] + node _T_8924 = add(_T_8923, _T_8897) @[exu_mul_ctl.scala 137:112] + node _T_8925 = add(_T_8924, _T_8898) @[exu_mul_ctl.scala 137:112] + node _T_8926 = add(_T_8925, _T_8899) @[exu_mul_ctl.scala 137:112] + node _T_8927 = add(_T_8926, _T_8900) @[exu_mul_ctl.scala 137:112] + node _T_8928 = add(_T_8927, _T_8901) @[exu_mul_ctl.scala 137:112] + node _T_8929 = add(_T_8928, _T_8902) @[exu_mul_ctl.scala 137:112] + node _T_8930 = add(_T_8929, _T_8903) @[exu_mul_ctl.scala 137:112] + node _T_8931 = add(_T_8930, _T_8904) @[exu_mul_ctl.scala 137:112] + node _T_8932 = add(_T_8931, _T_8905) @[exu_mul_ctl.scala 137:112] + node _T_8933 = add(_T_8932, _T_8906) @[exu_mul_ctl.scala 137:112] + node _T_8934 = add(_T_8933, _T_8907) @[exu_mul_ctl.scala 137:112] + node _T_8935 = add(_T_8934, _T_8908) @[exu_mul_ctl.scala 137:112] + node _T_8936 = add(_T_8935, _T_8909) @[exu_mul_ctl.scala 137:112] + node _T_8937 = add(_T_8936, _T_8910) @[exu_mul_ctl.scala 137:112] + node _T_8938 = add(_T_8937, _T_8911) @[exu_mul_ctl.scala 137:112] + node _T_8939 = add(_T_8938, _T_8912) @[exu_mul_ctl.scala 137:112] + node _T_8940 = add(_T_8939, _T_8913) @[exu_mul_ctl.scala 137:112] + node _T_8941 = add(_T_8940, _T_8914) @[exu_mul_ctl.scala 137:112] + node _T_8942 = add(_T_8941, _T_8915) @[exu_mul_ctl.scala 137:112] + node _T_8943 = add(_T_8942, _T_8916) @[exu_mul_ctl.scala 137:112] + node _T_8944 = eq(_T_8943, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_8945 = bits(_T_8944, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_8946 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_8947 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_8948 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_8949 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_8950 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_8951 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_8952 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_8953 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_8954 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_8955 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_8956 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_8957 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_8958 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_8959 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_8960 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_8961 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_8962 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_8963 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_8964 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_8965 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_8966 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_8967 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_8968 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_8969 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_8970 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_8971 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_8972 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_8973 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_8974 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_8975 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_8976 = add(_T_8947, _T_8948) @[exu_mul_ctl.scala 137:112] + node _T_8977 = add(_T_8976, _T_8949) @[exu_mul_ctl.scala 137:112] + node _T_8978 = add(_T_8977, _T_8950) @[exu_mul_ctl.scala 137:112] + node _T_8979 = add(_T_8978, _T_8951) @[exu_mul_ctl.scala 137:112] + node _T_8980 = add(_T_8979, _T_8952) @[exu_mul_ctl.scala 137:112] + node _T_8981 = add(_T_8980, _T_8953) @[exu_mul_ctl.scala 137:112] + node _T_8982 = add(_T_8981, _T_8954) @[exu_mul_ctl.scala 137:112] + node _T_8983 = add(_T_8982, _T_8955) @[exu_mul_ctl.scala 137:112] + node _T_8984 = add(_T_8983, _T_8956) @[exu_mul_ctl.scala 137:112] + node _T_8985 = add(_T_8984, _T_8957) @[exu_mul_ctl.scala 137:112] + node _T_8986 = add(_T_8985, _T_8958) @[exu_mul_ctl.scala 137:112] + node _T_8987 = add(_T_8986, _T_8959) @[exu_mul_ctl.scala 137:112] + node _T_8988 = add(_T_8987, _T_8960) @[exu_mul_ctl.scala 137:112] + node _T_8989 = add(_T_8988, _T_8961) @[exu_mul_ctl.scala 137:112] + node _T_8990 = add(_T_8989, _T_8962) @[exu_mul_ctl.scala 137:112] + node _T_8991 = add(_T_8990, _T_8963) @[exu_mul_ctl.scala 137:112] + node _T_8992 = add(_T_8991, _T_8964) @[exu_mul_ctl.scala 137:112] + node _T_8993 = add(_T_8992, _T_8965) @[exu_mul_ctl.scala 137:112] + node _T_8994 = add(_T_8993, _T_8966) @[exu_mul_ctl.scala 137:112] + node _T_8995 = add(_T_8994, _T_8967) @[exu_mul_ctl.scala 137:112] + node _T_8996 = add(_T_8995, _T_8968) @[exu_mul_ctl.scala 137:112] + node _T_8997 = add(_T_8996, _T_8969) @[exu_mul_ctl.scala 137:112] + node _T_8998 = add(_T_8997, _T_8970) @[exu_mul_ctl.scala 137:112] + node _T_8999 = add(_T_8998, _T_8971) @[exu_mul_ctl.scala 137:112] + node _T_9000 = add(_T_8999, _T_8972) @[exu_mul_ctl.scala 137:112] + node _T_9001 = add(_T_9000, _T_8973) @[exu_mul_ctl.scala 137:112] + node _T_9002 = add(_T_9001, _T_8974) @[exu_mul_ctl.scala 137:112] + node _T_9003 = add(_T_9002, _T_8975) @[exu_mul_ctl.scala 137:112] + node _T_9004 = eq(_T_9003, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_9005 = bits(_T_9004, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9006 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_9007 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9008 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9009 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9010 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9011 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9012 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9013 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9014 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9015 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9016 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9017 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9018 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9019 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9020 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9021 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9022 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9023 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9024 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9025 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9026 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9027 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9028 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9029 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9030 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9031 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_9032 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_9033 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_9034 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_9035 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_9036 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_9037 = add(_T_9007, _T_9008) @[exu_mul_ctl.scala 137:112] + node _T_9038 = add(_T_9037, _T_9009) @[exu_mul_ctl.scala 137:112] + node _T_9039 = add(_T_9038, _T_9010) @[exu_mul_ctl.scala 137:112] + node _T_9040 = add(_T_9039, _T_9011) @[exu_mul_ctl.scala 137:112] + node _T_9041 = add(_T_9040, _T_9012) @[exu_mul_ctl.scala 137:112] + node _T_9042 = add(_T_9041, _T_9013) @[exu_mul_ctl.scala 137:112] + node _T_9043 = add(_T_9042, _T_9014) @[exu_mul_ctl.scala 137:112] + node _T_9044 = add(_T_9043, _T_9015) @[exu_mul_ctl.scala 137:112] + node _T_9045 = add(_T_9044, _T_9016) @[exu_mul_ctl.scala 137:112] + node _T_9046 = add(_T_9045, _T_9017) @[exu_mul_ctl.scala 137:112] + node _T_9047 = add(_T_9046, _T_9018) @[exu_mul_ctl.scala 137:112] + node _T_9048 = add(_T_9047, _T_9019) @[exu_mul_ctl.scala 137:112] + node _T_9049 = add(_T_9048, _T_9020) @[exu_mul_ctl.scala 137:112] + node _T_9050 = add(_T_9049, _T_9021) @[exu_mul_ctl.scala 137:112] + node _T_9051 = add(_T_9050, _T_9022) @[exu_mul_ctl.scala 137:112] + node _T_9052 = add(_T_9051, _T_9023) @[exu_mul_ctl.scala 137:112] + node _T_9053 = add(_T_9052, _T_9024) @[exu_mul_ctl.scala 137:112] + node _T_9054 = add(_T_9053, _T_9025) @[exu_mul_ctl.scala 137:112] + node _T_9055 = add(_T_9054, _T_9026) @[exu_mul_ctl.scala 137:112] + node _T_9056 = add(_T_9055, _T_9027) @[exu_mul_ctl.scala 137:112] + node _T_9057 = add(_T_9056, _T_9028) @[exu_mul_ctl.scala 137:112] + node _T_9058 = add(_T_9057, _T_9029) @[exu_mul_ctl.scala 137:112] + node _T_9059 = add(_T_9058, _T_9030) @[exu_mul_ctl.scala 137:112] + node _T_9060 = add(_T_9059, _T_9031) @[exu_mul_ctl.scala 137:112] + node _T_9061 = add(_T_9060, _T_9032) @[exu_mul_ctl.scala 137:112] + node _T_9062 = add(_T_9061, _T_9033) @[exu_mul_ctl.scala 137:112] + node _T_9063 = add(_T_9062, _T_9034) @[exu_mul_ctl.scala 137:112] + node _T_9064 = add(_T_9063, _T_9035) @[exu_mul_ctl.scala 137:112] + node _T_9065 = add(_T_9064, _T_9036) @[exu_mul_ctl.scala 137:112] + node _T_9066 = eq(_T_9065, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_9067 = bits(_T_9066, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9068 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_9069 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9070 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9071 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9072 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9073 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9074 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9075 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9076 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9077 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9078 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9079 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9080 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9081 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9082 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9083 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9084 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9085 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9086 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9087 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9088 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9089 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9090 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9091 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9092 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9093 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_9094 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_9095 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_9096 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_9097 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_9098 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_9099 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_9100 = add(_T_9069, _T_9070) @[exu_mul_ctl.scala 137:112] + node _T_9101 = add(_T_9100, _T_9071) @[exu_mul_ctl.scala 137:112] + node _T_9102 = add(_T_9101, _T_9072) @[exu_mul_ctl.scala 137:112] + node _T_9103 = add(_T_9102, _T_9073) @[exu_mul_ctl.scala 137:112] + node _T_9104 = add(_T_9103, _T_9074) @[exu_mul_ctl.scala 137:112] + node _T_9105 = add(_T_9104, _T_9075) @[exu_mul_ctl.scala 137:112] + node _T_9106 = add(_T_9105, _T_9076) @[exu_mul_ctl.scala 137:112] + node _T_9107 = add(_T_9106, _T_9077) @[exu_mul_ctl.scala 137:112] + node _T_9108 = add(_T_9107, _T_9078) @[exu_mul_ctl.scala 137:112] + node _T_9109 = add(_T_9108, _T_9079) @[exu_mul_ctl.scala 137:112] + node _T_9110 = add(_T_9109, _T_9080) @[exu_mul_ctl.scala 137:112] + node _T_9111 = add(_T_9110, _T_9081) @[exu_mul_ctl.scala 137:112] + node _T_9112 = add(_T_9111, _T_9082) @[exu_mul_ctl.scala 137:112] + node _T_9113 = add(_T_9112, _T_9083) @[exu_mul_ctl.scala 137:112] + node _T_9114 = add(_T_9113, _T_9084) @[exu_mul_ctl.scala 137:112] + node _T_9115 = add(_T_9114, _T_9085) @[exu_mul_ctl.scala 137:112] + node _T_9116 = add(_T_9115, _T_9086) @[exu_mul_ctl.scala 137:112] + node _T_9117 = add(_T_9116, _T_9087) @[exu_mul_ctl.scala 137:112] + node _T_9118 = add(_T_9117, _T_9088) @[exu_mul_ctl.scala 137:112] + node _T_9119 = add(_T_9118, _T_9089) @[exu_mul_ctl.scala 137:112] + node _T_9120 = add(_T_9119, _T_9090) @[exu_mul_ctl.scala 137:112] + node _T_9121 = add(_T_9120, _T_9091) @[exu_mul_ctl.scala 137:112] + node _T_9122 = add(_T_9121, _T_9092) @[exu_mul_ctl.scala 137:112] + node _T_9123 = add(_T_9122, _T_9093) @[exu_mul_ctl.scala 137:112] + node _T_9124 = add(_T_9123, _T_9094) @[exu_mul_ctl.scala 137:112] + node _T_9125 = add(_T_9124, _T_9095) @[exu_mul_ctl.scala 137:112] + node _T_9126 = add(_T_9125, _T_9096) @[exu_mul_ctl.scala 137:112] + node _T_9127 = add(_T_9126, _T_9097) @[exu_mul_ctl.scala 137:112] + node _T_9128 = add(_T_9127, _T_9098) @[exu_mul_ctl.scala 137:112] + node _T_9129 = add(_T_9128, _T_9099) @[exu_mul_ctl.scala 137:112] + node _T_9130 = eq(_T_9129, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_9131 = bits(_T_9130, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9132 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_9133 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9134 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9135 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9136 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9137 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9138 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9139 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9140 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9141 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9142 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9143 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9144 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9145 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9146 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9147 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9148 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9149 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9150 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9151 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9152 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9153 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9154 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9155 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9156 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9157 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_9158 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_9159 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_9160 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_9161 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_9162 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_9163 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_9164 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_9165 = add(_T_9133, _T_9134) @[exu_mul_ctl.scala 137:112] + node _T_9166 = add(_T_9165, _T_9135) @[exu_mul_ctl.scala 137:112] + node _T_9167 = add(_T_9166, _T_9136) @[exu_mul_ctl.scala 137:112] + node _T_9168 = add(_T_9167, _T_9137) @[exu_mul_ctl.scala 137:112] + node _T_9169 = add(_T_9168, _T_9138) @[exu_mul_ctl.scala 137:112] + node _T_9170 = add(_T_9169, _T_9139) @[exu_mul_ctl.scala 137:112] + node _T_9171 = add(_T_9170, _T_9140) @[exu_mul_ctl.scala 137:112] + node _T_9172 = add(_T_9171, _T_9141) @[exu_mul_ctl.scala 137:112] + node _T_9173 = add(_T_9172, _T_9142) @[exu_mul_ctl.scala 137:112] + node _T_9174 = add(_T_9173, _T_9143) @[exu_mul_ctl.scala 137:112] + node _T_9175 = add(_T_9174, _T_9144) @[exu_mul_ctl.scala 137:112] + node _T_9176 = add(_T_9175, _T_9145) @[exu_mul_ctl.scala 137:112] + node _T_9177 = add(_T_9176, _T_9146) @[exu_mul_ctl.scala 137:112] + node _T_9178 = add(_T_9177, _T_9147) @[exu_mul_ctl.scala 137:112] + node _T_9179 = add(_T_9178, _T_9148) @[exu_mul_ctl.scala 137:112] + node _T_9180 = add(_T_9179, _T_9149) @[exu_mul_ctl.scala 137:112] + node _T_9181 = add(_T_9180, _T_9150) @[exu_mul_ctl.scala 137:112] + node _T_9182 = add(_T_9181, _T_9151) @[exu_mul_ctl.scala 137:112] + node _T_9183 = add(_T_9182, _T_9152) @[exu_mul_ctl.scala 137:112] + node _T_9184 = add(_T_9183, _T_9153) @[exu_mul_ctl.scala 137:112] + node _T_9185 = add(_T_9184, _T_9154) @[exu_mul_ctl.scala 137:112] + node _T_9186 = add(_T_9185, _T_9155) @[exu_mul_ctl.scala 137:112] + node _T_9187 = add(_T_9186, _T_9156) @[exu_mul_ctl.scala 137:112] + node _T_9188 = add(_T_9187, _T_9157) @[exu_mul_ctl.scala 137:112] + node _T_9189 = add(_T_9188, _T_9158) @[exu_mul_ctl.scala 137:112] + node _T_9190 = add(_T_9189, _T_9159) @[exu_mul_ctl.scala 137:112] + node _T_9191 = add(_T_9190, _T_9160) @[exu_mul_ctl.scala 137:112] + node _T_9192 = add(_T_9191, _T_9161) @[exu_mul_ctl.scala 137:112] + node _T_9193 = add(_T_9192, _T_9162) @[exu_mul_ctl.scala 137:112] + node _T_9194 = add(_T_9193, _T_9163) @[exu_mul_ctl.scala 137:112] + node _T_9195 = add(_T_9194, _T_9164) @[exu_mul_ctl.scala 137:112] + node _T_9196 = eq(_T_9195, UInt<4>("h08")) @[exu_mul_ctl.scala 138:87] + node _T_9197 = bits(_T_9196, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9198 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_9199 = mux(_T_9197, _T_9198, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_9200 = mux(_T_9131, _T_9132, _T_9199) @[Mux.scala 98:16] + node _T_9201 = mux(_T_9067, _T_9068, _T_9200) @[Mux.scala 98:16] + node _T_9202 = mux(_T_9005, _T_9006, _T_9201) @[Mux.scala 98:16] + node _T_9203 = mux(_T_8945, _T_8946, _T_9202) @[Mux.scala 98:16] + node _T_9204 = mux(_T_8887, _T_8888, _T_9203) @[Mux.scala 98:16] + node _T_9205 = mux(_T_8831, _T_8832, _T_9204) @[Mux.scala 98:16] + node _T_9206 = mux(_T_8777, _T_8778, _T_9205) @[Mux.scala 98:16] + node _T_9207 = mux(_T_8725, _T_8726, _T_9206) @[Mux.scala 98:16] + node _T_9208 = mux(_T_8675, _T_8676, _T_9207) @[Mux.scala 98:16] + node _T_9209 = mux(_T_8627, _T_8628, _T_9208) @[Mux.scala 98:16] + node _T_9210 = mux(_T_8581, _T_8582, _T_9209) @[Mux.scala 98:16] + node _T_9211 = mux(_T_8537, _T_8538, _T_9210) @[Mux.scala 98:16] + node _T_9212 = mux(_T_8495, _T_8496, _T_9211) @[Mux.scala 98:16] + node _T_9213 = mux(_T_8455, _T_8456, _T_9212) @[Mux.scala 98:16] + node _T_9214 = mux(_T_8417, _T_8418, _T_9213) @[Mux.scala 98:16] + node _T_9215 = mux(_T_8381, _T_8382, _T_9214) @[Mux.scala 98:16] + node _T_9216 = mux(_T_8347, _T_8348, _T_9215) @[Mux.scala 98:16] + node _T_9217 = mux(_T_8315, _T_8316, _T_9216) @[Mux.scala 98:16] + node _T_9218 = mux(_T_8285, _T_8286, _T_9217) @[Mux.scala 98:16] + node _T_9219 = mux(_T_8257, _T_8258, _T_9218) @[Mux.scala 98:16] + node _T_9220 = mux(_T_8231, _T_8232, _T_9219) @[Mux.scala 98:16] + node _T_9221 = mux(_T_8207, _T_8208, _T_9220) @[Mux.scala 98:16] + node _T_9222 = mux(_T_8185, _T_8186, _T_9221) @[Mux.scala 98:16] + node _T_9223 = mux(_T_8165, _T_8166, _T_9222) @[Mux.scala 98:16] + node _T_9224 = mux(_T_8147, _T_8148, _T_9223) @[Mux.scala 98:16] + node _T_9225 = mux(_T_8131, _T_8132, _T_9224) @[Mux.scala 98:16] + node _T_9226 = mux(_T_8117, _T_8118, _T_9225) @[Mux.scala 98:16] + node _T_9227 = mux(_T_8105, _T_8106, _T_9226) @[Mux.scala 98:16] + node _T_9228 = mux(_T_8095, _T_8096, _T_9227) @[Mux.scala 98:16] + node _T_9229 = mux(_T_8087, _T_8088, _T_9228) @[Mux.scala 98:16] + node _T_9230 = mux(_T_8081, _T_8082, _T_9229) @[Mux.scala 98:16] + node _T_9231 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_9232 = eq(_T_9231, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9233 = bits(_T_9232, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9234 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_9235 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9236 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9237 = add(_T_9235, _T_9236) @[exu_mul_ctl.scala 137:112] + node _T_9238 = eq(_T_9237, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9239 = bits(_T_9238, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9240 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_9241 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9242 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9243 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9244 = add(_T_9241, _T_9242) @[exu_mul_ctl.scala 137:112] + node _T_9245 = add(_T_9244, _T_9243) @[exu_mul_ctl.scala 137:112] + node _T_9246 = eq(_T_9245, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9247 = bits(_T_9246, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9248 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_9249 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9250 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9251 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9252 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9253 = add(_T_9249, _T_9250) @[exu_mul_ctl.scala 137:112] + node _T_9254 = add(_T_9253, _T_9251) @[exu_mul_ctl.scala 137:112] + node _T_9255 = add(_T_9254, _T_9252) @[exu_mul_ctl.scala 137:112] + node _T_9256 = eq(_T_9255, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9257 = bits(_T_9256, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9258 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_9259 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9260 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9261 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9262 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9263 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9264 = add(_T_9259, _T_9260) @[exu_mul_ctl.scala 137:112] + node _T_9265 = add(_T_9264, _T_9261) @[exu_mul_ctl.scala 137:112] + node _T_9266 = add(_T_9265, _T_9262) @[exu_mul_ctl.scala 137:112] + node _T_9267 = add(_T_9266, _T_9263) @[exu_mul_ctl.scala 137:112] + node _T_9268 = eq(_T_9267, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9269 = bits(_T_9268, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9270 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_9271 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9272 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9273 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9274 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9275 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9276 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9277 = add(_T_9271, _T_9272) @[exu_mul_ctl.scala 137:112] + node _T_9278 = add(_T_9277, _T_9273) @[exu_mul_ctl.scala 137:112] + node _T_9279 = add(_T_9278, _T_9274) @[exu_mul_ctl.scala 137:112] + node _T_9280 = add(_T_9279, _T_9275) @[exu_mul_ctl.scala 137:112] + node _T_9281 = add(_T_9280, _T_9276) @[exu_mul_ctl.scala 137:112] + node _T_9282 = eq(_T_9281, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9283 = bits(_T_9282, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9284 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_9285 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9286 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9287 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9288 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9289 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9290 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9291 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9292 = add(_T_9285, _T_9286) @[exu_mul_ctl.scala 137:112] + node _T_9293 = add(_T_9292, _T_9287) @[exu_mul_ctl.scala 137:112] + node _T_9294 = add(_T_9293, _T_9288) @[exu_mul_ctl.scala 137:112] + node _T_9295 = add(_T_9294, _T_9289) @[exu_mul_ctl.scala 137:112] + node _T_9296 = add(_T_9295, _T_9290) @[exu_mul_ctl.scala 137:112] + node _T_9297 = add(_T_9296, _T_9291) @[exu_mul_ctl.scala 137:112] + node _T_9298 = eq(_T_9297, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9299 = bits(_T_9298, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9300 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_9301 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9302 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9303 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9304 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9305 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9306 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9307 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9308 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9309 = add(_T_9301, _T_9302) @[exu_mul_ctl.scala 137:112] + node _T_9310 = add(_T_9309, _T_9303) @[exu_mul_ctl.scala 137:112] + node _T_9311 = add(_T_9310, _T_9304) @[exu_mul_ctl.scala 137:112] + node _T_9312 = add(_T_9311, _T_9305) @[exu_mul_ctl.scala 137:112] + node _T_9313 = add(_T_9312, _T_9306) @[exu_mul_ctl.scala 137:112] + node _T_9314 = add(_T_9313, _T_9307) @[exu_mul_ctl.scala 137:112] + node _T_9315 = add(_T_9314, _T_9308) @[exu_mul_ctl.scala 137:112] + node _T_9316 = eq(_T_9315, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9317 = bits(_T_9316, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9318 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_9319 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9320 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9321 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9322 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9323 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9324 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9325 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9326 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9327 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9328 = add(_T_9319, _T_9320) @[exu_mul_ctl.scala 137:112] + node _T_9329 = add(_T_9328, _T_9321) @[exu_mul_ctl.scala 137:112] + node _T_9330 = add(_T_9329, _T_9322) @[exu_mul_ctl.scala 137:112] + node _T_9331 = add(_T_9330, _T_9323) @[exu_mul_ctl.scala 137:112] + node _T_9332 = add(_T_9331, _T_9324) @[exu_mul_ctl.scala 137:112] + node _T_9333 = add(_T_9332, _T_9325) @[exu_mul_ctl.scala 137:112] + node _T_9334 = add(_T_9333, _T_9326) @[exu_mul_ctl.scala 137:112] + node _T_9335 = add(_T_9334, _T_9327) @[exu_mul_ctl.scala 137:112] + node _T_9336 = eq(_T_9335, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9337 = bits(_T_9336, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9338 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_9339 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9340 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9341 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9342 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9343 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9344 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9345 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9346 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9347 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9348 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9349 = add(_T_9339, _T_9340) @[exu_mul_ctl.scala 137:112] + node _T_9350 = add(_T_9349, _T_9341) @[exu_mul_ctl.scala 137:112] + node _T_9351 = add(_T_9350, _T_9342) @[exu_mul_ctl.scala 137:112] + node _T_9352 = add(_T_9351, _T_9343) @[exu_mul_ctl.scala 137:112] + node _T_9353 = add(_T_9352, _T_9344) @[exu_mul_ctl.scala 137:112] + node _T_9354 = add(_T_9353, _T_9345) @[exu_mul_ctl.scala 137:112] + node _T_9355 = add(_T_9354, _T_9346) @[exu_mul_ctl.scala 137:112] + node _T_9356 = add(_T_9355, _T_9347) @[exu_mul_ctl.scala 137:112] + node _T_9357 = add(_T_9356, _T_9348) @[exu_mul_ctl.scala 137:112] + node _T_9358 = eq(_T_9357, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9359 = bits(_T_9358, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9360 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_9361 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9362 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9363 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9364 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9365 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9366 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9367 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9368 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9369 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9370 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9371 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9372 = add(_T_9361, _T_9362) @[exu_mul_ctl.scala 137:112] + node _T_9373 = add(_T_9372, _T_9363) @[exu_mul_ctl.scala 137:112] + node _T_9374 = add(_T_9373, _T_9364) @[exu_mul_ctl.scala 137:112] + node _T_9375 = add(_T_9374, _T_9365) @[exu_mul_ctl.scala 137:112] + node _T_9376 = add(_T_9375, _T_9366) @[exu_mul_ctl.scala 137:112] + node _T_9377 = add(_T_9376, _T_9367) @[exu_mul_ctl.scala 137:112] + node _T_9378 = add(_T_9377, _T_9368) @[exu_mul_ctl.scala 137:112] + node _T_9379 = add(_T_9378, _T_9369) @[exu_mul_ctl.scala 137:112] + node _T_9380 = add(_T_9379, _T_9370) @[exu_mul_ctl.scala 137:112] + node _T_9381 = add(_T_9380, _T_9371) @[exu_mul_ctl.scala 137:112] + node _T_9382 = eq(_T_9381, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9383 = bits(_T_9382, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9384 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_9385 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9386 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9387 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9388 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9389 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9390 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9391 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9392 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9393 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9394 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9395 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9396 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9397 = add(_T_9385, _T_9386) @[exu_mul_ctl.scala 137:112] + node _T_9398 = add(_T_9397, _T_9387) @[exu_mul_ctl.scala 137:112] + node _T_9399 = add(_T_9398, _T_9388) @[exu_mul_ctl.scala 137:112] + node _T_9400 = add(_T_9399, _T_9389) @[exu_mul_ctl.scala 137:112] + node _T_9401 = add(_T_9400, _T_9390) @[exu_mul_ctl.scala 137:112] + node _T_9402 = add(_T_9401, _T_9391) @[exu_mul_ctl.scala 137:112] + node _T_9403 = add(_T_9402, _T_9392) @[exu_mul_ctl.scala 137:112] + node _T_9404 = add(_T_9403, _T_9393) @[exu_mul_ctl.scala 137:112] + node _T_9405 = add(_T_9404, _T_9394) @[exu_mul_ctl.scala 137:112] + node _T_9406 = add(_T_9405, _T_9395) @[exu_mul_ctl.scala 137:112] + node _T_9407 = add(_T_9406, _T_9396) @[exu_mul_ctl.scala 137:112] + node _T_9408 = eq(_T_9407, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9409 = bits(_T_9408, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9410 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_9411 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9412 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9413 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9414 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9415 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9416 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9417 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9418 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9419 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9420 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9421 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9422 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9423 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9424 = add(_T_9411, _T_9412) @[exu_mul_ctl.scala 137:112] + node _T_9425 = add(_T_9424, _T_9413) @[exu_mul_ctl.scala 137:112] + node _T_9426 = add(_T_9425, _T_9414) @[exu_mul_ctl.scala 137:112] + node _T_9427 = add(_T_9426, _T_9415) @[exu_mul_ctl.scala 137:112] + node _T_9428 = add(_T_9427, _T_9416) @[exu_mul_ctl.scala 137:112] + node _T_9429 = add(_T_9428, _T_9417) @[exu_mul_ctl.scala 137:112] + node _T_9430 = add(_T_9429, _T_9418) @[exu_mul_ctl.scala 137:112] + node _T_9431 = add(_T_9430, _T_9419) @[exu_mul_ctl.scala 137:112] + node _T_9432 = add(_T_9431, _T_9420) @[exu_mul_ctl.scala 137:112] + node _T_9433 = add(_T_9432, _T_9421) @[exu_mul_ctl.scala 137:112] + node _T_9434 = add(_T_9433, _T_9422) @[exu_mul_ctl.scala 137:112] + node _T_9435 = add(_T_9434, _T_9423) @[exu_mul_ctl.scala 137:112] + node _T_9436 = eq(_T_9435, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9437 = bits(_T_9436, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9438 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_9439 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9440 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9441 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9442 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9443 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9444 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9445 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9446 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9447 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9448 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9449 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9450 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9451 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9452 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9453 = add(_T_9439, _T_9440) @[exu_mul_ctl.scala 137:112] + node _T_9454 = add(_T_9453, _T_9441) @[exu_mul_ctl.scala 137:112] + node _T_9455 = add(_T_9454, _T_9442) @[exu_mul_ctl.scala 137:112] + node _T_9456 = add(_T_9455, _T_9443) @[exu_mul_ctl.scala 137:112] + node _T_9457 = add(_T_9456, _T_9444) @[exu_mul_ctl.scala 137:112] + node _T_9458 = add(_T_9457, _T_9445) @[exu_mul_ctl.scala 137:112] + node _T_9459 = add(_T_9458, _T_9446) @[exu_mul_ctl.scala 137:112] + node _T_9460 = add(_T_9459, _T_9447) @[exu_mul_ctl.scala 137:112] + node _T_9461 = add(_T_9460, _T_9448) @[exu_mul_ctl.scala 137:112] + node _T_9462 = add(_T_9461, _T_9449) @[exu_mul_ctl.scala 137:112] + node _T_9463 = add(_T_9462, _T_9450) @[exu_mul_ctl.scala 137:112] + node _T_9464 = add(_T_9463, _T_9451) @[exu_mul_ctl.scala 137:112] + node _T_9465 = add(_T_9464, _T_9452) @[exu_mul_ctl.scala 137:112] + node _T_9466 = eq(_T_9465, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9467 = bits(_T_9466, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9468 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_9469 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9470 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9471 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9472 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9473 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9474 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9475 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9476 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9477 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9478 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9479 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9480 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9481 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9482 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9483 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9484 = add(_T_9469, _T_9470) @[exu_mul_ctl.scala 137:112] + node _T_9485 = add(_T_9484, _T_9471) @[exu_mul_ctl.scala 137:112] + node _T_9486 = add(_T_9485, _T_9472) @[exu_mul_ctl.scala 137:112] + node _T_9487 = add(_T_9486, _T_9473) @[exu_mul_ctl.scala 137:112] + node _T_9488 = add(_T_9487, _T_9474) @[exu_mul_ctl.scala 137:112] + node _T_9489 = add(_T_9488, _T_9475) @[exu_mul_ctl.scala 137:112] + node _T_9490 = add(_T_9489, _T_9476) @[exu_mul_ctl.scala 137:112] + node _T_9491 = add(_T_9490, _T_9477) @[exu_mul_ctl.scala 137:112] + node _T_9492 = add(_T_9491, _T_9478) @[exu_mul_ctl.scala 137:112] + node _T_9493 = add(_T_9492, _T_9479) @[exu_mul_ctl.scala 137:112] + node _T_9494 = add(_T_9493, _T_9480) @[exu_mul_ctl.scala 137:112] + node _T_9495 = add(_T_9494, _T_9481) @[exu_mul_ctl.scala 137:112] + node _T_9496 = add(_T_9495, _T_9482) @[exu_mul_ctl.scala 137:112] + node _T_9497 = add(_T_9496, _T_9483) @[exu_mul_ctl.scala 137:112] + node _T_9498 = eq(_T_9497, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9499 = bits(_T_9498, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9500 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_9501 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9502 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9503 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9504 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9505 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9506 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9507 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9508 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9509 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9510 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9511 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9512 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9513 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9514 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9515 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9516 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9517 = add(_T_9501, _T_9502) @[exu_mul_ctl.scala 137:112] + node _T_9518 = add(_T_9517, _T_9503) @[exu_mul_ctl.scala 137:112] + node _T_9519 = add(_T_9518, _T_9504) @[exu_mul_ctl.scala 137:112] + node _T_9520 = add(_T_9519, _T_9505) @[exu_mul_ctl.scala 137:112] + node _T_9521 = add(_T_9520, _T_9506) @[exu_mul_ctl.scala 137:112] + node _T_9522 = add(_T_9521, _T_9507) @[exu_mul_ctl.scala 137:112] + node _T_9523 = add(_T_9522, _T_9508) @[exu_mul_ctl.scala 137:112] + node _T_9524 = add(_T_9523, _T_9509) @[exu_mul_ctl.scala 137:112] + node _T_9525 = add(_T_9524, _T_9510) @[exu_mul_ctl.scala 137:112] + node _T_9526 = add(_T_9525, _T_9511) @[exu_mul_ctl.scala 137:112] + node _T_9527 = add(_T_9526, _T_9512) @[exu_mul_ctl.scala 137:112] + node _T_9528 = add(_T_9527, _T_9513) @[exu_mul_ctl.scala 137:112] + node _T_9529 = add(_T_9528, _T_9514) @[exu_mul_ctl.scala 137:112] + node _T_9530 = add(_T_9529, _T_9515) @[exu_mul_ctl.scala 137:112] + node _T_9531 = add(_T_9530, _T_9516) @[exu_mul_ctl.scala 137:112] + node _T_9532 = eq(_T_9531, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9533 = bits(_T_9532, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9534 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_9535 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9536 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9537 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9538 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9539 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9540 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9541 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9542 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9543 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9544 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9545 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9546 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9547 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9548 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9549 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9550 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9551 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9552 = add(_T_9535, _T_9536) @[exu_mul_ctl.scala 137:112] + node _T_9553 = add(_T_9552, _T_9537) @[exu_mul_ctl.scala 137:112] + node _T_9554 = add(_T_9553, _T_9538) @[exu_mul_ctl.scala 137:112] + node _T_9555 = add(_T_9554, _T_9539) @[exu_mul_ctl.scala 137:112] + node _T_9556 = add(_T_9555, _T_9540) @[exu_mul_ctl.scala 137:112] + node _T_9557 = add(_T_9556, _T_9541) @[exu_mul_ctl.scala 137:112] + node _T_9558 = add(_T_9557, _T_9542) @[exu_mul_ctl.scala 137:112] + node _T_9559 = add(_T_9558, _T_9543) @[exu_mul_ctl.scala 137:112] + node _T_9560 = add(_T_9559, _T_9544) @[exu_mul_ctl.scala 137:112] + node _T_9561 = add(_T_9560, _T_9545) @[exu_mul_ctl.scala 137:112] + node _T_9562 = add(_T_9561, _T_9546) @[exu_mul_ctl.scala 137:112] + node _T_9563 = add(_T_9562, _T_9547) @[exu_mul_ctl.scala 137:112] + node _T_9564 = add(_T_9563, _T_9548) @[exu_mul_ctl.scala 137:112] + node _T_9565 = add(_T_9564, _T_9549) @[exu_mul_ctl.scala 137:112] + node _T_9566 = add(_T_9565, _T_9550) @[exu_mul_ctl.scala 137:112] + node _T_9567 = add(_T_9566, _T_9551) @[exu_mul_ctl.scala 137:112] + node _T_9568 = eq(_T_9567, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9569 = bits(_T_9568, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9570 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_9571 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9572 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9573 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9574 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9575 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9576 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9577 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9578 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9579 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9580 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9581 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9582 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9583 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9584 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9585 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9586 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9587 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9588 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9589 = add(_T_9571, _T_9572) @[exu_mul_ctl.scala 137:112] + node _T_9590 = add(_T_9589, _T_9573) @[exu_mul_ctl.scala 137:112] + node _T_9591 = add(_T_9590, _T_9574) @[exu_mul_ctl.scala 137:112] + node _T_9592 = add(_T_9591, _T_9575) @[exu_mul_ctl.scala 137:112] + node _T_9593 = add(_T_9592, _T_9576) @[exu_mul_ctl.scala 137:112] + node _T_9594 = add(_T_9593, _T_9577) @[exu_mul_ctl.scala 137:112] + node _T_9595 = add(_T_9594, _T_9578) @[exu_mul_ctl.scala 137:112] + node _T_9596 = add(_T_9595, _T_9579) @[exu_mul_ctl.scala 137:112] + node _T_9597 = add(_T_9596, _T_9580) @[exu_mul_ctl.scala 137:112] + node _T_9598 = add(_T_9597, _T_9581) @[exu_mul_ctl.scala 137:112] + node _T_9599 = add(_T_9598, _T_9582) @[exu_mul_ctl.scala 137:112] + node _T_9600 = add(_T_9599, _T_9583) @[exu_mul_ctl.scala 137:112] + node _T_9601 = add(_T_9600, _T_9584) @[exu_mul_ctl.scala 137:112] + node _T_9602 = add(_T_9601, _T_9585) @[exu_mul_ctl.scala 137:112] + node _T_9603 = add(_T_9602, _T_9586) @[exu_mul_ctl.scala 137:112] + node _T_9604 = add(_T_9603, _T_9587) @[exu_mul_ctl.scala 137:112] + node _T_9605 = add(_T_9604, _T_9588) @[exu_mul_ctl.scala 137:112] + node _T_9606 = eq(_T_9605, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9607 = bits(_T_9606, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9608 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_9609 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9610 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9611 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9612 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9613 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9614 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9615 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9616 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9617 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9618 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9619 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9620 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9621 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9622 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9623 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9624 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9625 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9626 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9627 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9628 = add(_T_9609, _T_9610) @[exu_mul_ctl.scala 137:112] + node _T_9629 = add(_T_9628, _T_9611) @[exu_mul_ctl.scala 137:112] + node _T_9630 = add(_T_9629, _T_9612) @[exu_mul_ctl.scala 137:112] + node _T_9631 = add(_T_9630, _T_9613) @[exu_mul_ctl.scala 137:112] + node _T_9632 = add(_T_9631, _T_9614) @[exu_mul_ctl.scala 137:112] + node _T_9633 = add(_T_9632, _T_9615) @[exu_mul_ctl.scala 137:112] + node _T_9634 = add(_T_9633, _T_9616) @[exu_mul_ctl.scala 137:112] + node _T_9635 = add(_T_9634, _T_9617) @[exu_mul_ctl.scala 137:112] + node _T_9636 = add(_T_9635, _T_9618) @[exu_mul_ctl.scala 137:112] + node _T_9637 = add(_T_9636, _T_9619) @[exu_mul_ctl.scala 137:112] + node _T_9638 = add(_T_9637, _T_9620) @[exu_mul_ctl.scala 137:112] + node _T_9639 = add(_T_9638, _T_9621) @[exu_mul_ctl.scala 137:112] + node _T_9640 = add(_T_9639, _T_9622) @[exu_mul_ctl.scala 137:112] + node _T_9641 = add(_T_9640, _T_9623) @[exu_mul_ctl.scala 137:112] + node _T_9642 = add(_T_9641, _T_9624) @[exu_mul_ctl.scala 137:112] + node _T_9643 = add(_T_9642, _T_9625) @[exu_mul_ctl.scala 137:112] + node _T_9644 = add(_T_9643, _T_9626) @[exu_mul_ctl.scala 137:112] + node _T_9645 = add(_T_9644, _T_9627) @[exu_mul_ctl.scala 137:112] + node _T_9646 = eq(_T_9645, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9647 = bits(_T_9646, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9648 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_9649 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9650 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9651 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9652 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9653 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9654 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9655 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9656 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9657 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9658 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9659 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9660 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9661 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9662 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9663 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9664 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9665 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9666 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9667 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9668 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9669 = add(_T_9649, _T_9650) @[exu_mul_ctl.scala 137:112] + node _T_9670 = add(_T_9669, _T_9651) @[exu_mul_ctl.scala 137:112] + node _T_9671 = add(_T_9670, _T_9652) @[exu_mul_ctl.scala 137:112] + node _T_9672 = add(_T_9671, _T_9653) @[exu_mul_ctl.scala 137:112] + node _T_9673 = add(_T_9672, _T_9654) @[exu_mul_ctl.scala 137:112] + node _T_9674 = add(_T_9673, _T_9655) @[exu_mul_ctl.scala 137:112] + node _T_9675 = add(_T_9674, _T_9656) @[exu_mul_ctl.scala 137:112] + node _T_9676 = add(_T_9675, _T_9657) @[exu_mul_ctl.scala 137:112] + node _T_9677 = add(_T_9676, _T_9658) @[exu_mul_ctl.scala 137:112] + node _T_9678 = add(_T_9677, _T_9659) @[exu_mul_ctl.scala 137:112] + node _T_9679 = add(_T_9678, _T_9660) @[exu_mul_ctl.scala 137:112] + node _T_9680 = add(_T_9679, _T_9661) @[exu_mul_ctl.scala 137:112] + node _T_9681 = add(_T_9680, _T_9662) @[exu_mul_ctl.scala 137:112] + node _T_9682 = add(_T_9681, _T_9663) @[exu_mul_ctl.scala 137:112] + node _T_9683 = add(_T_9682, _T_9664) @[exu_mul_ctl.scala 137:112] + node _T_9684 = add(_T_9683, _T_9665) @[exu_mul_ctl.scala 137:112] + node _T_9685 = add(_T_9684, _T_9666) @[exu_mul_ctl.scala 137:112] + node _T_9686 = add(_T_9685, _T_9667) @[exu_mul_ctl.scala 137:112] + node _T_9687 = add(_T_9686, _T_9668) @[exu_mul_ctl.scala 137:112] + node _T_9688 = eq(_T_9687, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9689 = bits(_T_9688, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9690 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_9691 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9692 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9693 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9694 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9695 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9696 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9697 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9698 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9699 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9700 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9701 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9702 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9703 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9704 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9705 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9706 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9707 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9708 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9709 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9710 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9711 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9712 = add(_T_9691, _T_9692) @[exu_mul_ctl.scala 137:112] + node _T_9713 = add(_T_9712, _T_9693) @[exu_mul_ctl.scala 137:112] + node _T_9714 = add(_T_9713, _T_9694) @[exu_mul_ctl.scala 137:112] + node _T_9715 = add(_T_9714, _T_9695) @[exu_mul_ctl.scala 137:112] + node _T_9716 = add(_T_9715, _T_9696) @[exu_mul_ctl.scala 137:112] + node _T_9717 = add(_T_9716, _T_9697) @[exu_mul_ctl.scala 137:112] + node _T_9718 = add(_T_9717, _T_9698) @[exu_mul_ctl.scala 137:112] + node _T_9719 = add(_T_9718, _T_9699) @[exu_mul_ctl.scala 137:112] + node _T_9720 = add(_T_9719, _T_9700) @[exu_mul_ctl.scala 137:112] + node _T_9721 = add(_T_9720, _T_9701) @[exu_mul_ctl.scala 137:112] + node _T_9722 = add(_T_9721, _T_9702) @[exu_mul_ctl.scala 137:112] + node _T_9723 = add(_T_9722, _T_9703) @[exu_mul_ctl.scala 137:112] + node _T_9724 = add(_T_9723, _T_9704) @[exu_mul_ctl.scala 137:112] + node _T_9725 = add(_T_9724, _T_9705) @[exu_mul_ctl.scala 137:112] + node _T_9726 = add(_T_9725, _T_9706) @[exu_mul_ctl.scala 137:112] + node _T_9727 = add(_T_9726, _T_9707) @[exu_mul_ctl.scala 137:112] + node _T_9728 = add(_T_9727, _T_9708) @[exu_mul_ctl.scala 137:112] + node _T_9729 = add(_T_9728, _T_9709) @[exu_mul_ctl.scala 137:112] + node _T_9730 = add(_T_9729, _T_9710) @[exu_mul_ctl.scala 137:112] + node _T_9731 = add(_T_9730, _T_9711) @[exu_mul_ctl.scala 137:112] + node _T_9732 = eq(_T_9731, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9733 = bits(_T_9732, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9734 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_9735 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9736 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9737 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9738 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9739 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9740 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9741 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9742 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9743 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9744 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9745 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9746 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9747 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9748 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9749 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9750 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9751 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9752 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9753 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9754 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9755 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9756 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9757 = add(_T_9735, _T_9736) @[exu_mul_ctl.scala 137:112] + node _T_9758 = add(_T_9757, _T_9737) @[exu_mul_ctl.scala 137:112] + node _T_9759 = add(_T_9758, _T_9738) @[exu_mul_ctl.scala 137:112] + node _T_9760 = add(_T_9759, _T_9739) @[exu_mul_ctl.scala 137:112] + node _T_9761 = add(_T_9760, _T_9740) @[exu_mul_ctl.scala 137:112] + node _T_9762 = add(_T_9761, _T_9741) @[exu_mul_ctl.scala 137:112] + node _T_9763 = add(_T_9762, _T_9742) @[exu_mul_ctl.scala 137:112] + node _T_9764 = add(_T_9763, _T_9743) @[exu_mul_ctl.scala 137:112] + node _T_9765 = add(_T_9764, _T_9744) @[exu_mul_ctl.scala 137:112] + node _T_9766 = add(_T_9765, _T_9745) @[exu_mul_ctl.scala 137:112] + node _T_9767 = add(_T_9766, _T_9746) @[exu_mul_ctl.scala 137:112] + node _T_9768 = add(_T_9767, _T_9747) @[exu_mul_ctl.scala 137:112] + node _T_9769 = add(_T_9768, _T_9748) @[exu_mul_ctl.scala 137:112] + node _T_9770 = add(_T_9769, _T_9749) @[exu_mul_ctl.scala 137:112] + node _T_9771 = add(_T_9770, _T_9750) @[exu_mul_ctl.scala 137:112] + node _T_9772 = add(_T_9771, _T_9751) @[exu_mul_ctl.scala 137:112] + node _T_9773 = add(_T_9772, _T_9752) @[exu_mul_ctl.scala 137:112] + node _T_9774 = add(_T_9773, _T_9753) @[exu_mul_ctl.scala 137:112] + node _T_9775 = add(_T_9774, _T_9754) @[exu_mul_ctl.scala 137:112] + node _T_9776 = add(_T_9775, _T_9755) @[exu_mul_ctl.scala 137:112] + node _T_9777 = add(_T_9776, _T_9756) @[exu_mul_ctl.scala 137:112] + node _T_9778 = eq(_T_9777, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9779 = bits(_T_9778, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9780 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_9781 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9782 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9783 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9784 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9785 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9786 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9787 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9788 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9789 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9790 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9791 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9792 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9793 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9794 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9795 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9796 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9797 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9798 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9799 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9800 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9801 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9802 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9803 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9804 = add(_T_9781, _T_9782) @[exu_mul_ctl.scala 137:112] + node _T_9805 = add(_T_9804, _T_9783) @[exu_mul_ctl.scala 137:112] + node _T_9806 = add(_T_9805, _T_9784) @[exu_mul_ctl.scala 137:112] + node _T_9807 = add(_T_9806, _T_9785) @[exu_mul_ctl.scala 137:112] + node _T_9808 = add(_T_9807, _T_9786) @[exu_mul_ctl.scala 137:112] + node _T_9809 = add(_T_9808, _T_9787) @[exu_mul_ctl.scala 137:112] + node _T_9810 = add(_T_9809, _T_9788) @[exu_mul_ctl.scala 137:112] + node _T_9811 = add(_T_9810, _T_9789) @[exu_mul_ctl.scala 137:112] + node _T_9812 = add(_T_9811, _T_9790) @[exu_mul_ctl.scala 137:112] + node _T_9813 = add(_T_9812, _T_9791) @[exu_mul_ctl.scala 137:112] + node _T_9814 = add(_T_9813, _T_9792) @[exu_mul_ctl.scala 137:112] + node _T_9815 = add(_T_9814, _T_9793) @[exu_mul_ctl.scala 137:112] + node _T_9816 = add(_T_9815, _T_9794) @[exu_mul_ctl.scala 137:112] + node _T_9817 = add(_T_9816, _T_9795) @[exu_mul_ctl.scala 137:112] + node _T_9818 = add(_T_9817, _T_9796) @[exu_mul_ctl.scala 137:112] + node _T_9819 = add(_T_9818, _T_9797) @[exu_mul_ctl.scala 137:112] + node _T_9820 = add(_T_9819, _T_9798) @[exu_mul_ctl.scala 137:112] + node _T_9821 = add(_T_9820, _T_9799) @[exu_mul_ctl.scala 137:112] + node _T_9822 = add(_T_9821, _T_9800) @[exu_mul_ctl.scala 137:112] + node _T_9823 = add(_T_9822, _T_9801) @[exu_mul_ctl.scala 137:112] + node _T_9824 = add(_T_9823, _T_9802) @[exu_mul_ctl.scala 137:112] + node _T_9825 = add(_T_9824, _T_9803) @[exu_mul_ctl.scala 137:112] + node _T_9826 = eq(_T_9825, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9827 = bits(_T_9826, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9828 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_9829 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9830 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9831 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9832 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9833 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9834 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9835 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9836 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9837 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9838 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9839 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9840 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9841 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9842 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9843 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9844 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9845 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9846 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9847 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9848 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9849 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9850 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9851 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9852 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9853 = add(_T_9829, _T_9830) @[exu_mul_ctl.scala 137:112] + node _T_9854 = add(_T_9853, _T_9831) @[exu_mul_ctl.scala 137:112] + node _T_9855 = add(_T_9854, _T_9832) @[exu_mul_ctl.scala 137:112] + node _T_9856 = add(_T_9855, _T_9833) @[exu_mul_ctl.scala 137:112] + node _T_9857 = add(_T_9856, _T_9834) @[exu_mul_ctl.scala 137:112] + node _T_9858 = add(_T_9857, _T_9835) @[exu_mul_ctl.scala 137:112] + node _T_9859 = add(_T_9858, _T_9836) @[exu_mul_ctl.scala 137:112] + node _T_9860 = add(_T_9859, _T_9837) @[exu_mul_ctl.scala 137:112] + node _T_9861 = add(_T_9860, _T_9838) @[exu_mul_ctl.scala 137:112] + node _T_9862 = add(_T_9861, _T_9839) @[exu_mul_ctl.scala 137:112] + node _T_9863 = add(_T_9862, _T_9840) @[exu_mul_ctl.scala 137:112] + node _T_9864 = add(_T_9863, _T_9841) @[exu_mul_ctl.scala 137:112] + node _T_9865 = add(_T_9864, _T_9842) @[exu_mul_ctl.scala 137:112] + node _T_9866 = add(_T_9865, _T_9843) @[exu_mul_ctl.scala 137:112] + node _T_9867 = add(_T_9866, _T_9844) @[exu_mul_ctl.scala 137:112] + node _T_9868 = add(_T_9867, _T_9845) @[exu_mul_ctl.scala 137:112] + node _T_9869 = add(_T_9868, _T_9846) @[exu_mul_ctl.scala 137:112] + node _T_9870 = add(_T_9869, _T_9847) @[exu_mul_ctl.scala 137:112] + node _T_9871 = add(_T_9870, _T_9848) @[exu_mul_ctl.scala 137:112] + node _T_9872 = add(_T_9871, _T_9849) @[exu_mul_ctl.scala 137:112] + node _T_9873 = add(_T_9872, _T_9850) @[exu_mul_ctl.scala 137:112] + node _T_9874 = add(_T_9873, _T_9851) @[exu_mul_ctl.scala 137:112] + node _T_9875 = add(_T_9874, _T_9852) @[exu_mul_ctl.scala 137:112] + node _T_9876 = eq(_T_9875, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9877 = bits(_T_9876, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9878 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_9879 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9880 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9881 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9882 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9883 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9884 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9885 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9886 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9887 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9888 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9889 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9890 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9891 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9892 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9893 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9894 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9895 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9896 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9897 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9898 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9899 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9900 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9901 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9902 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9903 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_9904 = add(_T_9879, _T_9880) @[exu_mul_ctl.scala 137:112] + node _T_9905 = add(_T_9904, _T_9881) @[exu_mul_ctl.scala 137:112] + node _T_9906 = add(_T_9905, _T_9882) @[exu_mul_ctl.scala 137:112] + node _T_9907 = add(_T_9906, _T_9883) @[exu_mul_ctl.scala 137:112] + node _T_9908 = add(_T_9907, _T_9884) @[exu_mul_ctl.scala 137:112] + node _T_9909 = add(_T_9908, _T_9885) @[exu_mul_ctl.scala 137:112] + node _T_9910 = add(_T_9909, _T_9886) @[exu_mul_ctl.scala 137:112] + node _T_9911 = add(_T_9910, _T_9887) @[exu_mul_ctl.scala 137:112] + node _T_9912 = add(_T_9911, _T_9888) @[exu_mul_ctl.scala 137:112] + node _T_9913 = add(_T_9912, _T_9889) @[exu_mul_ctl.scala 137:112] + node _T_9914 = add(_T_9913, _T_9890) @[exu_mul_ctl.scala 137:112] + node _T_9915 = add(_T_9914, _T_9891) @[exu_mul_ctl.scala 137:112] + node _T_9916 = add(_T_9915, _T_9892) @[exu_mul_ctl.scala 137:112] + node _T_9917 = add(_T_9916, _T_9893) @[exu_mul_ctl.scala 137:112] + node _T_9918 = add(_T_9917, _T_9894) @[exu_mul_ctl.scala 137:112] + node _T_9919 = add(_T_9918, _T_9895) @[exu_mul_ctl.scala 137:112] + node _T_9920 = add(_T_9919, _T_9896) @[exu_mul_ctl.scala 137:112] + node _T_9921 = add(_T_9920, _T_9897) @[exu_mul_ctl.scala 137:112] + node _T_9922 = add(_T_9921, _T_9898) @[exu_mul_ctl.scala 137:112] + node _T_9923 = add(_T_9922, _T_9899) @[exu_mul_ctl.scala 137:112] + node _T_9924 = add(_T_9923, _T_9900) @[exu_mul_ctl.scala 137:112] + node _T_9925 = add(_T_9924, _T_9901) @[exu_mul_ctl.scala 137:112] + node _T_9926 = add(_T_9925, _T_9902) @[exu_mul_ctl.scala 137:112] + node _T_9927 = add(_T_9926, _T_9903) @[exu_mul_ctl.scala 137:112] + node _T_9928 = eq(_T_9927, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9929 = bits(_T_9928, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9930 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_9931 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9932 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9933 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9934 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9935 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9936 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9937 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9938 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9939 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9940 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9941 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9942 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9943 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9944 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9945 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_9946 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_9947 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_9948 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_9949 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_9950 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_9951 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_9952 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_9953 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_9954 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_9955 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_9956 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_9957 = add(_T_9931, _T_9932) @[exu_mul_ctl.scala 137:112] + node _T_9958 = add(_T_9957, _T_9933) @[exu_mul_ctl.scala 137:112] + node _T_9959 = add(_T_9958, _T_9934) @[exu_mul_ctl.scala 137:112] + node _T_9960 = add(_T_9959, _T_9935) @[exu_mul_ctl.scala 137:112] + node _T_9961 = add(_T_9960, _T_9936) @[exu_mul_ctl.scala 137:112] + node _T_9962 = add(_T_9961, _T_9937) @[exu_mul_ctl.scala 137:112] + node _T_9963 = add(_T_9962, _T_9938) @[exu_mul_ctl.scala 137:112] + node _T_9964 = add(_T_9963, _T_9939) @[exu_mul_ctl.scala 137:112] + node _T_9965 = add(_T_9964, _T_9940) @[exu_mul_ctl.scala 137:112] + node _T_9966 = add(_T_9965, _T_9941) @[exu_mul_ctl.scala 137:112] + node _T_9967 = add(_T_9966, _T_9942) @[exu_mul_ctl.scala 137:112] + node _T_9968 = add(_T_9967, _T_9943) @[exu_mul_ctl.scala 137:112] + node _T_9969 = add(_T_9968, _T_9944) @[exu_mul_ctl.scala 137:112] + node _T_9970 = add(_T_9969, _T_9945) @[exu_mul_ctl.scala 137:112] + node _T_9971 = add(_T_9970, _T_9946) @[exu_mul_ctl.scala 137:112] + node _T_9972 = add(_T_9971, _T_9947) @[exu_mul_ctl.scala 137:112] + node _T_9973 = add(_T_9972, _T_9948) @[exu_mul_ctl.scala 137:112] + node _T_9974 = add(_T_9973, _T_9949) @[exu_mul_ctl.scala 137:112] + node _T_9975 = add(_T_9974, _T_9950) @[exu_mul_ctl.scala 137:112] + node _T_9976 = add(_T_9975, _T_9951) @[exu_mul_ctl.scala 137:112] + node _T_9977 = add(_T_9976, _T_9952) @[exu_mul_ctl.scala 137:112] + node _T_9978 = add(_T_9977, _T_9953) @[exu_mul_ctl.scala 137:112] + node _T_9979 = add(_T_9978, _T_9954) @[exu_mul_ctl.scala 137:112] + node _T_9980 = add(_T_9979, _T_9955) @[exu_mul_ctl.scala 137:112] + node _T_9981 = add(_T_9980, _T_9956) @[exu_mul_ctl.scala 137:112] + node _T_9982 = eq(_T_9981, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_9983 = bits(_T_9982, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_9984 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_9985 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_9986 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_9987 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_9988 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_9989 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_9990 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_9991 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_9992 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_9993 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_9994 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_9995 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_9996 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_9997 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_9998 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_9999 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10000 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10001 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10002 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10003 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10004 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10005 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10006 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10007 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10008 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10009 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10010 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10011 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10012 = add(_T_9985, _T_9986) @[exu_mul_ctl.scala 137:112] + node _T_10013 = add(_T_10012, _T_9987) @[exu_mul_ctl.scala 137:112] + node _T_10014 = add(_T_10013, _T_9988) @[exu_mul_ctl.scala 137:112] + node _T_10015 = add(_T_10014, _T_9989) @[exu_mul_ctl.scala 137:112] + node _T_10016 = add(_T_10015, _T_9990) @[exu_mul_ctl.scala 137:112] + node _T_10017 = add(_T_10016, _T_9991) @[exu_mul_ctl.scala 137:112] + node _T_10018 = add(_T_10017, _T_9992) @[exu_mul_ctl.scala 137:112] + node _T_10019 = add(_T_10018, _T_9993) @[exu_mul_ctl.scala 137:112] + node _T_10020 = add(_T_10019, _T_9994) @[exu_mul_ctl.scala 137:112] + node _T_10021 = add(_T_10020, _T_9995) @[exu_mul_ctl.scala 137:112] + node _T_10022 = add(_T_10021, _T_9996) @[exu_mul_ctl.scala 137:112] + node _T_10023 = add(_T_10022, _T_9997) @[exu_mul_ctl.scala 137:112] + node _T_10024 = add(_T_10023, _T_9998) @[exu_mul_ctl.scala 137:112] + node _T_10025 = add(_T_10024, _T_9999) @[exu_mul_ctl.scala 137:112] + node _T_10026 = add(_T_10025, _T_10000) @[exu_mul_ctl.scala 137:112] + node _T_10027 = add(_T_10026, _T_10001) @[exu_mul_ctl.scala 137:112] + node _T_10028 = add(_T_10027, _T_10002) @[exu_mul_ctl.scala 137:112] + node _T_10029 = add(_T_10028, _T_10003) @[exu_mul_ctl.scala 137:112] + node _T_10030 = add(_T_10029, _T_10004) @[exu_mul_ctl.scala 137:112] + node _T_10031 = add(_T_10030, _T_10005) @[exu_mul_ctl.scala 137:112] + node _T_10032 = add(_T_10031, _T_10006) @[exu_mul_ctl.scala 137:112] + node _T_10033 = add(_T_10032, _T_10007) @[exu_mul_ctl.scala 137:112] + node _T_10034 = add(_T_10033, _T_10008) @[exu_mul_ctl.scala 137:112] + node _T_10035 = add(_T_10034, _T_10009) @[exu_mul_ctl.scala 137:112] + node _T_10036 = add(_T_10035, _T_10010) @[exu_mul_ctl.scala 137:112] + node _T_10037 = add(_T_10036, _T_10011) @[exu_mul_ctl.scala 137:112] + node _T_10038 = eq(_T_10037, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10039 = bits(_T_10038, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10040 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_10041 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10042 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10043 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10044 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10045 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10046 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10047 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10048 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10049 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10050 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10051 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10052 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10053 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10054 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10055 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10056 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10057 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10058 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10059 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10060 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10061 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10062 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10063 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10064 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10065 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10066 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10067 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10068 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_10069 = add(_T_10041, _T_10042) @[exu_mul_ctl.scala 137:112] + node _T_10070 = add(_T_10069, _T_10043) @[exu_mul_ctl.scala 137:112] + node _T_10071 = add(_T_10070, _T_10044) @[exu_mul_ctl.scala 137:112] + node _T_10072 = add(_T_10071, _T_10045) @[exu_mul_ctl.scala 137:112] + node _T_10073 = add(_T_10072, _T_10046) @[exu_mul_ctl.scala 137:112] + node _T_10074 = add(_T_10073, _T_10047) @[exu_mul_ctl.scala 137:112] + node _T_10075 = add(_T_10074, _T_10048) @[exu_mul_ctl.scala 137:112] + node _T_10076 = add(_T_10075, _T_10049) @[exu_mul_ctl.scala 137:112] + node _T_10077 = add(_T_10076, _T_10050) @[exu_mul_ctl.scala 137:112] + node _T_10078 = add(_T_10077, _T_10051) @[exu_mul_ctl.scala 137:112] + node _T_10079 = add(_T_10078, _T_10052) @[exu_mul_ctl.scala 137:112] + node _T_10080 = add(_T_10079, _T_10053) @[exu_mul_ctl.scala 137:112] + node _T_10081 = add(_T_10080, _T_10054) @[exu_mul_ctl.scala 137:112] + node _T_10082 = add(_T_10081, _T_10055) @[exu_mul_ctl.scala 137:112] + node _T_10083 = add(_T_10082, _T_10056) @[exu_mul_ctl.scala 137:112] + node _T_10084 = add(_T_10083, _T_10057) @[exu_mul_ctl.scala 137:112] + node _T_10085 = add(_T_10084, _T_10058) @[exu_mul_ctl.scala 137:112] + node _T_10086 = add(_T_10085, _T_10059) @[exu_mul_ctl.scala 137:112] + node _T_10087 = add(_T_10086, _T_10060) @[exu_mul_ctl.scala 137:112] + node _T_10088 = add(_T_10087, _T_10061) @[exu_mul_ctl.scala 137:112] + node _T_10089 = add(_T_10088, _T_10062) @[exu_mul_ctl.scala 137:112] + node _T_10090 = add(_T_10089, _T_10063) @[exu_mul_ctl.scala 137:112] + node _T_10091 = add(_T_10090, _T_10064) @[exu_mul_ctl.scala 137:112] + node _T_10092 = add(_T_10091, _T_10065) @[exu_mul_ctl.scala 137:112] + node _T_10093 = add(_T_10092, _T_10066) @[exu_mul_ctl.scala 137:112] + node _T_10094 = add(_T_10093, _T_10067) @[exu_mul_ctl.scala 137:112] + node _T_10095 = add(_T_10094, _T_10068) @[exu_mul_ctl.scala 137:112] + node _T_10096 = eq(_T_10095, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10097 = bits(_T_10096, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10098 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_10099 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10100 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10101 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10102 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10103 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10104 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10105 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10106 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10107 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10108 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10109 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10110 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10111 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10112 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10113 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10114 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10115 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10116 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10117 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10118 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10119 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10120 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10121 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10122 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10123 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10124 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10125 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10126 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_10127 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_10128 = add(_T_10099, _T_10100) @[exu_mul_ctl.scala 137:112] + node _T_10129 = add(_T_10128, _T_10101) @[exu_mul_ctl.scala 137:112] + node _T_10130 = add(_T_10129, _T_10102) @[exu_mul_ctl.scala 137:112] + node _T_10131 = add(_T_10130, _T_10103) @[exu_mul_ctl.scala 137:112] + node _T_10132 = add(_T_10131, _T_10104) @[exu_mul_ctl.scala 137:112] + node _T_10133 = add(_T_10132, _T_10105) @[exu_mul_ctl.scala 137:112] + node _T_10134 = add(_T_10133, _T_10106) @[exu_mul_ctl.scala 137:112] + node _T_10135 = add(_T_10134, _T_10107) @[exu_mul_ctl.scala 137:112] + node _T_10136 = add(_T_10135, _T_10108) @[exu_mul_ctl.scala 137:112] + node _T_10137 = add(_T_10136, _T_10109) @[exu_mul_ctl.scala 137:112] + node _T_10138 = add(_T_10137, _T_10110) @[exu_mul_ctl.scala 137:112] + node _T_10139 = add(_T_10138, _T_10111) @[exu_mul_ctl.scala 137:112] + node _T_10140 = add(_T_10139, _T_10112) @[exu_mul_ctl.scala 137:112] + node _T_10141 = add(_T_10140, _T_10113) @[exu_mul_ctl.scala 137:112] + node _T_10142 = add(_T_10141, _T_10114) @[exu_mul_ctl.scala 137:112] + node _T_10143 = add(_T_10142, _T_10115) @[exu_mul_ctl.scala 137:112] + node _T_10144 = add(_T_10143, _T_10116) @[exu_mul_ctl.scala 137:112] + node _T_10145 = add(_T_10144, _T_10117) @[exu_mul_ctl.scala 137:112] + node _T_10146 = add(_T_10145, _T_10118) @[exu_mul_ctl.scala 137:112] + node _T_10147 = add(_T_10146, _T_10119) @[exu_mul_ctl.scala 137:112] + node _T_10148 = add(_T_10147, _T_10120) @[exu_mul_ctl.scala 137:112] + node _T_10149 = add(_T_10148, _T_10121) @[exu_mul_ctl.scala 137:112] + node _T_10150 = add(_T_10149, _T_10122) @[exu_mul_ctl.scala 137:112] + node _T_10151 = add(_T_10150, _T_10123) @[exu_mul_ctl.scala 137:112] + node _T_10152 = add(_T_10151, _T_10124) @[exu_mul_ctl.scala 137:112] + node _T_10153 = add(_T_10152, _T_10125) @[exu_mul_ctl.scala 137:112] + node _T_10154 = add(_T_10153, _T_10126) @[exu_mul_ctl.scala 137:112] + node _T_10155 = add(_T_10154, _T_10127) @[exu_mul_ctl.scala 137:112] + node _T_10156 = eq(_T_10155, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10157 = bits(_T_10156, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10158 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_10159 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10160 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10161 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10162 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10163 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10164 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10165 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10166 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10167 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10168 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10169 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10170 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10171 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10172 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10173 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10174 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10175 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10176 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10177 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10178 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10179 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10180 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10181 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10182 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10183 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10184 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10185 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10186 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_10187 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_10188 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_10189 = add(_T_10159, _T_10160) @[exu_mul_ctl.scala 137:112] + node _T_10190 = add(_T_10189, _T_10161) @[exu_mul_ctl.scala 137:112] + node _T_10191 = add(_T_10190, _T_10162) @[exu_mul_ctl.scala 137:112] + node _T_10192 = add(_T_10191, _T_10163) @[exu_mul_ctl.scala 137:112] + node _T_10193 = add(_T_10192, _T_10164) @[exu_mul_ctl.scala 137:112] + node _T_10194 = add(_T_10193, _T_10165) @[exu_mul_ctl.scala 137:112] + node _T_10195 = add(_T_10194, _T_10166) @[exu_mul_ctl.scala 137:112] + node _T_10196 = add(_T_10195, _T_10167) @[exu_mul_ctl.scala 137:112] + node _T_10197 = add(_T_10196, _T_10168) @[exu_mul_ctl.scala 137:112] + node _T_10198 = add(_T_10197, _T_10169) @[exu_mul_ctl.scala 137:112] + node _T_10199 = add(_T_10198, _T_10170) @[exu_mul_ctl.scala 137:112] + node _T_10200 = add(_T_10199, _T_10171) @[exu_mul_ctl.scala 137:112] + node _T_10201 = add(_T_10200, _T_10172) @[exu_mul_ctl.scala 137:112] + node _T_10202 = add(_T_10201, _T_10173) @[exu_mul_ctl.scala 137:112] + node _T_10203 = add(_T_10202, _T_10174) @[exu_mul_ctl.scala 137:112] + node _T_10204 = add(_T_10203, _T_10175) @[exu_mul_ctl.scala 137:112] + node _T_10205 = add(_T_10204, _T_10176) @[exu_mul_ctl.scala 137:112] + node _T_10206 = add(_T_10205, _T_10177) @[exu_mul_ctl.scala 137:112] + node _T_10207 = add(_T_10206, _T_10178) @[exu_mul_ctl.scala 137:112] + node _T_10208 = add(_T_10207, _T_10179) @[exu_mul_ctl.scala 137:112] + node _T_10209 = add(_T_10208, _T_10180) @[exu_mul_ctl.scala 137:112] + node _T_10210 = add(_T_10209, _T_10181) @[exu_mul_ctl.scala 137:112] + node _T_10211 = add(_T_10210, _T_10182) @[exu_mul_ctl.scala 137:112] + node _T_10212 = add(_T_10211, _T_10183) @[exu_mul_ctl.scala 137:112] + node _T_10213 = add(_T_10212, _T_10184) @[exu_mul_ctl.scala 137:112] + node _T_10214 = add(_T_10213, _T_10185) @[exu_mul_ctl.scala 137:112] + node _T_10215 = add(_T_10214, _T_10186) @[exu_mul_ctl.scala 137:112] + node _T_10216 = add(_T_10215, _T_10187) @[exu_mul_ctl.scala 137:112] + node _T_10217 = add(_T_10216, _T_10188) @[exu_mul_ctl.scala 137:112] + node _T_10218 = eq(_T_10217, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10219 = bits(_T_10218, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10220 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_10221 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10222 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10223 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10224 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10225 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10226 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10227 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10228 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10229 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10230 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10231 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10232 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10233 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10234 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10235 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10236 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10237 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10238 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10239 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10240 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10241 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10242 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10243 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10244 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10245 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10246 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10247 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10248 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_10249 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_10250 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_10251 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_10252 = add(_T_10221, _T_10222) @[exu_mul_ctl.scala 137:112] + node _T_10253 = add(_T_10252, _T_10223) @[exu_mul_ctl.scala 137:112] + node _T_10254 = add(_T_10253, _T_10224) @[exu_mul_ctl.scala 137:112] + node _T_10255 = add(_T_10254, _T_10225) @[exu_mul_ctl.scala 137:112] + node _T_10256 = add(_T_10255, _T_10226) @[exu_mul_ctl.scala 137:112] + node _T_10257 = add(_T_10256, _T_10227) @[exu_mul_ctl.scala 137:112] + node _T_10258 = add(_T_10257, _T_10228) @[exu_mul_ctl.scala 137:112] + node _T_10259 = add(_T_10258, _T_10229) @[exu_mul_ctl.scala 137:112] + node _T_10260 = add(_T_10259, _T_10230) @[exu_mul_ctl.scala 137:112] + node _T_10261 = add(_T_10260, _T_10231) @[exu_mul_ctl.scala 137:112] + node _T_10262 = add(_T_10261, _T_10232) @[exu_mul_ctl.scala 137:112] + node _T_10263 = add(_T_10262, _T_10233) @[exu_mul_ctl.scala 137:112] + node _T_10264 = add(_T_10263, _T_10234) @[exu_mul_ctl.scala 137:112] + node _T_10265 = add(_T_10264, _T_10235) @[exu_mul_ctl.scala 137:112] + node _T_10266 = add(_T_10265, _T_10236) @[exu_mul_ctl.scala 137:112] + node _T_10267 = add(_T_10266, _T_10237) @[exu_mul_ctl.scala 137:112] + node _T_10268 = add(_T_10267, _T_10238) @[exu_mul_ctl.scala 137:112] + node _T_10269 = add(_T_10268, _T_10239) @[exu_mul_ctl.scala 137:112] + node _T_10270 = add(_T_10269, _T_10240) @[exu_mul_ctl.scala 137:112] + node _T_10271 = add(_T_10270, _T_10241) @[exu_mul_ctl.scala 137:112] + node _T_10272 = add(_T_10271, _T_10242) @[exu_mul_ctl.scala 137:112] + node _T_10273 = add(_T_10272, _T_10243) @[exu_mul_ctl.scala 137:112] + node _T_10274 = add(_T_10273, _T_10244) @[exu_mul_ctl.scala 137:112] + node _T_10275 = add(_T_10274, _T_10245) @[exu_mul_ctl.scala 137:112] + node _T_10276 = add(_T_10275, _T_10246) @[exu_mul_ctl.scala 137:112] + node _T_10277 = add(_T_10276, _T_10247) @[exu_mul_ctl.scala 137:112] + node _T_10278 = add(_T_10277, _T_10248) @[exu_mul_ctl.scala 137:112] + node _T_10279 = add(_T_10278, _T_10249) @[exu_mul_ctl.scala 137:112] + node _T_10280 = add(_T_10279, _T_10250) @[exu_mul_ctl.scala 137:112] + node _T_10281 = add(_T_10280, _T_10251) @[exu_mul_ctl.scala 137:112] + node _T_10282 = eq(_T_10281, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10283 = bits(_T_10282, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10284 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_10285 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10286 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10287 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10288 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10289 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10290 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10291 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10292 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10293 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10294 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10295 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10296 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10297 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10298 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10299 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10300 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10301 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10302 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10303 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10304 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10305 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10306 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10307 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10308 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_10309 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_10310 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_10311 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_10312 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_10313 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_10314 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_10315 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_10316 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_10317 = add(_T_10285, _T_10286) @[exu_mul_ctl.scala 137:112] + node _T_10318 = add(_T_10317, _T_10287) @[exu_mul_ctl.scala 137:112] + node _T_10319 = add(_T_10318, _T_10288) @[exu_mul_ctl.scala 137:112] + node _T_10320 = add(_T_10319, _T_10289) @[exu_mul_ctl.scala 137:112] + node _T_10321 = add(_T_10320, _T_10290) @[exu_mul_ctl.scala 137:112] + node _T_10322 = add(_T_10321, _T_10291) @[exu_mul_ctl.scala 137:112] + node _T_10323 = add(_T_10322, _T_10292) @[exu_mul_ctl.scala 137:112] + node _T_10324 = add(_T_10323, _T_10293) @[exu_mul_ctl.scala 137:112] + node _T_10325 = add(_T_10324, _T_10294) @[exu_mul_ctl.scala 137:112] + node _T_10326 = add(_T_10325, _T_10295) @[exu_mul_ctl.scala 137:112] + node _T_10327 = add(_T_10326, _T_10296) @[exu_mul_ctl.scala 137:112] + node _T_10328 = add(_T_10327, _T_10297) @[exu_mul_ctl.scala 137:112] + node _T_10329 = add(_T_10328, _T_10298) @[exu_mul_ctl.scala 137:112] + node _T_10330 = add(_T_10329, _T_10299) @[exu_mul_ctl.scala 137:112] + node _T_10331 = add(_T_10330, _T_10300) @[exu_mul_ctl.scala 137:112] + node _T_10332 = add(_T_10331, _T_10301) @[exu_mul_ctl.scala 137:112] + node _T_10333 = add(_T_10332, _T_10302) @[exu_mul_ctl.scala 137:112] + node _T_10334 = add(_T_10333, _T_10303) @[exu_mul_ctl.scala 137:112] + node _T_10335 = add(_T_10334, _T_10304) @[exu_mul_ctl.scala 137:112] + node _T_10336 = add(_T_10335, _T_10305) @[exu_mul_ctl.scala 137:112] + node _T_10337 = add(_T_10336, _T_10306) @[exu_mul_ctl.scala 137:112] + node _T_10338 = add(_T_10337, _T_10307) @[exu_mul_ctl.scala 137:112] + node _T_10339 = add(_T_10338, _T_10308) @[exu_mul_ctl.scala 137:112] + node _T_10340 = add(_T_10339, _T_10309) @[exu_mul_ctl.scala 137:112] + node _T_10341 = add(_T_10340, _T_10310) @[exu_mul_ctl.scala 137:112] + node _T_10342 = add(_T_10341, _T_10311) @[exu_mul_ctl.scala 137:112] + node _T_10343 = add(_T_10342, _T_10312) @[exu_mul_ctl.scala 137:112] + node _T_10344 = add(_T_10343, _T_10313) @[exu_mul_ctl.scala 137:112] + node _T_10345 = add(_T_10344, _T_10314) @[exu_mul_ctl.scala 137:112] + node _T_10346 = add(_T_10345, _T_10315) @[exu_mul_ctl.scala 137:112] + node _T_10347 = add(_T_10346, _T_10316) @[exu_mul_ctl.scala 137:112] + node _T_10348 = eq(_T_10347, UInt<4>("h09")) @[exu_mul_ctl.scala 138:87] + node _T_10349 = bits(_T_10348, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10350 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_10351 = mux(_T_10349, _T_10350, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_10352 = mux(_T_10283, _T_10284, _T_10351) @[Mux.scala 98:16] + node _T_10353 = mux(_T_10219, _T_10220, _T_10352) @[Mux.scala 98:16] + node _T_10354 = mux(_T_10157, _T_10158, _T_10353) @[Mux.scala 98:16] + node _T_10355 = mux(_T_10097, _T_10098, _T_10354) @[Mux.scala 98:16] + node _T_10356 = mux(_T_10039, _T_10040, _T_10355) @[Mux.scala 98:16] + node _T_10357 = mux(_T_9983, _T_9984, _T_10356) @[Mux.scala 98:16] + node _T_10358 = mux(_T_9929, _T_9930, _T_10357) @[Mux.scala 98:16] + node _T_10359 = mux(_T_9877, _T_9878, _T_10358) @[Mux.scala 98:16] + node _T_10360 = mux(_T_9827, _T_9828, _T_10359) @[Mux.scala 98:16] + node _T_10361 = mux(_T_9779, _T_9780, _T_10360) @[Mux.scala 98:16] + node _T_10362 = mux(_T_9733, _T_9734, _T_10361) @[Mux.scala 98:16] + node _T_10363 = mux(_T_9689, _T_9690, _T_10362) @[Mux.scala 98:16] + node _T_10364 = mux(_T_9647, _T_9648, _T_10363) @[Mux.scala 98:16] + node _T_10365 = mux(_T_9607, _T_9608, _T_10364) @[Mux.scala 98:16] + node _T_10366 = mux(_T_9569, _T_9570, _T_10365) @[Mux.scala 98:16] + node _T_10367 = mux(_T_9533, _T_9534, _T_10366) @[Mux.scala 98:16] + node _T_10368 = mux(_T_9499, _T_9500, _T_10367) @[Mux.scala 98:16] + node _T_10369 = mux(_T_9467, _T_9468, _T_10368) @[Mux.scala 98:16] + node _T_10370 = mux(_T_9437, _T_9438, _T_10369) @[Mux.scala 98:16] + node _T_10371 = mux(_T_9409, _T_9410, _T_10370) @[Mux.scala 98:16] + node _T_10372 = mux(_T_9383, _T_9384, _T_10371) @[Mux.scala 98:16] + node _T_10373 = mux(_T_9359, _T_9360, _T_10372) @[Mux.scala 98:16] + node _T_10374 = mux(_T_9337, _T_9338, _T_10373) @[Mux.scala 98:16] + node _T_10375 = mux(_T_9317, _T_9318, _T_10374) @[Mux.scala 98:16] + node _T_10376 = mux(_T_9299, _T_9300, _T_10375) @[Mux.scala 98:16] + node _T_10377 = mux(_T_9283, _T_9284, _T_10376) @[Mux.scala 98:16] + node _T_10378 = mux(_T_9269, _T_9270, _T_10377) @[Mux.scala 98:16] + node _T_10379 = mux(_T_9257, _T_9258, _T_10378) @[Mux.scala 98:16] + node _T_10380 = mux(_T_9247, _T_9248, _T_10379) @[Mux.scala 98:16] + node _T_10381 = mux(_T_9239, _T_9240, _T_10380) @[Mux.scala 98:16] + node _T_10382 = mux(_T_9233, _T_9234, _T_10381) @[Mux.scala 98:16] + node _T_10383 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_10384 = eq(_T_10383, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10385 = bits(_T_10384, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10386 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_10387 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10388 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10389 = add(_T_10387, _T_10388) @[exu_mul_ctl.scala 137:112] + node _T_10390 = eq(_T_10389, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10391 = bits(_T_10390, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10392 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_10393 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10394 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10395 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10396 = add(_T_10393, _T_10394) @[exu_mul_ctl.scala 137:112] + node _T_10397 = add(_T_10396, _T_10395) @[exu_mul_ctl.scala 137:112] + node _T_10398 = eq(_T_10397, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10399 = bits(_T_10398, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10400 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_10401 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10402 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10403 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10404 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10405 = add(_T_10401, _T_10402) @[exu_mul_ctl.scala 137:112] + node _T_10406 = add(_T_10405, _T_10403) @[exu_mul_ctl.scala 137:112] + node _T_10407 = add(_T_10406, _T_10404) @[exu_mul_ctl.scala 137:112] + node _T_10408 = eq(_T_10407, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10409 = bits(_T_10408, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10410 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_10411 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10412 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10413 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10414 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10415 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10416 = add(_T_10411, _T_10412) @[exu_mul_ctl.scala 137:112] + node _T_10417 = add(_T_10416, _T_10413) @[exu_mul_ctl.scala 137:112] + node _T_10418 = add(_T_10417, _T_10414) @[exu_mul_ctl.scala 137:112] + node _T_10419 = add(_T_10418, _T_10415) @[exu_mul_ctl.scala 137:112] + node _T_10420 = eq(_T_10419, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10421 = bits(_T_10420, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10422 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_10423 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10424 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10425 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10426 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10427 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10428 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10429 = add(_T_10423, _T_10424) @[exu_mul_ctl.scala 137:112] + node _T_10430 = add(_T_10429, _T_10425) @[exu_mul_ctl.scala 137:112] + node _T_10431 = add(_T_10430, _T_10426) @[exu_mul_ctl.scala 137:112] + node _T_10432 = add(_T_10431, _T_10427) @[exu_mul_ctl.scala 137:112] + node _T_10433 = add(_T_10432, _T_10428) @[exu_mul_ctl.scala 137:112] + node _T_10434 = eq(_T_10433, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10435 = bits(_T_10434, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10436 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_10437 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10438 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10439 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10440 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10441 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10442 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10443 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10444 = add(_T_10437, _T_10438) @[exu_mul_ctl.scala 137:112] + node _T_10445 = add(_T_10444, _T_10439) @[exu_mul_ctl.scala 137:112] + node _T_10446 = add(_T_10445, _T_10440) @[exu_mul_ctl.scala 137:112] + node _T_10447 = add(_T_10446, _T_10441) @[exu_mul_ctl.scala 137:112] + node _T_10448 = add(_T_10447, _T_10442) @[exu_mul_ctl.scala 137:112] + node _T_10449 = add(_T_10448, _T_10443) @[exu_mul_ctl.scala 137:112] + node _T_10450 = eq(_T_10449, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10451 = bits(_T_10450, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10452 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_10453 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10454 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10455 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10456 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10457 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10458 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10459 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10460 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10461 = add(_T_10453, _T_10454) @[exu_mul_ctl.scala 137:112] + node _T_10462 = add(_T_10461, _T_10455) @[exu_mul_ctl.scala 137:112] + node _T_10463 = add(_T_10462, _T_10456) @[exu_mul_ctl.scala 137:112] + node _T_10464 = add(_T_10463, _T_10457) @[exu_mul_ctl.scala 137:112] + node _T_10465 = add(_T_10464, _T_10458) @[exu_mul_ctl.scala 137:112] + node _T_10466 = add(_T_10465, _T_10459) @[exu_mul_ctl.scala 137:112] + node _T_10467 = add(_T_10466, _T_10460) @[exu_mul_ctl.scala 137:112] + node _T_10468 = eq(_T_10467, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10469 = bits(_T_10468, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10470 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_10471 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10472 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10473 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10474 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10475 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10476 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10477 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10478 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10479 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10480 = add(_T_10471, _T_10472) @[exu_mul_ctl.scala 137:112] + node _T_10481 = add(_T_10480, _T_10473) @[exu_mul_ctl.scala 137:112] + node _T_10482 = add(_T_10481, _T_10474) @[exu_mul_ctl.scala 137:112] + node _T_10483 = add(_T_10482, _T_10475) @[exu_mul_ctl.scala 137:112] + node _T_10484 = add(_T_10483, _T_10476) @[exu_mul_ctl.scala 137:112] + node _T_10485 = add(_T_10484, _T_10477) @[exu_mul_ctl.scala 137:112] + node _T_10486 = add(_T_10485, _T_10478) @[exu_mul_ctl.scala 137:112] + node _T_10487 = add(_T_10486, _T_10479) @[exu_mul_ctl.scala 137:112] + node _T_10488 = eq(_T_10487, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10489 = bits(_T_10488, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10490 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_10491 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10492 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10493 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10494 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10495 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10496 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10497 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10498 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10499 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10500 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10501 = add(_T_10491, _T_10492) @[exu_mul_ctl.scala 137:112] + node _T_10502 = add(_T_10501, _T_10493) @[exu_mul_ctl.scala 137:112] + node _T_10503 = add(_T_10502, _T_10494) @[exu_mul_ctl.scala 137:112] + node _T_10504 = add(_T_10503, _T_10495) @[exu_mul_ctl.scala 137:112] + node _T_10505 = add(_T_10504, _T_10496) @[exu_mul_ctl.scala 137:112] + node _T_10506 = add(_T_10505, _T_10497) @[exu_mul_ctl.scala 137:112] + node _T_10507 = add(_T_10506, _T_10498) @[exu_mul_ctl.scala 137:112] + node _T_10508 = add(_T_10507, _T_10499) @[exu_mul_ctl.scala 137:112] + node _T_10509 = add(_T_10508, _T_10500) @[exu_mul_ctl.scala 137:112] + node _T_10510 = eq(_T_10509, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10511 = bits(_T_10510, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10512 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_10513 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10514 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10515 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10516 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10517 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10518 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10519 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10520 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10521 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10522 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10523 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10524 = add(_T_10513, _T_10514) @[exu_mul_ctl.scala 137:112] + node _T_10525 = add(_T_10524, _T_10515) @[exu_mul_ctl.scala 137:112] + node _T_10526 = add(_T_10525, _T_10516) @[exu_mul_ctl.scala 137:112] + node _T_10527 = add(_T_10526, _T_10517) @[exu_mul_ctl.scala 137:112] + node _T_10528 = add(_T_10527, _T_10518) @[exu_mul_ctl.scala 137:112] + node _T_10529 = add(_T_10528, _T_10519) @[exu_mul_ctl.scala 137:112] + node _T_10530 = add(_T_10529, _T_10520) @[exu_mul_ctl.scala 137:112] + node _T_10531 = add(_T_10530, _T_10521) @[exu_mul_ctl.scala 137:112] + node _T_10532 = add(_T_10531, _T_10522) @[exu_mul_ctl.scala 137:112] + node _T_10533 = add(_T_10532, _T_10523) @[exu_mul_ctl.scala 137:112] + node _T_10534 = eq(_T_10533, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10535 = bits(_T_10534, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10536 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_10537 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10538 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10539 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10540 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10541 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10542 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10543 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10544 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10545 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10546 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10547 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10548 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10549 = add(_T_10537, _T_10538) @[exu_mul_ctl.scala 137:112] + node _T_10550 = add(_T_10549, _T_10539) @[exu_mul_ctl.scala 137:112] + node _T_10551 = add(_T_10550, _T_10540) @[exu_mul_ctl.scala 137:112] + node _T_10552 = add(_T_10551, _T_10541) @[exu_mul_ctl.scala 137:112] + node _T_10553 = add(_T_10552, _T_10542) @[exu_mul_ctl.scala 137:112] + node _T_10554 = add(_T_10553, _T_10543) @[exu_mul_ctl.scala 137:112] + node _T_10555 = add(_T_10554, _T_10544) @[exu_mul_ctl.scala 137:112] + node _T_10556 = add(_T_10555, _T_10545) @[exu_mul_ctl.scala 137:112] + node _T_10557 = add(_T_10556, _T_10546) @[exu_mul_ctl.scala 137:112] + node _T_10558 = add(_T_10557, _T_10547) @[exu_mul_ctl.scala 137:112] + node _T_10559 = add(_T_10558, _T_10548) @[exu_mul_ctl.scala 137:112] + node _T_10560 = eq(_T_10559, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10561 = bits(_T_10560, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10562 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_10563 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10564 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10565 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10566 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10567 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10568 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10569 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10570 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10571 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10572 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10573 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10574 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10575 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10576 = add(_T_10563, _T_10564) @[exu_mul_ctl.scala 137:112] + node _T_10577 = add(_T_10576, _T_10565) @[exu_mul_ctl.scala 137:112] + node _T_10578 = add(_T_10577, _T_10566) @[exu_mul_ctl.scala 137:112] + node _T_10579 = add(_T_10578, _T_10567) @[exu_mul_ctl.scala 137:112] + node _T_10580 = add(_T_10579, _T_10568) @[exu_mul_ctl.scala 137:112] + node _T_10581 = add(_T_10580, _T_10569) @[exu_mul_ctl.scala 137:112] + node _T_10582 = add(_T_10581, _T_10570) @[exu_mul_ctl.scala 137:112] + node _T_10583 = add(_T_10582, _T_10571) @[exu_mul_ctl.scala 137:112] + node _T_10584 = add(_T_10583, _T_10572) @[exu_mul_ctl.scala 137:112] + node _T_10585 = add(_T_10584, _T_10573) @[exu_mul_ctl.scala 137:112] + node _T_10586 = add(_T_10585, _T_10574) @[exu_mul_ctl.scala 137:112] + node _T_10587 = add(_T_10586, _T_10575) @[exu_mul_ctl.scala 137:112] + node _T_10588 = eq(_T_10587, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10589 = bits(_T_10588, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10590 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_10591 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10592 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10593 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10594 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10595 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10596 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10597 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10598 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10599 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10600 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10601 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10602 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10603 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10604 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10605 = add(_T_10591, _T_10592) @[exu_mul_ctl.scala 137:112] + node _T_10606 = add(_T_10605, _T_10593) @[exu_mul_ctl.scala 137:112] + node _T_10607 = add(_T_10606, _T_10594) @[exu_mul_ctl.scala 137:112] + node _T_10608 = add(_T_10607, _T_10595) @[exu_mul_ctl.scala 137:112] + node _T_10609 = add(_T_10608, _T_10596) @[exu_mul_ctl.scala 137:112] + node _T_10610 = add(_T_10609, _T_10597) @[exu_mul_ctl.scala 137:112] + node _T_10611 = add(_T_10610, _T_10598) @[exu_mul_ctl.scala 137:112] + node _T_10612 = add(_T_10611, _T_10599) @[exu_mul_ctl.scala 137:112] + node _T_10613 = add(_T_10612, _T_10600) @[exu_mul_ctl.scala 137:112] + node _T_10614 = add(_T_10613, _T_10601) @[exu_mul_ctl.scala 137:112] + node _T_10615 = add(_T_10614, _T_10602) @[exu_mul_ctl.scala 137:112] + node _T_10616 = add(_T_10615, _T_10603) @[exu_mul_ctl.scala 137:112] + node _T_10617 = add(_T_10616, _T_10604) @[exu_mul_ctl.scala 137:112] + node _T_10618 = eq(_T_10617, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10619 = bits(_T_10618, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10620 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_10621 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10622 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10623 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10624 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10625 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10626 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10627 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10628 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10629 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10630 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10631 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10632 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10633 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10634 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10635 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10636 = add(_T_10621, _T_10622) @[exu_mul_ctl.scala 137:112] + node _T_10637 = add(_T_10636, _T_10623) @[exu_mul_ctl.scala 137:112] + node _T_10638 = add(_T_10637, _T_10624) @[exu_mul_ctl.scala 137:112] + node _T_10639 = add(_T_10638, _T_10625) @[exu_mul_ctl.scala 137:112] + node _T_10640 = add(_T_10639, _T_10626) @[exu_mul_ctl.scala 137:112] + node _T_10641 = add(_T_10640, _T_10627) @[exu_mul_ctl.scala 137:112] + node _T_10642 = add(_T_10641, _T_10628) @[exu_mul_ctl.scala 137:112] + node _T_10643 = add(_T_10642, _T_10629) @[exu_mul_ctl.scala 137:112] + node _T_10644 = add(_T_10643, _T_10630) @[exu_mul_ctl.scala 137:112] + node _T_10645 = add(_T_10644, _T_10631) @[exu_mul_ctl.scala 137:112] + node _T_10646 = add(_T_10645, _T_10632) @[exu_mul_ctl.scala 137:112] + node _T_10647 = add(_T_10646, _T_10633) @[exu_mul_ctl.scala 137:112] + node _T_10648 = add(_T_10647, _T_10634) @[exu_mul_ctl.scala 137:112] + node _T_10649 = add(_T_10648, _T_10635) @[exu_mul_ctl.scala 137:112] + node _T_10650 = eq(_T_10649, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10651 = bits(_T_10650, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10652 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_10653 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10654 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10655 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10656 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10657 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10658 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10659 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10660 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10661 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10662 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10663 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10664 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10665 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10666 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10667 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10668 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10669 = add(_T_10653, _T_10654) @[exu_mul_ctl.scala 137:112] + node _T_10670 = add(_T_10669, _T_10655) @[exu_mul_ctl.scala 137:112] + node _T_10671 = add(_T_10670, _T_10656) @[exu_mul_ctl.scala 137:112] + node _T_10672 = add(_T_10671, _T_10657) @[exu_mul_ctl.scala 137:112] + node _T_10673 = add(_T_10672, _T_10658) @[exu_mul_ctl.scala 137:112] + node _T_10674 = add(_T_10673, _T_10659) @[exu_mul_ctl.scala 137:112] + node _T_10675 = add(_T_10674, _T_10660) @[exu_mul_ctl.scala 137:112] + node _T_10676 = add(_T_10675, _T_10661) @[exu_mul_ctl.scala 137:112] + node _T_10677 = add(_T_10676, _T_10662) @[exu_mul_ctl.scala 137:112] + node _T_10678 = add(_T_10677, _T_10663) @[exu_mul_ctl.scala 137:112] + node _T_10679 = add(_T_10678, _T_10664) @[exu_mul_ctl.scala 137:112] + node _T_10680 = add(_T_10679, _T_10665) @[exu_mul_ctl.scala 137:112] + node _T_10681 = add(_T_10680, _T_10666) @[exu_mul_ctl.scala 137:112] + node _T_10682 = add(_T_10681, _T_10667) @[exu_mul_ctl.scala 137:112] + node _T_10683 = add(_T_10682, _T_10668) @[exu_mul_ctl.scala 137:112] + node _T_10684 = eq(_T_10683, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10685 = bits(_T_10684, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10686 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_10687 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10688 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10689 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10690 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10691 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10692 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10693 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10694 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10695 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10696 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10697 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10698 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10699 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10700 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10701 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10702 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10703 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10704 = add(_T_10687, _T_10688) @[exu_mul_ctl.scala 137:112] + node _T_10705 = add(_T_10704, _T_10689) @[exu_mul_ctl.scala 137:112] + node _T_10706 = add(_T_10705, _T_10690) @[exu_mul_ctl.scala 137:112] + node _T_10707 = add(_T_10706, _T_10691) @[exu_mul_ctl.scala 137:112] + node _T_10708 = add(_T_10707, _T_10692) @[exu_mul_ctl.scala 137:112] + node _T_10709 = add(_T_10708, _T_10693) @[exu_mul_ctl.scala 137:112] + node _T_10710 = add(_T_10709, _T_10694) @[exu_mul_ctl.scala 137:112] + node _T_10711 = add(_T_10710, _T_10695) @[exu_mul_ctl.scala 137:112] + node _T_10712 = add(_T_10711, _T_10696) @[exu_mul_ctl.scala 137:112] + node _T_10713 = add(_T_10712, _T_10697) @[exu_mul_ctl.scala 137:112] + node _T_10714 = add(_T_10713, _T_10698) @[exu_mul_ctl.scala 137:112] + node _T_10715 = add(_T_10714, _T_10699) @[exu_mul_ctl.scala 137:112] + node _T_10716 = add(_T_10715, _T_10700) @[exu_mul_ctl.scala 137:112] + node _T_10717 = add(_T_10716, _T_10701) @[exu_mul_ctl.scala 137:112] + node _T_10718 = add(_T_10717, _T_10702) @[exu_mul_ctl.scala 137:112] + node _T_10719 = add(_T_10718, _T_10703) @[exu_mul_ctl.scala 137:112] + node _T_10720 = eq(_T_10719, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10721 = bits(_T_10720, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10722 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_10723 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10724 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10725 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10726 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10727 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10728 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10729 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10730 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10731 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10732 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10733 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10734 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10735 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10736 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10737 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10738 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10739 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10740 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10741 = add(_T_10723, _T_10724) @[exu_mul_ctl.scala 137:112] + node _T_10742 = add(_T_10741, _T_10725) @[exu_mul_ctl.scala 137:112] + node _T_10743 = add(_T_10742, _T_10726) @[exu_mul_ctl.scala 137:112] + node _T_10744 = add(_T_10743, _T_10727) @[exu_mul_ctl.scala 137:112] + node _T_10745 = add(_T_10744, _T_10728) @[exu_mul_ctl.scala 137:112] + node _T_10746 = add(_T_10745, _T_10729) @[exu_mul_ctl.scala 137:112] + node _T_10747 = add(_T_10746, _T_10730) @[exu_mul_ctl.scala 137:112] + node _T_10748 = add(_T_10747, _T_10731) @[exu_mul_ctl.scala 137:112] + node _T_10749 = add(_T_10748, _T_10732) @[exu_mul_ctl.scala 137:112] + node _T_10750 = add(_T_10749, _T_10733) @[exu_mul_ctl.scala 137:112] + node _T_10751 = add(_T_10750, _T_10734) @[exu_mul_ctl.scala 137:112] + node _T_10752 = add(_T_10751, _T_10735) @[exu_mul_ctl.scala 137:112] + node _T_10753 = add(_T_10752, _T_10736) @[exu_mul_ctl.scala 137:112] + node _T_10754 = add(_T_10753, _T_10737) @[exu_mul_ctl.scala 137:112] + node _T_10755 = add(_T_10754, _T_10738) @[exu_mul_ctl.scala 137:112] + node _T_10756 = add(_T_10755, _T_10739) @[exu_mul_ctl.scala 137:112] + node _T_10757 = add(_T_10756, _T_10740) @[exu_mul_ctl.scala 137:112] + node _T_10758 = eq(_T_10757, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10759 = bits(_T_10758, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10760 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_10761 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10762 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10763 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10764 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10765 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10766 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10767 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10768 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10769 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10770 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10771 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10772 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10773 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10774 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10775 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10776 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10777 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10778 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10779 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10780 = add(_T_10761, _T_10762) @[exu_mul_ctl.scala 137:112] + node _T_10781 = add(_T_10780, _T_10763) @[exu_mul_ctl.scala 137:112] + node _T_10782 = add(_T_10781, _T_10764) @[exu_mul_ctl.scala 137:112] + node _T_10783 = add(_T_10782, _T_10765) @[exu_mul_ctl.scala 137:112] + node _T_10784 = add(_T_10783, _T_10766) @[exu_mul_ctl.scala 137:112] + node _T_10785 = add(_T_10784, _T_10767) @[exu_mul_ctl.scala 137:112] + node _T_10786 = add(_T_10785, _T_10768) @[exu_mul_ctl.scala 137:112] + node _T_10787 = add(_T_10786, _T_10769) @[exu_mul_ctl.scala 137:112] + node _T_10788 = add(_T_10787, _T_10770) @[exu_mul_ctl.scala 137:112] + node _T_10789 = add(_T_10788, _T_10771) @[exu_mul_ctl.scala 137:112] + node _T_10790 = add(_T_10789, _T_10772) @[exu_mul_ctl.scala 137:112] + node _T_10791 = add(_T_10790, _T_10773) @[exu_mul_ctl.scala 137:112] + node _T_10792 = add(_T_10791, _T_10774) @[exu_mul_ctl.scala 137:112] + node _T_10793 = add(_T_10792, _T_10775) @[exu_mul_ctl.scala 137:112] + node _T_10794 = add(_T_10793, _T_10776) @[exu_mul_ctl.scala 137:112] + node _T_10795 = add(_T_10794, _T_10777) @[exu_mul_ctl.scala 137:112] + node _T_10796 = add(_T_10795, _T_10778) @[exu_mul_ctl.scala 137:112] + node _T_10797 = add(_T_10796, _T_10779) @[exu_mul_ctl.scala 137:112] + node _T_10798 = eq(_T_10797, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10799 = bits(_T_10798, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10800 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_10801 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10802 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10803 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10804 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10805 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10806 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10807 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10808 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10809 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10810 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10811 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10812 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10813 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10814 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10815 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10816 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10817 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10818 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10819 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10820 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10821 = add(_T_10801, _T_10802) @[exu_mul_ctl.scala 137:112] + node _T_10822 = add(_T_10821, _T_10803) @[exu_mul_ctl.scala 137:112] + node _T_10823 = add(_T_10822, _T_10804) @[exu_mul_ctl.scala 137:112] + node _T_10824 = add(_T_10823, _T_10805) @[exu_mul_ctl.scala 137:112] + node _T_10825 = add(_T_10824, _T_10806) @[exu_mul_ctl.scala 137:112] + node _T_10826 = add(_T_10825, _T_10807) @[exu_mul_ctl.scala 137:112] + node _T_10827 = add(_T_10826, _T_10808) @[exu_mul_ctl.scala 137:112] + node _T_10828 = add(_T_10827, _T_10809) @[exu_mul_ctl.scala 137:112] + node _T_10829 = add(_T_10828, _T_10810) @[exu_mul_ctl.scala 137:112] + node _T_10830 = add(_T_10829, _T_10811) @[exu_mul_ctl.scala 137:112] + node _T_10831 = add(_T_10830, _T_10812) @[exu_mul_ctl.scala 137:112] + node _T_10832 = add(_T_10831, _T_10813) @[exu_mul_ctl.scala 137:112] + node _T_10833 = add(_T_10832, _T_10814) @[exu_mul_ctl.scala 137:112] + node _T_10834 = add(_T_10833, _T_10815) @[exu_mul_ctl.scala 137:112] + node _T_10835 = add(_T_10834, _T_10816) @[exu_mul_ctl.scala 137:112] + node _T_10836 = add(_T_10835, _T_10817) @[exu_mul_ctl.scala 137:112] + node _T_10837 = add(_T_10836, _T_10818) @[exu_mul_ctl.scala 137:112] + node _T_10838 = add(_T_10837, _T_10819) @[exu_mul_ctl.scala 137:112] + node _T_10839 = add(_T_10838, _T_10820) @[exu_mul_ctl.scala 137:112] + node _T_10840 = eq(_T_10839, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10841 = bits(_T_10840, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10842 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_10843 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10844 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10845 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10846 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10847 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10848 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10849 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10850 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10851 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10852 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10853 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10854 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10855 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10856 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10857 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10858 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10859 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10860 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10861 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10862 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10863 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10864 = add(_T_10843, _T_10844) @[exu_mul_ctl.scala 137:112] + node _T_10865 = add(_T_10864, _T_10845) @[exu_mul_ctl.scala 137:112] + node _T_10866 = add(_T_10865, _T_10846) @[exu_mul_ctl.scala 137:112] + node _T_10867 = add(_T_10866, _T_10847) @[exu_mul_ctl.scala 137:112] + node _T_10868 = add(_T_10867, _T_10848) @[exu_mul_ctl.scala 137:112] + node _T_10869 = add(_T_10868, _T_10849) @[exu_mul_ctl.scala 137:112] + node _T_10870 = add(_T_10869, _T_10850) @[exu_mul_ctl.scala 137:112] + node _T_10871 = add(_T_10870, _T_10851) @[exu_mul_ctl.scala 137:112] + node _T_10872 = add(_T_10871, _T_10852) @[exu_mul_ctl.scala 137:112] + node _T_10873 = add(_T_10872, _T_10853) @[exu_mul_ctl.scala 137:112] + node _T_10874 = add(_T_10873, _T_10854) @[exu_mul_ctl.scala 137:112] + node _T_10875 = add(_T_10874, _T_10855) @[exu_mul_ctl.scala 137:112] + node _T_10876 = add(_T_10875, _T_10856) @[exu_mul_ctl.scala 137:112] + node _T_10877 = add(_T_10876, _T_10857) @[exu_mul_ctl.scala 137:112] + node _T_10878 = add(_T_10877, _T_10858) @[exu_mul_ctl.scala 137:112] + node _T_10879 = add(_T_10878, _T_10859) @[exu_mul_ctl.scala 137:112] + node _T_10880 = add(_T_10879, _T_10860) @[exu_mul_ctl.scala 137:112] + node _T_10881 = add(_T_10880, _T_10861) @[exu_mul_ctl.scala 137:112] + node _T_10882 = add(_T_10881, _T_10862) @[exu_mul_ctl.scala 137:112] + node _T_10883 = add(_T_10882, _T_10863) @[exu_mul_ctl.scala 137:112] + node _T_10884 = eq(_T_10883, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10885 = bits(_T_10884, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10886 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_10887 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10888 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10889 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10890 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10891 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10892 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10893 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10894 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10895 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10896 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10897 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10898 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10899 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10900 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10901 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10902 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10903 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10904 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10905 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10906 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10907 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10908 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10909 = add(_T_10887, _T_10888) @[exu_mul_ctl.scala 137:112] + node _T_10910 = add(_T_10909, _T_10889) @[exu_mul_ctl.scala 137:112] + node _T_10911 = add(_T_10910, _T_10890) @[exu_mul_ctl.scala 137:112] + node _T_10912 = add(_T_10911, _T_10891) @[exu_mul_ctl.scala 137:112] + node _T_10913 = add(_T_10912, _T_10892) @[exu_mul_ctl.scala 137:112] + node _T_10914 = add(_T_10913, _T_10893) @[exu_mul_ctl.scala 137:112] + node _T_10915 = add(_T_10914, _T_10894) @[exu_mul_ctl.scala 137:112] + node _T_10916 = add(_T_10915, _T_10895) @[exu_mul_ctl.scala 137:112] + node _T_10917 = add(_T_10916, _T_10896) @[exu_mul_ctl.scala 137:112] + node _T_10918 = add(_T_10917, _T_10897) @[exu_mul_ctl.scala 137:112] + node _T_10919 = add(_T_10918, _T_10898) @[exu_mul_ctl.scala 137:112] + node _T_10920 = add(_T_10919, _T_10899) @[exu_mul_ctl.scala 137:112] + node _T_10921 = add(_T_10920, _T_10900) @[exu_mul_ctl.scala 137:112] + node _T_10922 = add(_T_10921, _T_10901) @[exu_mul_ctl.scala 137:112] + node _T_10923 = add(_T_10922, _T_10902) @[exu_mul_ctl.scala 137:112] + node _T_10924 = add(_T_10923, _T_10903) @[exu_mul_ctl.scala 137:112] + node _T_10925 = add(_T_10924, _T_10904) @[exu_mul_ctl.scala 137:112] + node _T_10926 = add(_T_10925, _T_10905) @[exu_mul_ctl.scala 137:112] + node _T_10927 = add(_T_10926, _T_10906) @[exu_mul_ctl.scala 137:112] + node _T_10928 = add(_T_10927, _T_10907) @[exu_mul_ctl.scala 137:112] + node _T_10929 = add(_T_10928, _T_10908) @[exu_mul_ctl.scala 137:112] + node _T_10930 = eq(_T_10929, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10931 = bits(_T_10930, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10932 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_10933 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10934 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10935 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10936 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10937 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10938 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10939 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10940 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10941 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10942 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10943 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10944 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10945 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10946 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10947 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10948 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10949 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10950 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10951 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_10952 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_10953 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_10954 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_10955 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_10956 = add(_T_10933, _T_10934) @[exu_mul_ctl.scala 137:112] + node _T_10957 = add(_T_10956, _T_10935) @[exu_mul_ctl.scala 137:112] + node _T_10958 = add(_T_10957, _T_10936) @[exu_mul_ctl.scala 137:112] + node _T_10959 = add(_T_10958, _T_10937) @[exu_mul_ctl.scala 137:112] + node _T_10960 = add(_T_10959, _T_10938) @[exu_mul_ctl.scala 137:112] + node _T_10961 = add(_T_10960, _T_10939) @[exu_mul_ctl.scala 137:112] + node _T_10962 = add(_T_10961, _T_10940) @[exu_mul_ctl.scala 137:112] + node _T_10963 = add(_T_10962, _T_10941) @[exu_mul_ctl.scala 137:112] + node _T_10964 = add(_T_10963, _T_10942) @[exu_mul_ctl.scala 137:112] + node _T_10965 = add(_T_10964, _T_10943) @[exu_mul_ctl.scala 137:112] + node _T_10966 = add(_T_10965, _T_10944) @[exu_mul_ctl.scala 137:112] + node _T_10967 = add(_T_10966, _T_10945) @[exu_mul_ctl.scala 137:112] + node _T_10968 = add(_T_10967, _T_10946) @[exu_mul_ctl.scala 137:112] + node _T_10969 = add(_T_10968, _T_10947) @[exu_mul_ctl.scala 137:112] + node _T_10970 = add(_T_10969, _T_10948) @[exu_mul_ctl.scala 137:112] + node _T_10971 = add(_T_10970, _T_10949) @[exu_mul_ctl.scala 137:112] + node _T_10972 = add(_T_10971, _T_10950) @[exu_mul_ctl.scala 137:112] + node _T_10973 = add(_T_10972, _T_10951) @[exu_mul_ctl.scala 137:112] + node _T_10974 = add(_T_10973, _T_10952) @[exu_mul_ctl.scala 137:112] + node _T_10975 = add(_T_10974, _T_10953) @[exu_mul_ctl.scala 137:112] + node _T_10976 = add(_T_10975, _T_10954) @[exu_mul_ctl.scala 137:112] + node _T_10977 = add(_T_10976, _T_10955) @[exu_mul_ctl.scala 137:112] + node _T_10978 = eq(_T_10977, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_10979 = bits(_T_10978, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_10980 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_10981 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_10982 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_10983 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_10984 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_10985 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_10986 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_10987 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_10988 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_10989 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_10990 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_10991 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_10992 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_10993 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_10994 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_10995 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_10996 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_10997 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_10998 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_10999 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11000 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11001 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11002 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11003 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11004 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11005 = add(_T_10981, _T_10982) @[exu_mul_ctl.scala 137:112] + node _T_11006 = add(_T_11005, _T_10983) @[exu_mul_ctl.scala 137:112] + node _T_11007 = add(_T_11006, _T_10984) @[exu_mul_ctl.scala 137:112] + node _T_11008 = add(_T_11007, _T_10985) @[exu_mul_ctl.scala 137:112] + node _T_11009 = add(_T_11008, _T_10986) @[exu_mul_ctl.scala 137:112] + node _T_11010 = add(_T_11009, _T_10987) @[exu_mul_ctl.scala 137:112] + node _T_11011 = add(_T_11010, _T_10988) @[exu_mul_ctl.scala 137:112] + node _T_11012 = add(_T_11011, _T_10989) @[exu_mul_ctl.scala 137:112] + node _T_11013 = add(_T_11012, _T_10990) @[exu_mul_ctl.scala 137:112] + node _T_11014 = add(_T_11013, _T_10991) @[exu_mul_ctl.scala 137:112] + node _T_11015 = add(_T_11014, _T_10992) @[exu_mul_ctl.scala 137:112] + node _T_11016 = add(_T_11015, _T_10993) @[exu_mul_ctl.scala 137:112] + node _T_11017 = add(_T_11016, _T_10994) @[exu_mul_ctl.scala 137:112] + node _T_11018 = add(_T_11017, _T_10995) @[exu_mul_ctl.scala 137:112] + node _T_11019 = add(_T_11018, _T_10996) @[exu_mul_ctl.scala 137:112] + node _T_11020 = add(_T_11019, _T_10997) @[exu_mul_ctl.scala 137:112] + node _T_11021 = add(_T_11020, _T_10998) @[exu_mul_ctl.scala 137:112] + node _T_11022 = add(_T_11021, _T_10999) @[exu_mul_ctl.scala 137:112] + node _T_11023 = add(_T_11022, _T_11000) @[exu_mul_ctl.scala 137:112] + node _T_11024 = add(_T_11023, _T_11001) @[exu_mul_ctl.scala 137:112] + node _T_11025 = add(_T_11024, _T_11002) @[exu_mul_ctl.scala 137:112] + node _T_11026 = add(_T_11025, _T_11003) @[exu_mul_ctl.scala 137:112] + node _T_11027 = add(_T_11026, _T_11004) @[exu_mul_ctl.scala 137:112] + node _T_11028 = eq(_T_11027, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11029 = bits(_T_11028, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11030 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_11031 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11032 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11033 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11034 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11035 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11036 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11037 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11038 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11039 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11040 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11041 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11042 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11043 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11044 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11045 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11046 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11047 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11048 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11049 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11050 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11051 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11052 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11053 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11054 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11055 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11056 = add(_T_11031, _T_11032) @[exu_mul_ctl.scala 137:112] + node _T_11057 = add(_T_11056, _T_11033) @[exu_mul_ctl.scala 137:112] + node _T_11058 = add(_T_11057, _T_11034) @[exu_mul_ctl.scala 137:112] + node _T_11059 = add(_T_11058, _T_11035) @[exu_mul_ctl.scala 137:112] + node _T_11060 = add(_T_11059, _T_11036) @[exu_mul_ctl.scala 137:112] + node _T_11061 = add(_T_11060, _T_11037) @[exu_mul_ctl.scala 137:112] + node _T_11062 = add(_T_11061, _T_11038) @[exu_mul_ctl.scala 137:112] + node _T_11063 = add(_T_11062, _T_11039) @[exu_mul_ctl.scala 137:112] + node _T_11064 = add(_T_11063, _T_11040) @[exu_mul_ctl.scala 137:112] + node _T_11065 = add(_T_11064, _T_11041) @[exu_mul_ctl.scala 137:112] + node _T_11066 = add(_T_11065, _T_11042) @[exu_mul_ctl.scala 137:112] + node _T_11067 = add(_T_11066, _T_11043) @[exu_mul_ctl.scala 137:112] + node _T_11068 = add(_T_11067, _T_11044) @[exu_mul_ctl.scala 137:112] + node _T_11069 = add(_T_11068, _T_11045) @[exu_mul_ctl.scala 137:112] + node _T_11070 = add(_T_11069, _T_11046) @[exu_mul_ctl.scala 137:112] + node _T_11071 = add(_T_11070, _T_11047) @[exu_mul_ctl.scala 137:112] + node _T_11072 = add(_T_11071, _T_11048) @[exu_mul_ctl.scala 137:112] + node _T_11073 = add(_T_11072, _T_11049) @[exu_mul_ctl.scala 137:112] + node _T_11074 = add(_T_11073, _T_11050) @[exu_mul_ctl.scala 137:112] + node _T_11075 = add(_T_11074, _T_11051) @[exu_mul_ctl.scala 137:112] + node _T_11076 = add(_T_11075, _T_11052) @[exu_mul_ctl.scala 137:112] + node _T_11077 = add(_T_11076, _T_11053) @[exu_mul_ctl.scala 137:112] + node _T_11078 = add(_T_11077, _T_11054) @[exu_mul_ctl.scala 137:112] + node _T_11079 = add(_T_11078, _T_11055) @[exu_mul_ctl.scala 137:112] + node _T_11080 = eq(_T_11079, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11081 = bits(_T_11080, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11082 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_11083 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11084 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11085 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11086 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11087 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11088 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11089 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11090 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11091 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11092 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11093 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11094 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11095 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11096 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11097 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11098 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11099 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11100 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11101 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11102 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11103 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11104 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11105 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11106 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11107 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11108 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11109 = add(_T_11083, _T_11084) @[exu_mul_ctl.scala 137:112] + node _T_11110 = add(_T_11109, _T_11085) @[exu_mul_ctl.scala 137:112] + node _T_11111 = add(_T_11110, _T_11086) @[exu_mul_ctl.scala 137:112] + node _T_11112 = add(_T_11111, _T_11087) @[exu_mul_ctl.scala 137:112] + node _T_11113 = add(_T_11112, _T_11088) @[exu_mul_ctl.scala 137:112] + node _T_11114 = add(_T_11113, _T_11089) @[exu_mul_ctl.scala 137:112] + node _T_11115 = add(_T_11114, _T_11090) @[exu_mul_ctl.scala 137:112] + node _T_11116 = add(_T_11115, _T_11091) @[exu_mul_ctl.scala 137:112] + node _T_11117 = add(_T_11116, _T_11092) @[exu_mul_ctl.scala 137:112] + node _T_11118 = add(_T_11117, _T_11093) @[exu_mul_ctl.scala 137:112] + node _T_11119 = add(_T_11118, _T_11094) @[exu_mul_ctl.scala 137:112] + node _T_11120 = add(_T_11119, _T_11095) @[exu_mul_ctl.scala 137:112] + node _T_11121 = add(_T_11120, _T_11096) @[exu_mul_ctl.scala 137:112] + node _T_11122 = add(_T_11121, _T_11097) @[exu_mul_ctl.scala 137:112] + node _T_11123 = add(_T_11122, _T_11098) @[exu_mul_ctl.scala 137:112] + node _T_11124 = add(_T_11123, _T_11099) @[exu_mul_ctl.scala 137:112] + node _T_11125 = add(_T_11124, _T_11100) @[exu_mul_ctl.scala 137:112] + node _T_11126 = add(_T_11125, _T_11101) @[exu_mul_ctl.scala 137:112] + node _T_11127 = add(_T_11126, _T_11102) @[exu_mul_ctl.scala 137:112] + node _T_11128 = add(_T_11127, _T_11103) @[exu_mul_ctl.scala 137:112] + node _T_11129 = add(_T_11128, _T_11104) @[exu_mul_ctl.scala 137:112] + node _T_11130 = add(_T_11129, _T_11105) @[exu_mul_ctl.scala 137:112] + node _T_11131 = add(_T_11130, _T_11106) @[exu_mul_ctl.scala 137:112] + node _T_11132 = add(_T_11131, _T_11107) @[exu_mul_ctl.scala 137:112] + node _T_11133 = add(_T_11132, _T_11108) @[exu_mul_ctl.scala 137:112] + node _T_11134 = eq(_T_11133, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11135 = bits(_T_11134, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11136 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_11137 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11138 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11139 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11140 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11141 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11142 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11143 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11144 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11145 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11146 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11147 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11148 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11149 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11150 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11151 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11152 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11153 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11154 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11155 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11156 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11157 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11158 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11159 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11160 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11161 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11162 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11163 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11164 = add(_T_11137, _T_11138) @[exu_mul_ctl.scala 137:112] + node _T_11165 = add(_T_11164, _T_11139) @[exu_mul_ctl.scala 137:112] + node _T_11166 = add(_T_11165, _T_11140) @[exu_mul_ctl.scala 137:112] + node _T_11167 = add(_T_11166, _T_11141) @[exu_mul_ctl.scala 137:112] + node _T_11168 = add(_T_11167, _T_11142) @[exu_mul_ctl.scala 137:112] + node _T_11169 = add(_T_11168, _T_11143) @[exu_mul_ctl.scala 137:112] + node _T_11170 = add(_T_11169, _T_11144) @[exu_mul_ctl.scala 137:112] + node _T_11171 = add(_T_11170, _T_11145) @[exu_mul_ctl.scala 137:112] + node _T_11172 = add(_T_11171, _T_11146) @[exu_mul_ctl.scala 137:112] + node _T_11173 = add(_T_11172, _T_11147) @[exu_mul_ctl.scala 137:112] + node _T_11174 = add(_T_11173, _T_11148) @[exu_mul_ctl.scala 137:112] + node _T_11175 = add(_T_11174, _T_11149) @[exu_mul_ctl.scala 137:112] + node _T_11176 = add(_T_11175, _T_11150) @[exu_mul_ctl.scala 137:112] + node _T_11177 = add(_T_11176, _T_11151) @[exu_mul_ctl.scala 137:112] + node _T_11178 = add(_T_11177, _T_11152) @[exu_mul_ctl.scala 137:112] + node _T_11179 = add(_T_11178, _T_11153) @[exu_mul_ctl.scala 137:112] + node _T_11180 = add(_T_11179, _T_11154) @[exu_mul_ctl.scala 137:112] + node _T_11181 = add(_T_11180, _T_11155) @[exu_mul_ctl.scala 137:112] + node _T_11182 = add(_T_11181, _T_11156) @[exu_mul_ctl.scala 137:112] + node _T_11183 = add(_T_11182, _T_11157) @[exu_mul_ctl.scala 137:112] + node _T_11184 = add(_T_11183, _T_11158) @[exu_mul_ctl.scala 137:112] + node _T_11185 = add(_T_11184, _T_11159) @[exu_mul_ctl.scala 137:112] + node _T_11186 = add(_T_11185, _T_11160) @[exu_mul_ctl.scala 137:112] + node _T_11187 = add(_T_11186, _T_11161) @[exu_mul_ctl.scala 137:112] + node _T_11188 = add(_T_11187, _T_11162) @[exu_mul_ctl.scala 137:112] + node _T_11189 = add(_T_11188, _T_11163) @[exu_mul_ctl.scala 137:112] + node _T_11190 = eq(_T_11189, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11191 = bits(_T_11190, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11192 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_11193 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11194 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11195 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11196 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11197 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11198 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11199 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11200 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11201 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11202 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11203 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11204 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11205 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11206 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11207 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11208 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11209 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11210 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11211 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11212 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11213 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11214 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11215 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11216 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11217 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11218 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11219 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11220 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_11221 = add(_T_11193, _T_11194) @[exu_mul_ctl.scala 137:112] + node _T_11222 = add(_T_11221, _T_11195) @[exu_mul_ctl.scala 137:112] + node _T_11223 = add(_T_11222, _T_11196) @[exu_mul_ctl.scala 137:112] + node _T_11224 = add(_T_11223, _T_11197) @[exu_mul_ctl.scala 137:112] + node _T_11225 = add(_T_11224, _T_11198) @[exu_mul_ctl.scala 137:112] + node _T_11226 = add(_T_11225, _T_11199) @[exu_mul_ctl.scala 137:112] + node _T_11227 = add(_T_11226, _T_11200) @[exu_mul_ctl.scala 137:112] + node _T_11228 = add(_T_11227, _T_11201) @[exu_mul_ctl.scala 137:112] + node _T_11229 = add(_T_11228, _T_11202) @[exu_mul_ctl.scala 137:112] + node _T_11230 = add(_T_11229, _T_11203) @[exu_mul_ctl.scala 137:112] + node _T_11231 = add(_T_11230, _T_11204) @[exu_mul_ctl.scala 137:112] + node _T_11232 = add(_T_11231, _T_11205) @[exu_mul_ctl.scala 137:112] + node _T_11233 = add(_T_11232, _T_11206) @[exu_mul_ctl.scala 137:112] + node _T_11234 = add(_T_11233, _T_11207) @[exu_mul_ctl.scala 137:112] + node _T_11235 = add(_T_11234, _T_11208) @[exu_mul_ctl.scala 137:112] + node _T_11236 = add(_T_11235, _T_11209) @[exu_mul_ctl.scala 137:112] + node _T_11237 = add(_T_11236, _T_11210) @[exu_mul_ctl.scala 137:112] + node _T_11238 = add(_T_11237, _T_11211) @[exu_mul_ctl.scala 137:112] + node _T_11239 = add(_T_11238, _T_11212) @[exu_mul_ctl.scala 137:112] + node _T_11240 = add(_T_11239, _T_11213) @[exu_mul_ctl.scala 137:112] + node _T_11241 = add(_T_11240, _T_11214) @[exu_mul_ctl.scala 137:112] + node _T_11242 = add(_T_11241, _T_11215) @[exu_mul_ctl.scala 137:112] + node _T_11243 = add(_T_11242, _T_11216) @[exu_mul_ctl.scala 137:112] + node _T_11244 = add(_T_11243, _T_11217) @[exu_mul_ctl.scala 137:112] + node _T_11245 = add(_T_11244, _T_11218) @[exu_mul_ctl.scala 137:112] + node _T_11246 = add(_T_11245, _T_11219) @[exu_mul_ctl.scala 137:112] + node _T_11247 = add(_T_11246, _T_11220) @[exu_mul_ctl.scala 137:112] + node _T_11248 = eq(_T_11247, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11249 = bits(_T_11248, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11250 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_11251 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11252 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11253 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11254 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11255 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11256 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11257 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11258 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11259 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11260 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11261 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11262 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11263 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11264 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11265 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11266 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11267 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11268 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11269 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11270 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11271 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11272 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11273 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11274 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11275 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11276 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11277 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11278 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_11279 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_11280 = add(_T_11251, _T_11252) @[exu_mul_ctl.scala 137:112] + node _T_11281 = add(_T_11280, _T_11253) @[exu_mul_ctl.scala 137:112] + node _T_11282 = add(_T_11281, _T_11254) @[exu_mul_ctl.scala 137:112] + node _T_11283 = add(_T_11282, _T_11255) @[exu_mul_ctl.scala 137:112] + node _T_11284 = add(_T_11283, _T_11256) @[exu_mul_ctl.scala 137:112] + node _T_11285 = add(_T_11284, _T_11257) @[exu_mul_ctl.scala 137:112] + node _T_11286 = add(_T_11285, _T_11258) @[exu_mul_ctl.scala 137:112] + node _T_11287 = add(_T_11286, _T_11259) @[exu_mul_ctl.scala 137:112] + node _T_11288 = add(_T_11287, _T_11260) @[exu_mul_ctl.scala 137:112] + node _T_11289 = add(_T_11288, _T_11261) @[exu_mul_ctl.scala 137:112] + node _T_11290 = add(_T_11289, _T_11262) @[exu_mul_ctl.scala 137:112] + node _T_11291 = add(_T_11290, _T_11263) @[exu_mul_ctl.scala 137:112] + node _T_11292 = add(_T_11291, _T_11264) @[exu_mul_ctl.scala 137:112] + node _T_11293 = add(_T_11292, _T_11265) @[exu_mul_ctl.scala 137:112] + node _T_11294 = add(_T_11293, _T_11266) @[exu_mul_ctl.scala 137:112] + node _T_11295 = add(_T_11294, _T_11267) @[exu_mul_ctl.scala 137:112] + node _T_11296 = add(_T_11295, _T_11268) @[exu_mul_ctl.scala 137:112] + node _T_11297 = add(_T_11296, _T_11269) @[exu_mul_ctl.scala 137:112] + node _T_11298 = add(_T_11297, _T_11270) @[exu_mul_ctl.scala 137:112] + node _T_11299 = add(_T_11298, _T_11271) @[exu_mul_ctl.scala 137:112] + node _T_11300 = add(_T_11299, _T_11272) @[exu_mul_ctl.scala 137:112] + node _T_11301 = add(_T_11300, _T_11273) @[exu_mul_ctl.scala 137:112] + node _T_11302 = add(_T_11301, _T_11274) @[exu_mul_ctl.scala 137:112] + node _T_11303 = add(_T_11302, _T_11275) @[exu_mul_ctl.scala 137:112] + node _T_11304 = add(_T_11303, _T_11276) @[exu_mul_ctl.scala 137:112] + node _T_11305 = add(_T_11304, _T_11277) @[exu_mul_ctl.scala 137:112] + node _T_11306 = add(_T_11305, _T_11278) @[exu_mul_ctl.scala 137:112] + node _T_11307 = add(_T_11306, _T_11279) @[exu_mul_ctl.scala 137:112] + node _T_11308 = eq(_T_11307, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11309 = bits(_T_11308, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11310 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_11311 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11312 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11313 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11314 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11315 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11316 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11317 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11318 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11319 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11320 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11321 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11322 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11323 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11324 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11325 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11326 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11327 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11328 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11329 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11330 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11331 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11332 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11333 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11334 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11335 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11336 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11337 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11338 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_11339 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_11340 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_11341 = add(_T_11311, _T_11312) @[exu_mul_ctl.scala 137:112] + node _T_11342 = add(_T_11341, _T_11313) @[exu_mul_ctl.scala 137:112] + node _T_11343 = add(_T_11342, _T_11314) @[exu_mul_ctl.scala 137:112] + node _T_11344 = add(_T_11343, _T_11315) @[exu_mul_ctl.scala 137:112] + node _T_11345 = add(_T_11344, _T_11316) @[exu_mul_ctl.scala 137:112] + node _T_11346 = add(_T_11345, _T_11317) @[exu_mul_ctl.scala 137:112] + node _T_11347 = add(_T_11346, _T_11318) @[exu_mul_ctl.scala 137:112] + node _T_11348 = add(_T_11347, _T_11319) @[exu_mul_ctl.scala 137:112] + node _T_11349 = add(_T_11348, _T_11320) @[exu_mul_ctl.scala 137:112] + node _T_11350 = add(_T_11349, _T_11321) @[exu_mul_ctl.scala 137:112] + node _T_11351 = add(_T_11350, _T_11322) @[exu_mul_ctl.scala 137:112] + node _T_11352 = add(_T_11351, _T_11323) @[exu_mul_ctl.scala 137:112] + node _T_11353 = add(_T_11352, _T_11324) @[exu_mul_ctl.scala 137:112] + node _T_11354 = add(_T_11353, _T_11325) @[exu_mul_ctl.scala 137:112] + node _T_11355 = add(_T_11354, _T_11326) @[exu_mul_ctl.scala 137:112] + node _T_11356 = add(_T_11355, _T_11327) @[exu_mul_ctl.scala 137:112] + node _T_11357 = add(_T_11356, _T_11328) @[exu_mul_ctl.scala 137:112] + node _T_11358 = add(_T_11357, _T_11329) @[exu_mul_ctl.scala 137:112] + node _T_11359 = add(_T_11358, _T_11330) @[exu_mul_ctl.scala 137:112] + node _T_11360 = add(_T_11359, _T_11331) @[exu_mul_ctl.scala 137:112] + node _T_11361 = add(_T_11360, _T_11332) @[exu_mul_ctl.scala 137:112] + node _T_11362 = add(_T_11361, _T_11333) @[exu_mul_ctl.scala 137:112] + node _T_11363 = add(_T_11362, _T_11334) @[exu_mul_ctl.scala 137:112] + node _T_11364 = add(_T_11363, _T_11335) @[exu_mul_ctl.scala 137:112] + node _T_11365 = add(_T_11364, _T_11336) @[exu_mul_ctl.scala 137:112] + node _T_11366 = add(_T_11365, _T_11337) @[exu_mul_ctl.scala 137:112] + node _T_11367 = add(_T_11366, _T_11338) @[exu_mul_ctl.scala 137:112] + node _T_11368 = add(_T_11367, _T_11339) @[exu_mul_ctl.scala 137:112] + node _T_11369 = add(_T_11368, _T_11340) @[exu_mul_ctl.scala 137:112] + node _T_11370 = eq(_T_11369, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11371 = bits(_T_11370, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11372 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_11373 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11374 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11375 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11376 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11377 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11378 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11379 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11380 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11381 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11382 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11383 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11384 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11385 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11386 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11387 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11388 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11389 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11390 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11391 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11392 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11393 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11394 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11395 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11396 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11397 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11398 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11399 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11400 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_11401 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_11402 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_11403 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_11404 = add(_T_11373, _T_11374) @[exu_mul_ctl.scala 137:112] + node _T_11405 = add(_T_11404, _T_11375) @[exu_mul_ctl.scala 137:112] + node _T_11406 = add(_T_11405, _T_11376) @[exu_mul_ctl.scala 137:112] + node _T_11407 = add(_T_11406, _T_11377) @[exu_mul_ctl.scala 137:112] + node _T_11408 = add(_T_11407, _T_11378) @[exu_mul_ctl.scala 137:112] + node _T_11409 = add(_T_11408, _T_11379) @[exu_mul_ctl.scala 137:112] + node _T_11410 = add(_T_11409, _T_11380) @[exu_mul_ctl.scala 137:112] + node _T_11411 = add(_T_11410, _T_11381) @[exu_mul_ctl.scala 137:112] + node _T_11412 = add(_T_11411, _T_11382) @[exu_mul_ctl.scala 137:112] + node _T_11413 = add(_T_11412, _T_11383) @[exu_mul_ctl.scala 137:112] + node _T_11414 = add(_T_11413, _T_11384) @[exu_mul_ctl.scala 137:112] + node _T_11415 = add(_T_11414, _T_11385) @[exu_mul_ctl.scala 137:112] + node _T_11416 = add(_T_11415, _T_11386) @[exu_mul_ctl.scala 137:112] + node _T_11417 = add(_T_11416, _T_11387) @[exu_mul_ctl.scala 137:112] + node _T_11418 = add(_T_11417, _T_11388) @[exu_mul_ctl.scala 137:112] + node _T_11419 = add(_T_11418, _T_11389) @[exu_mul_ctl.scala 137:112] + node _T_11420 = add(_T_11419, _T_11390) @[exu_mul_ctl.scala 137:112] + node _T_11421 = add(_T_11420, _T_11391) @[exu_mul_ctl.scala 137:112] + node _T_11422 = add(_T_11421, _T_11392) @[exu_mul_ctl.scala 137:112] + node _T_11423 = add(_T_11422, _T_11393) @[exu_mul_ctl.scala 137:112] + node _T_11424 = add(_T_11423, _T_11394) @[exu_mul_ctl.scala 137:112] + node _T_11425 = add(_T_11424, _T_11395) @[exu_mul_ctl.scala 137:112] + node _T_11426 = add(_T_11425, _T_11396) @[exu_mul_ctl.scala 137:112] + node _T_11427 = add(_T_11426, _T_11397) @[exu_mul_ctl.scala 137:112] + node _T_11428 = add(_T_11427, _T_11398) @[exu_mul_ctl.scala 137:112] + node _T_11429 = add(_T_11428, _T_11399) @[exu_mul_ctl.scala 137:112] + node _T_11430 = add(_T_11429, _T_11400) @[exu_mul_ctl.scala 137:112] + node _T_11431 = add(_T_11430, _T_11401) @[exu_mul_ctl.scala 137:112] + node _T_11432 = add(_T_11431, _T_11402) @[exu_mul_ctl.scala 137:112] + node _T_11433 = add(_T_11432, _T_11403) @[exu_mul_ctl.scala 137:112] + node _T_11434 = eq(_T_11433, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11435 = bits(_T_11434, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11436 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_11437 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11438 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11439 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11440 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11441 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11442 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11443 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11444 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11445 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11446 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11447 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11448 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11449 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11450 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11451 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11452 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11453 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11454 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11455 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11456 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11457 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_11458 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_11459 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_11460 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_11461 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_11462 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_11463 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_11464 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_11465 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_11466 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_11467 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_11468 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_11469 = add(_T_11437, _T_11438) @[exu_mul_ctl.scala 137:112] + node _T_11470 = add(_T_11469, _T_11439) @[exu_mul_ctl.scala 137:112] + node _T_11471 = add(_T_11470, _T_11440) @[exu_mul_ctl.scala 137:112] + node _T_11472 = add(_T_11471, _T_11441) @[exu_mul_ctl.scala 137:112] + node _T_11473 = add(_T_11472, _T_11442) @[exu_mul_ctl.scala 137:112] + node _T_11474 = add(_T_11473, _T_11443) @[exu_mul_ctl.scala 137:112] + node _T_11475 = add(_T_11474, _T_11444) @[exu_mul_ctl.scala 137:112] + node _T_11476 = add(_T_11475, _T_11445) @[exu_mul_ctl.scala 137:112] + node _T_11477 = add(_T_11476, _T_11446) @[exu_mul_ctl.scala 137:112] + node _T_11478 = add(_T_11477, _T_11447) @[exu_mul_ctl.scala 137:112] + node _T_11479 = add(_T_11478, _T_11448) @[exu_mul_ctl.scala 137:112] + node _T_11480 = add(_T_11479, _T_11449) @[exu_mul_ctl.scala 137:112] + node _T_11481 = add(_T_11480, _T_11450) @[exu_mul_ctl.scala 137:112] + node _T_11482 = add(_T_11481, _T_11451) @[exu_mul_ctl.scala 137:112] + node _T_11483 = add(_T_11482, _T_11452) @[exu_mul_ctl.scala 137:112] + node _T_11484 = add(_T_11483, _T_11453) @[exu_mul_ctl.scala 137:112] + node _T_11485 = add(_T_11484, _T_11454) @[exu_mul_ctl.scala 137:112] + node _T_11486 = add(_T_11485, _T_11455) @[exu_mul_ctl.scala 137:112] + node _T_11487 = add(_T_11486, _T_11456) @[exu_mul_ctl.scala 137:112] + node _T_11488 = add(_T_11487, _T_11457) @[exu_mul_ctl.scala 137:112] + node _T_11489 = add(_T_11488, _T_11458) @[exu_mul_ctl.scala 137:112] + node _T_11490 = add(_T_11489, _T_11459) @[exu_mul_ctl.scala 137:112] + node _T_11491 = add(_T_11490, _T_11460) @[exu_mul_ctl.scala 137:112] + node _T_11492 = add(_T_11491, _T_11461) @[exu_mul_ctl.scala 137:112] + node _T_11493 = add(_T_11492, _T_11462) @[exu_mul_ctl.scala 137:112] + node _T_11494 = add(_T_11493, _T_11463) @[exu_mul_ctl.scala 137:112] + node _T_11495 = add(_T_11494, _T_11464) @[exu_mul_ctl.scala 137:112] + node _T_11496 = add(_T_11495, _T_11465) @[exu_mul_ctl.scala 137:112] + node _T_11497 = add(_T_11496, _T_11466) @[exu_mul_ctl.scala 137:112] + node _T_11498 = add(_T_11497, _T_11467) @[exu_mul_ctl.scala 137:112] + node _T_11499 = add(_T_11498, _T_11468) @[exu_mul_ctl.scala 137:112] + node _T_11500 = eq(_T_11499, UInt<4>("h0a")) @[exu_mul_ctl.scala 138:87] + node _T_11501 = bits(_T_11500, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11502 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_11503 = mux(_T_11501, _T_11502, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_11504 = mux(_T_11435, _T_11436, _T_11503) @[Mux.scala 98:16] + node _T_11505 = mux(_T_11371, _T_11372, _T_11504) @[Mux.scala 98:16] + node _T_11506 = mux(_T_11309, _T_11310, _T_11505) @[Mux.scala 98:16] + node _T_11507 = mux(_T_11249, _T_11250, _T_11506) @[Mux.scala 98:16] + node _T_11508 = mux(_T_11191, _T_11192, _T_11507) @[Mux.scala 98:16] + node _T_11509 = mux(_T_11135, _T_11136, _T_11508) @[Mux.scala 98:16] + node _T_11510 = mux(_T_11081, _T_11082, _T_11509) @[Mux.scala 98:16] + node _T_11511 = mux(_T_11029, _T_11030, _T_11510) @[Mux.scala 98:16] + node _T_11512 = mux(_T_10979, _T_10980, _T_11511) @[Mux.scala 98:16] + node _T_11513 = mux(_T_10931, _T_10932, _T_11512) @[Mux.scala 98:16] + node _T_11514 = mux(_T_10885, _T_10886, _T_11513) @[Mux.scala 98:16] + node _T_11515 = mux(_T_10841, _T_10842, _T_11514) @[Mux.scala 98:16] + node _T_11516 = mux(_T_10799, _T_10800, _T_11515) @[Mux.scala 98:16] + node _T_11517 = mux(_T_10759, _T_10760, _T_11516) @[Mux.scala 98:16] + node _T_11518 = mux(_T_10721, _T_10722, _T_11517) @[Mux.scala 98:16] + node _T_11519 = mux(_T_10685, _T_10686, _T_11518) @[Mux.scala 98:16] + node _T_11520 = mux(_T_10651, _T_10652, _T_11519) @[Mux.scala 98:16] + node _T_11521 = mux(_T_10619, _T_10620, _T_11520) @[Mux.scala 98:16] + node _T_11522 = mux(_T_10589, _T_10590, _T_11521) @[Mux.scala 98:16] + node _T_11523 = mux(_T_10561, _T_10562, _T_11522) @[Mux.scala 98:16] + node _T_11524 = mux(_T_10535, _T_10536, _T_11523) @[Mux.scala 98:16] + node _T_11525 = mux(_T_10511, _T_10512, _T_11524) @[Mux.scala 98:16] + node _T_11526 = mux(_T_10489, _T_10490, _T_11525) @[Mux.scala 98:16] + node _T_11527 = mux(_T_10469, _T_10470, _T_11526) @[Mux.scala 98:16] + node _T_11528 = mux(_T_10451, _T_10452, _T_11527) @[Mux.scala 98:16] + node _T_11529 = mux(_T_10435, _T_10436, _T_11528) @[Mux.scala 98:16] + node _T_11530 = mux(_T_10421, _T_10422, _T_11529) @[Mux.scala 98:16] + node _T_11531 = mux(_T_10409, _T_10410, _T_11530) @[Mux.scala 98:16] + node _T_11532 = mux(_T_10399, _T_10400, _T_11531) @[Mux.scala 98:16] + node _T_11533 = mux(_T_10391, _T_10392, _T_11532) @[Mux.scala 98:16] + node _T_11534 = mux(_T_10385, _T_10386, _T_11533) @[Mux.scala 98:16] + node _T_11535 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_11536 = eq(_T_11535, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11537 = bits(_T_11536, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11538 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_11539 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11540 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11541 = add(_T_11539, _T_11540) @[exu_mul_ctl.scala 137:112] + node _T_11542 = eq(_T_11541, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11543 = bits(_T_11542, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11544 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_11545 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11546 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11547 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11548 = add(_T_11545, _T_11546) @[exu_mul_ctl.scala 137:112] + node _T_11549 = add(_T_11548, _T_11547) @[exu_mul_ctl.scala 137:112] + node _T_11550 = eq(_T_11549, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11551 = bits(_T_11550, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11552 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_11553 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11554 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11555 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11556 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11557 = add(_T_11553, _T_11554) @[exu_mul_ctl.scala 137:112] + node _T_11558 = add(_T_11557, _T_11555) @[exu_mul_ctl.scala 137:112] + node _T_11559 = add(_T_11558, _T_11556) @[exu_mul_ctl.scala 137:112] + node _T_11560 = eq(_T_11559, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11561 = bits(_T_11560, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11562 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_11563 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11564 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11565 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11566 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11567 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11568 = add(_T_11563, _T_11564) @[exu_mul_ctl.scala 137:112] + node _T_11569 = add(_T_11568, _T_11565) @[exu_mul_ctl.scala 137:112] + node _T_11570 = add(_T_11569, _T_11566) @[exu_mul_ctl.scala 137:112] + node _T_11571 = add(_T_11570, _T_11567) @[exu_mul_ctl.scala 137:112] + node _T_11572 = eq(_T_11571, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11573 = bits(_T_11572, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11574 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_11575 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11576 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11577 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11578 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11579 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11580 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11581 = add(_T_11575, _T_11576) @[exu_mul_ctl.scala 137:112] + node _T_11582 = add(_T_11581, _T_11577) @[exu_mul_ctl.scala 137:112] + node _T_11583 = add(_T_11582, _T_11578) @[exu_mul_ctl.scala 137:112] + node _T_11584 = add(_T_11583, _T_11579) @[exu_mul_ctl.scala 137:112] + node _T_11585 = add(_T_11584, _T_11580) @[exu_mul_ctl.scala 137:112] + node _T_11586 = eq(_T_11585, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11587 = bits(_T_11586, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11588 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_11589 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11590 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11591 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11592 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11593 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11594 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11595 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11596 = add(_T_11589, _T_11590) @[exu_mul_ctl.scala 137:112] + node _T_11597 = add(_T_11596, _T_11591) @[exu_mul_ctl.scala 137:112] + node _T_11598 = add(_T_11597, _T_11592) @[exu_mul_ctl.scala 137:112] + node _T_11599 = add(_T_11598, _T_11593) @[exu_mul_ctl.scala 137:112] + node _T_11600 = add(_T_11599, _T_11594) @[exu_mul_ctl.scala 137:112] + node _T_11601 = add(_T_11600, _T_11595) @[exu_mul_ctl.scala 137:112] + node _T_11602 = eq(_T_11601, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11603 = bits(_T_11602, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11604 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_11605 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11606 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11607 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11608 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11609 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11610 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11611 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11612 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11613 = add(_T_11605, _T_11606) @[exu_mul_ctl.scala 137:112] + node _T_11614 = add(_T_11613, _T_11607) @[exu_mul_ctl.scala 137:112] + node _T_11615 = add(_T_11614, _T_11608) @[exu_mul_ctl.scala 137:112] + node _T_11616 = add(_T_11615, _T_11609) @[exu_mul_ctl.scala 137:112] + node _T_11617 = add(_T_11616, _T_11610) @[exu_mul_ctl.scala 137:112] + node _T_11618 = add(_T_11617, _T_11611) @[exu_mul_ctl.scala 137:112] + node _T_11619 = add(_T_11618, _T_11612) @[exu_mul_ctl.scala 137:112] + node _T_11620 = eq(_T_11619, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11621 = bits(_T_11620, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11622 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_11623 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11624 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11625 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11626 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11627 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11628 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11629 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11630 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11631 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11632 = add(_T_11623, _T_11624) @[exu_mul_ctl.scala 137:112] + node _T_11633 = add(_T_11632, _T_11625) @[exu_mul_ctl.scala 137:112] + node _T_11634 = add(_T_11633, _T_11626) @[exu_mul_ctl.scala 137:112] + node _T_11635 = add(_T_11634, _T_11627) @[exu_mul_ctl.scala 137:112] + node _T_11636 = add(_T_11635, _T_11628) @[exu_mul_ctl.scala 137:112] + node _T_11637 = add(_T_11636, _T_11629) @[exu_mul_ctl.scala 137:112] + node _T_11638 = add(_T_11637, _T_11630) @[exu_mul_ctl.scala 137:112] + node _T_11639 = add(_T_11638, _T_11631) @[exu_mul_ctl.scala 137:112] + node _T_11640 = eq(_T_11639, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11641 = bits(_T_11640, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11642 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_11643 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11644 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11645 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11646 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11647 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11648 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11649 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11650 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11651 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11652 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11653 = add(_T_11643, _T_11644) @[exu_mul_ctl.scala 137:112] + node _T_11654 = add(_T_11653, _T_11645) @[exu_mul_ctl.scala 137:112] + node _T_11655 = add(_T_11654, _T_11646) @[exu_mul_ctl.scala 137:112] + node _T_11656 = add(_T_11655, _T_11647) @[exu_mul_ctl.scala 137:112] + node _T_11657 = add(_T_11656, _T_11648) @[exu_mul_ctl.scala 137:112] + node _T_11658 = add(_T_11657, _T_11649) @[exu_mul_ctl.scala 137:112] + node _T_11659 = add(_T_11658, _T_11650) @[exu_mul_ctl.scala 137:112] + node _T_11660 = add(_T_11659, _T_11651) @[exu_mul_ctl.scala 137:112] + node _T_11661 = add(_T_11660, _T_11652) @[exu_mul_ctl.scala 137:112] + node _T_11662 = eq(_T_11661, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11663 = bits(_T_11662, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11664 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_11665 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11666 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11667 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11668 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11669 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11670 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11671 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11672 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11673 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11674 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11675 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11676 = add(_T_11665, _T_11666) @[exu_mul_ctl.scala 137:112] + node _T_11677 = add(_T_11676, _T_11667) @[exu_mul_ctl.scala 137:112] + node _T_11678 = add(_T_11677, _T_11668) @[exu_mul_ctl.scala 137:112] + node _T_11679 = add(_T_11678, _T_11669) @[exu_mul_ctl.scala 137:112] + node _T_11680 = add(_T_11679, _T_11670) @[exu_mul_ctl.scala 137:112] + node _T_11681 = add(_T_11680, _T_11671) @[exu_mul_ctl.scala 137:112] + node _T_11682 = add(_T_11681, _T_11672) @[exu_mul_ctl.scala 137:112] + node _T_11683 = add(_T_11682, _T_11673) @[exu_mul_ctl.scala 137:112] + node _T_11684 = add(_T_11683, _T_11674) @[exu_mul_ctl.scala 137:112] + node _T_11685 = add(_T_11684, _T_11675) @[exu_mul_ctl.scala 137:112] + node _T_11686 = eq(_T_11685, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11687 = bits(_T_11686, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11688 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_11689 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11690 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11691 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11692 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11693 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11694 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11695 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11696 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11697 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11698 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11699 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11700 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11701 = add(_T_11689, _T_11690) @[exu_mul_ctl.scala 137:112] + node _T_11702 = add(_T_11701, _T_11691) @[exu_mul_ctl.scala 137:112] + node _T_11703 = add(_T_11702, _T_11692) @[exu_mul_ctl.scala 137:112] + node _T_11704 = add(_T_11703, _T_11693) @[exu_mul_ctl.scala 137:112] + node _T_11705 = add(_T_11704, _T_11694) @[exu_mul_ctl.scala 137:112] + node _T_11706 = add(_T_11705, _T_11695) @[exu_mul_ctl.scala 137:112] + node _T_11707 = add(_T_11706, _T_11696) @[exu_mul_ctl.scala 137:112] + node _T_11708 = add(_T_11707, _T_11697) @[exu_mul_ctl.scala 137:112] + node _T_11709 = add(_T_11708, _T_11698) @[exu_mul_ctl.scala 137:112] + node _T_11710 = add(_T_11709, _T_11699) @[exu_mul_ctl.scala 137:112] + node _T_11711 = add(_T_11710, _T_11700) @[exu_mul_ctl.scala 137:112] + node _T_11712 = eq(_T_11711, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11713 = bits(_T_11712, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11714 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_11715 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11716 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11717 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11718 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11719 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11720 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11721 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11722 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11723 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11724 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11725 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11726 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11727 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11728 = add(_T_11715, _T_11716) @[exu_mul_ctl.scala 137:112] + node _T_11729 = add(_T_11728, _T_11717) @[exu_mul_ctl.scala 137:112] + node _T_11730 = add(_T_11729, _T_11718) @[exu_mul_ctl.scala 137:112] + node _T_11731 = add(_T_11730, _T_11719) @[exu_mul_ctl.scala 137:112] + node _T_11732 = add(_T_11731, _T_11720) @[exu_mul_ctl.scala 137:112] + node _T_11733 = add(_T_11732, _T_11721) @[exu_mul_ctl.scala 137:112] + node _T_11734 = add(_T_11733, _T_11722) @[exu_mul_ctl.scala 137:112] + node _T_11735 = add(_T_11734, _T_11723) @[exu_mul_ctl.scala 137:112] + node _T_11736 = add(_T_11735, _T_11724) @[exu_mul_ctl.scala 137:112] + node _T_11737 = add(_T_11736, _T_11725) @[exu_mul_ctl.scala 137:112] + node _T_11738 = add(_T_11737, _T_11726) @[exu_mul_ctl.scala 137:112] + node _T_11739 = add(_T_11738, _T_11727) @[exu_mul_ctl.scala 137:112] + node _T_11740 = eq(_T_11739, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11741 = bits(_T_11740, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11742 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_11743 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11744 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11745 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11746 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11747 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11748 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11749 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11750 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11751 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11752 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11753 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11754 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11755 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11756 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11757 = add(_T_11743, _T_11744) @[exu_mul_ctl.scala 137:112] + node _T_11758 = add(_T_11757, _T_11745) @[exu_mul_ctl.scala 137:112] + node _T_11759 = add(_T_11758, _T_11746) @[exu_mul_ctl.scala 137:112] + node _T_11760 = add(_T_11759, _T_11747) @[exu_mul_ctl.scala 137:112] + node _T_11761 = add(_T_11760, _T_11748) @[exu_mul_ctl.scala 137:112] + node _T_11762 = add(_T_11761, _T_11749) @[exu_mul_ctl.scala 137:112] + node _T_11763 = add(_T_11762, _T_11750) @[exu_mul_ctl.scala 137:112] + node _T_11764 = add(_T_11763, _T_11751) @[exu_mul_ctl.scala 137:112] + node _T_11765 = add(_T_11764, _T_11752) @[exu_mul_ctl.scala 137:112] + node _T_11766 = add(_T_11765, _T_11753) @[exu_mul_ctl.scala 137:112] + node _T_11767 = add(_T_11766, _T_11754) @[exu_mul_ctl.scala 137:112] + node _T_11768 = add(_T_11767, _T_11755) @[exu_mul_ctl.scala 137:112] + node _T_11769 = add(_T_11768, _T_11756) @[exu_mul_ctl.scala 137:112] + node _T_11770 = eq(_T_11769, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11771 = bits(_T_11770, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11772 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_11773 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11774 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11775 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11776 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11777 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11778 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11779 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11780 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11781 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11782 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11783 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11784 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11785 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11786 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11787 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11788 = add(_T_11773, _T_11774) @[exu_mul_ctl.scala 137:112] + node _T_11789 = add(_T_11788, _T_11775) @[exu_mul_ctl.scala 137:112] + node _T_11790 = add(_T_11789, _T_11776) @[exu_mul_ctl.scala 137:112] + node _T_11791 = add(_T_11790, _T_11777) @[exu_mul_ctl.scala 137:112] + node _T_11792 = add(_T_11791, _T_11778) @[exu_mul_ctl.scala 137:112] + node _T_11793 = add(_T_11792, _T_11779) @[exu_mul_ctl.scala 137:112] + node _T_11794 = add(_T_11793, _T_11780) @[exu_mul_ctl.scala 137:112] + node _T_11795 = add(_T_11794, _T_11781) @[exu_mul_ctl.scala 137:112] + node _T_11796 = add(_T_11795, _T_11782) @[exu_mul_ctl.scala 137:112] + node _T_11797 = add(_T_11796, _T_11783) @[exu_mul_ctl.scala 137:112] + node _T_11798 = add(_T_11797, _T_11784) @[exu_mul_ctl.scala 137:112] + node _T_11799 = add(_T_11798, _T_11785) @[exu_mul_ctl.scala 137:112] + node _T_11800 = add(_T_11799, _T_11786) @[exu_mul_ctl.scala 137:112] + node _T_11801 = add(_T_11800, _T_11787) @[exu_mul_ctl.scala 137:112] + node _T_11802 = eq(_T_11801, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11803 = bits(_T_11802, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11804 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_11805 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11806 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11807 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11808 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11809 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11810 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11811 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11812 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11813 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11814 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11815 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11816 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11817 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11818 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11819 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11820 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11821 = add(_T_11805, _T_11806) @[exu_mul_ctl.scala 137:112] + node _T_11822 = add(_T_11821, _T_11807) @[exu_mul_ctl.scala 137:112] + node _T_11823 = add(_T_11822, _T_11808) @[exu_mul_ctl.scala 137:112] + node _T_11824 = add(_T_11823, _T_11809) @[exu_mul_ctl.scala 137:112] + node _T_11825 = add(_T_11824, _T_11810) @[exu_mul_ctl.scala 137:112] + node _T_11826 = add(_T_11825, _T_11811) @[exu_mul_ctl.scala 137:112] + node _T_11827 = add(_T_11826, _T_11812) @[exu_mul_ctl.scala 137:112] + node _T_11828 = add(_T_11827, _T_11813) @[exu_mul_ctl.scala 137:112] + node _T_11829 = add(_T_11828, _T_11814) @[exu_mul_ctl.scala 137:112] + node _T_11830 = add(_T_11829, _T_11815) @[exu_mul_ctl.scala 137:112] + node _T_11831 = add(_T_11830, _T_11816) @[exu_mul_ctl.scala 137:112] + node _T_11832 = add(_T_11831, _T_11817) @[exu_mul_ctl.scala 137:112] + node _T_11833 = add(_T_11832, _T_11818) @[exu_mul_ctl.scala 137:112] + node _T_11834 = add(_T_11833, _T_11819) @[exu_mul_ctl.scala 137:112] + node _T_11835 = add(_T_11834, _T_11820) @[exu_mul_ctl.scala 137:112] + node _T_11836 = eq(_T_11835, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11837 = bits(_T_11836, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11838 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_11839 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11840 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11841 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11842 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11843 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11844 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11845 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11846 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11847 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11848 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11849 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11850 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11851 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11852 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11853 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11854 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11855 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11856 = add(_T_11839, _T_11840) @[exu_mul_ctl.scala 137:112] + node _T_11857 = add(_T_11856, _T_11841) @[exu_mul_ctl.scala 137:112] + node _T_11858 = add(_T_11857, _T_11842) @[exu_mul_ctl.scala 137:112] + node _T_11859 = add(_T_11858, _T_11843) @[exu_mul_ctl.scala 137:112] + node _T_11860 = add(_T_11859, _T_11844) @[exu_mul_ctl.scala 137:112] + node _T_11861 = add(_T_11860, _T_11845) @[exu_mul_ctl.scala 137:112] + node _T_11862 = add(_T_11861, _T_11846) @[exu_mul_ctl.scala 137:112] + node _T_11863 = add(_T_11862, _T_11847) @[exu_mul_ctl.scala 137:112] + node _T_11864 = add(_T_11863, _T_11848) @[exu_mul_ctl.scala 137:112] + node _T_11865 = add(_T_11864, _T_11849) @[exu_mul_ctl.scala 137:112] + node _T_11866 = add(_T_11865, _T_11850) @[exu_mul_ctl.scala 137:112] + node _T_11867 = add(_T_11866, _T_11851) @[exu_mul_ctl.scala 137:112] + node _T_11868 = add(_T_11867, _T_11852) @[exu_mul_ctl.scala 137:112] + node _T_11869 = add(_T_11868, _T_11853) @[exu_mul_ctl.scala 137:112] + node _T_11870 = add(_T_11869, _T_11854) @[exu_mul_ctl.scala 137:112] + node _T_11871 = add(_T_11870, _T_11855) @[exu_mul_ctl.scala 137:112] + node _T_11872 = eq(_T_11871, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11873 = bits(_T_11872, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11874 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_11875 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11876 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11877 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11878 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11879 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11880 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11881 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11882 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11883 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11884 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11885 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11886 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11887 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11888 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11889 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11890 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11891 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11892 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11893 = add(_T_11875, _T_11876) @[exu_mul_ctl.scala 137:112] + node _T_11894 = add(_T_11893, _T_11877) @[exu_mul_ctl.scala 137:112] + node _T_11895 = add(_T_11894, _T_11878) @[exu_mul_ctl.scala 137:112] + node _T_11896 = add(_T_11895, _T_11879) @[exu_mul_ctl.scala 137:112] + node _T_11897 = add(_T_11896, _T_11880) @[exu_mul_ctl.scala 137:112] + node _T_11898 = add(_T_11897, _T_11881) @[exu_mul_ctl.scala 137:112] + node _T_11899 = add(_T_11898, _T_11882) @[exu_mul_ctl.scala 137:112] + node _T_11900 = add(_T_11899, _T_11883) @[exu_mul_ctl.scala 137:112] + node _T_11901 = add(_T_11900, _T_11884) @[exu_mul_ctl.scala 137:112] + node _T_11902 = add(_T_11901, _T_11885) @[exu_mul_ctl.scala 137:112] + node _T_11903 = add(_T_11902, _T_11886) @[exu_mul_ctl.scala 137:112] + node _T_11904 = add(_T_11903, _T_11887) @[exu_mul_ctl.scala 137:112] + node _T_11905 = add(_T_11904, _T_11888) @[exu_mul_ctl.scala 137:112] + node _T_11906 = add(_T_11905, _T_11889) @[exu_mul_ctl.scala 137:112] + node _T_11907 = add(_T_11906, _T_11890) @[exu_mul_ctl.scala 137:112] + node _T_11908 = add(_T_11907, _T_11891) @[exu_mul_ctl.scala 137:112] + node _T_11909 = add(_T_11908, _T_11892) @[exu_mul_ctl.scala 137:112] + node _T_11910 = eq(_T_11909, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11911 = bits(_T_11910, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11912 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_11913 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11914 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11915 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11916 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11917 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11918 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11919 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11920 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11921 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11922 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11923 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11924 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11925 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11926 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11927 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11928 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11929 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11930 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11931 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11932 = add(_T_11913, _T_11914) @[exu_mul_ctl.scala 137:112] + node _T_11933 = add(_T_11932, _T_11915) @[exu_mul_ctl.scala 137:112] + node _T_11934 = add(_T_11933, _T_11916) @[exu_mul_ctl.scala 137:112] + node _T_11935 = add(_T_11934, _T_11917) @[exu_mul_ctl.scala 137:112] + node _T_11936 = add(_T_11935, _T_11918) @[exu_mul_ctl.scala 137:112] + node _T_11937 = add(_T_11936, _T_11919) @[exu_mul_ctl.scala 137:112] + node _T_11938 = add(_T_11937, _T_11920) @[exu_mul_ctl.scala 137:112] + node _T_11939 = add(_T_11938, _T_11921) @[exu_mul_ctl.scala 137:112] + node _T_11940 = add(_T_11939, _T_11922) @[exu_mul_ctl.scala 137:112] + node _T_11941 = add(_T_11940, _T_11923) @[exu_mul_ctl.scala 137:112] + node _T_11942 = add(_T_11941, _T_11924) @[exu_mul_ctl.scala 137:112] + node _T_11943 = add(_T_11942, _T_11925) @[exu_mul_ctl.scala 137:112] + node _T_11944 = add(_T_11943, _T_11926) @[exu_mul_ctl.scala 137:112] + node _T_11945 = add(_T_11944, _T_11927) @[exu_mul_ctl.scala 137:112] + node _T_11946 = add(_T_11945, _T_11928) @[exu_mul_ctl.scala 137:112] + node _T_11947 = add(_T_11946, _T_11929) @[exu_mul_ctl.scala 137:112] + node _T_11948 = add(_T_11947, _T_11930) @[exu_mul_ctl.scala 137:112] + node _T_11949 = add(_T_11948, _T_11931) @[exu_mul_ctl.scala 137:112] + node _T_11950 = eq(_T_11949, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11951 = bits(_T_11950, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11952 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_11953 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11954 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11955 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11956 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11957 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_11958 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_11959 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_11960 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_11961 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_11962 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_11963 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_11964 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_11965 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_11966 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_11967 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_11968 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_11969 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_11970 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_11971 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_11972 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_11973 = add(_T_11953, _T_11954) @[exu_mul_ctl.scala 137:112] + node _T_11974 = add(_T_11973, _T_11955) @[exu_mul_ctl.scala 137:112] + node _T_11975 = add(_T_11974, _T_11956) @[exu_mul_ctl.scala 137:112] + node _T_11976 = add(_T_11975, _T_11957) @[exu_mul_ctl.scala 137:112] + node _T_11977 = add(_T_11976, _T_11958) @[exu_mul_ctl.scala 137:112] + node _T_11978 = add(_T_11977, _T_11959) @[exu_mul_ctl.scala 137:112] + node _T_11979 = add(_T_11978, _T_11960) @[exu_mul_ctl.scala 137:112] + node _T_11980 = add(_T_11979, _T_11961) @[exu_mul_ctl.scala 137:112] + node _T_11981 = add(_T_11980, _T_11962) @[exu_mul_ctl.scala 137:112] + node _T_11982 = add(_T_11981, _T_11963) @[exu_mul_ctl.scala 137:112] + node _T_11983 = add(_T_11982, _T_11964) @[exu_mul_ctl.scala 137:112] + node _T_11984 = add(_T_11983, _T_11965) @[exu_mul_ctl.scala 137:112] + node _T_11985 = add(_T_11984, _T_11966) @[exu_mul_ctl.scala 137:112] + node _T_11986 = add(_T_11985, _T_11967) @[exu_mul_ctl.scala 137:112] + node _T_11987 = add(_T_11986, _T_11968) @[exu_mul_ctl.scala 137:112] + node _T_11988 = add(_T_11987, _T_11969) @[exu_mul_ctl.scala 137:112] + node _T_11989 = add(_T_11988, _T_11970) @[exu_mul_ctl.scala 137:112] + node _T_11990 = add(_T_11989, _T_11971) @[exu_mul_ctl.scala 137:112] + node _T_11991 = add(_T_11990, _T_11972) @[exu_mul_ctl.scala 137:112] + node _T_11992 = eq(_T_11991, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_11993 = bits(_T_11992, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_11994 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_11995 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_11996 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_11997 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_11998 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_11999 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12000 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12001 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12002 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12003 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12004 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12005 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12006 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12007 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12008 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12009 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12010 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12011 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12012 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12013 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12014 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12015 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12016 = add(_T_11995, _T_11996) @[exu_mul_ctl.scala 137:112] + node _T_12017 = add(_T_12016, _T_11997) @[exu_mul_ctl.scala 137:112] + node _T_12018 = add(_T_12017, _T_11998) @[exu_mul_ctl.scala 137:112] + node _T_12019 = add(_T_12018, _T_11999) @[exu_mul_ctl.scala 137:112] + node _T_12020 = add(_T_12019, _T_12000) @[exu_mul_ctl.scala 137:112] + node _T_12021 = add(_T_12020, _T_12001) @[exu_mul_ctl.scala 137:112] + node _T_12022 = add(_T_12021, _T_12002) @[exu_mul_ctl.scala 137:112] + node _T_12023 = add(_T_12022, _T_12003) @[exu_mul_ctl.scala 137:112] + node _T_12024 = add(_T_12023, _T_12004) @[exu_mul_ctl.scala 137:112] + node _T_12025 = add(_T_12024, _T_12005) @[exu_mul_ctl.scala 137:112] + node _T_12026 = add(_T_12025, _T_12006) @[exu_mul_ctl.scala 137:112] + node _T_12027 = add(_T_12026, _T_12007) @[exu_mul_ctl.scala 137:112] + node _T_12028 = add(_T_12027, _T_12008) @[exu_mul_ctl.scala 137:112] + node _T_12029 = add(_T_12028, _T_12009) @[exu_mul_ctl.scala 137:112] + node _T_12030 = add(_T_12029, _T_12010) @[exu_mul_ctl.scala 137:112] + node _T_12031 = add(_T_12030, _T_12011) @[exu_mul_ctl.scala 137:112] + node _T_12032 = add(_T_12031, _T_12012) @[exu_mul_ctl.scala 137:112] + node _T_12033 = add(_T_12032, _T_12013) @[exu_mul_ctl.scala 137:112] + node _T_12034 = add(_T_12033, _T_12014) @[exu_mul_ctl.scala 137:112] + node _T_12035 = add(_T_12034, _T_12015) @[exu_mul_ctl.scala 137:112] + node _T_12036 = eq(_T_12035, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12037 = bits(_T_12036, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12038 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_12039 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12040 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12041 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12042 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12043 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12044 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12045 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12046 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12047 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12048 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12049 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12050 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12051 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12052 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12053 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12054 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12055 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12056 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12057 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12058 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12059 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12060 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12061 = add(_T_12039, _T_12040) @[exu_mul_ctl.scala 137:112] + node _T_12062 = add(_T_12061, _T_12041) @[exu_mul_ctl.scala 137:112] + node _T_12063 = add(_T_12062, _T_12042) @[exu_mul_ctl.scala 137:112] + node _T_12064 = add(_T_12063, _T_12043) @[exu_mul_ctl.scala 137:112] + node _T_12065 = add(_T_12064, _T_12044) @[exu_mul_ctl.scala 137:112] + node _T_12066 = add(_T_12065, _T_12045) @[exu_mul_ctl.scala 137:112] + node _T_12067 = add(_T_12066, _T_12046) @[exu_mul_ctl.scala 137:112] + node _T_12068 = add(_T_12067, _T_12047) @[exu_mul_ctl.scala 137:112] + node _T_12069 = add(_T_12068, _T_12048) @[exu_mul_ctl.scala 137:112] + node _T_12070 = add(_T_12069, _T_12049) @[exu_mul_ctl.scala 137:112] + node _T_12071 = add(_T_12070, _T_12050) @[exu_mul_ctl.scala 137:112] + node _T_12072 = add(_T_12071, _T_12051) @[exu_mul_ctl.scala 137:112] + node _T_12073 = add(_T_12072, _T_12052) @[exu_mul_ctl.scala 137:112] + node _T_12074 = add(_T_12073, _T_12053) @[exu_mul_ctl.scala 137:112] + node _T_12075 = add(_T_12074, _T_12054) @[exu_mul_ctl.scala 137:112] + node _T_12076 = add(_T_12075, _T_12055) @[exu_mul_ctl.scala 137:112] + node _T_12077 = add(_T_12076, _T_12056) @[exu_mul_ctl.scala 137:112] + node _T_12078 = add(_T_12077, _T_12057) @[exu_mul_ctl.scala 137:112] + node _T_12079 = add(_T_12078, _T_12058) @[exu_mul_ctl.scala 137:112] + node _T_12080 = add(_T_12079, _T_12059) @[exu_mul_ctl.scala 137:112] + node _T_12081 = add(_T_12080, _T_12060) @[exu_mul_ctl.scala 137:112] + node _T_12082 = eq(_T_12081, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12083 = bits(_T_12082, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12084 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_12085 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12086 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12087 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12088 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12089 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12090 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12091 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12092 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12093 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12094 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12095 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12096 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12097 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12098 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12099 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12100 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12101 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12102 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12103 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12104 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12105 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12106 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12107 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12108 = add(_T_12085, _T_12086) @[exu_mul_ctl.scala 137:112] + node _T_12109 = add(_T_12108, _T_12087) @[exu_mul_ctl.scala 137:112] + node _T_12110 = add(_T_12109, _T_12088) @[exu_mul_ctl.scala 137:112] + node _T_12111 = add(_T_12110, _T_12089) @[exu_mul_ctl.scala 137:112] + node _T_12112 = add(_T_12111, _T_12090) @[exu_mul_ctl.scala 137:112] + node _T_12113 = add(_T_12112, _T_12091) @[exu_mul_ctl.scala 137:112] + node _T_12114 = add(_T_12113, _T_12092) @[exu_mul_ctl.scala 137:112] + node _T_12115 = add(_T_12114, _T_12093) @[exu_mul_ctl.scala 137:112] + node _T_12116 = add(_T_12115, _T_12094) @[exu_mul_ctl.scala 137:112] + node _T_12117 = add(_T_12116, _T_12095) @[exu_mul_ctl.scala 137:112] + node _T_12118 = add(_T_12117, _T_12096) @[exu_mul_ctl.scala 137:112] + node _T_12119 = add(_T_12118, _T_12097) @[exu_mul_ctl.scala 137:112] + node _T_12120 = add(_T_12119, _T_12098) @[exu_mul_ctl.scala 137:112] + node _T_12121 = add(_T_12120, _T_12099) @[exu_mul_ctl.scala 137:112] + node _T_12122 = add(_T_12121, _T_12100) @[exu_mul_ctl.scala 137:112] + node _T_12123 = add(_T_12122, _T_12101) @[exu_mul_ctl.scala 137:112] + node _T_12124 = add(_T_12123, _T_12102) @[exu_mul_ctl.scala 137:112] + node _T_12125 = add(_T_12124, _T_12103) @[exu_mul_ctl.scala 137:112] + node _T_12126 = add(_T_12125, _T_12104) @[exu_mul_ctl.scala 137:112] + node _T_12127 = add(_T_12126, _T_12105) @[exu_mul_ctl.scala 137:112] + node _T_12128 = add(_T_12127, _T_12106) @[exu_mul_ctl.scala 137:112] + node _T_12129 = add(_T_12128, _T_12107) @[exu_mul_ctl.scala 137:112] + node _T_12130 = eq(_T_12129, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12131 = bits(_T_12130, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12132 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_12133 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12134 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12135 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12136 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12137 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12138 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12139 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12140 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12141 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12142 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12143 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12144 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12145 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12146 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12147 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12148 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12149 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12150 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12151 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12152 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12153 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12154 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12155 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12156 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12157 = add(_T_12133, _T_12134) @[exu_mul_ctl.scala 137:112] + node _T_12158 = add(_T_12157, _T_12135) @[exu_mul_ctl.scala 137:112] + node _T_12159 = add(_T_12158, _T_12136) @[exu_mul_ctl.scala 137:112] + node _T_12160 = add(_T_12159, _T_12137) @[exu_mul_ctl.scala 137:112] + node _T_12161 = add(_T_12160, _T_12138) @[exu_mul_ctl.scala 137:112] + node _T_12162 = add(_T_12161, _T_12139) @[exu_mul_ctl.scala 137:112] + node _T_12163 = add(_T_12162, _T_12140) @[exu_mul_ctl.scala 137:112] + node _T_12164 = add(_T_12163, _T_12141) @[exu_mul_ctl.scala 137:112] + node _T_12165 = add(_T_12164, _T_12142) @[exu_mul_ctl.scala 137:112] + node _T_12166 = add(_T_12165, _T_12143) @[exu_mul_ctl.scala 137:112] + node _T_12167 = add(_T_12166, _T_12144) @[exu_mul_ctl.scala 137:112] + node _T_12168 = add(_T_12167, _T_12145) @[exu_mul_ctl.scala 137:112] + node _T_12169 = add(_T_12168, _T_12146) @[exu_mul_ctl.scala 137:112] + node _T_12170 = add(_T_12169, _T_12147) @[exu_mul_ctl.scala 137:112] + node _T_12171 = add(_T_12170, _T_12148) @[exu_mul_ctl.scala 137:112] + node _T_12172 = add(_T_12171, _T_12149) @[exu_mul_ctl.scala 137:112] + node _T_12173 = add(_T_12172, _T_12150) @[exu_mul_ctl.scala 137:112] + node _T_12174 = add(_T_12173, _T_12151) @[exu_mul_ctl.scala 137:112] + node _T_12175 = add(_T_12174, _T_12152) @[exu_mul_ctl.scala 137:112] + node _T_12176 = add(_T_12175, _T_12153) @[exu_mul_ctl.scala 137:112] + node _T_12177 = add(_T_12176, _T_12154) @[exu_mul_ctl.scala 137:112] + node _T_12178 = add(_T_12177, _T_12155) @[exu_mul_ctl.scala 137:112] + node _T_12179 = add(_T_12178, _T_12156) @[exu_mul_ctl.scala 137:112] + node _T_12180 = eq(_T_12179, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12181 = bits(_T_12180, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12182 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_12183 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12184 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12185 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12186 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12187 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12188 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12189 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12190 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12191 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12192 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12193 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12194 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12195 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12196 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12197 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12198 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12199 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12200 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12201 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12202 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12203 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12204 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12205 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12206 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12207 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12208 = add(_T_12183, _T_12184) @[exu_mul_ctl.scala 137:112] + node _T_12209 = add(_T_12208, _T_12185) @[exu_mul_ctl.scala 137:112] + node _T_12210 = add(_T_12209, _T_12186) @[exu_mul_ctl.scala 137:112] + node _T_12211 = add(_T_12210, _T_12187) @[exu_mul_ctl.scala 137:112] + node _T_12212 = add(_T_12211, _T_12188) @[exu_mul_ctl.scala 137:112] + node _T_12213 = add(_T_12212, _T_12189) @[exu_mul_ctl.scala 137:112] + node _T_12214 = add(_T_12213, _T_12190) @[exu_mul_ctl.scala 137:112] + node _T_12215 = add(_T_12214, _T_12191) @[exu_mul_ctl.scala 137:112] + node _T_12216 = add(_T_12215, _T_12192) @[exu_mul_ctl.scala 137:112] + node _T_12217 = add(_T_12216, _T_12193) @[exu_mul_ctl.scala 137:112] + node _T_12218 = add(_T_12217, _T_12194) @[exu_mul_ctl.scala 137:112] + node _T_12219 = add(_T_12218, _T_12195) @[exu_mul_ctl.scala 137:112] + node _T_12220 = add(_T_12219, _T_12196) @[exu_mul_ctl.scala 137:112] + node _T_12221 = add(_T_12220, _T_12197) @[exu_mul_ctl.scala 137:112] + node _T_12222 = add(_T_12221, _T_12198) @[exu_mul_ctl.scala 137:112] + node _T_12223 = add(_T_12222, _T_12199) @[exu_mul_ctl.scala 137:112] + node _T_12224 = add(_T_12223, _T_12200) @[exu_mul_ctl.scala 137:112] + node _T_12225 = add(_T_12224, _T_12201) @[exu_mul_ctl.scala 137:112] + node _T_12226 = add(_T_12225, _T_12202) @[exu_mul_ctl.scala 137:112] + node _T_12227 = add(_T_12226, _T_12203) @[exu_mul_ctl.scala 137:112] + node _T_12228 = add(_T_12227, _T_12204) @[exu_mul_ctl.scala 137:112] + node _T_12229 = add(_T_12228, _T_12205) @[exu_mul_ctl.scala 137:112] + node _T_12230 = add(_T_12229, _T_12206) @[exu_mul_ctl.scala 137:112] + node _T_12231 = add(_T_12230, _T_12207) @[exu_mul_ctl.scala 137:112] + node _T_12232 = eq(_T_12231, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12233 = bits(_T_12232, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12234 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_12235 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12236 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12237 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12238 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12239 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12240 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12241 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12242 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12243 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12244 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12245 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12246 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12247 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12248 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12249 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12250 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12251 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12252 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12253 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12254 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12255 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12256 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12257 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12258 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12259 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12260 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12261 = add(_T_12235, _T_12236) @[exu_mul_ctl.scala 137:112] + node _T_12262 = add(_T_12261, _T_12237) @[exu_mul_ctl.scala 137:112] + node _T_12263 = add(_T_12262, _T_12238) @[exu_mul_ctl.scala 137:112] + node _T_12264 = add(_T_12263, _T_12239) @[exu_mul_ctl.scala 137:112] + node _T_12265 = add(_T_12264, _T_12240) @[exu_mul_ctl.scala 137:112] + node _T_12266 = add(_T_12265, _T_12241) @[exu_mul_ctl.scala 137:112] + node _T_12267 = add(_T_12266, _T_12242) @[exu_mul_ctl.scala 137:112] + node _T_12268 = add(_T_12267, _T_12243) @[exu_mul_ctl.scala 137:112] + node _T_12269 = add(_T_12268, _T_12244) @[exu_mul_ctl.scala 137:112] + node _T_12270 = add(_T_12269, _T_12245) @[exu_mul_ctl.scala 137:112] + node _T_12271 = add(_T_12270, _T_12246) @[exu_mul_ctl.scala 137:112] + node _T_12272 = add(_T_12271, _T_12247) @[exu_mul_ctl.scala 137:112] + node _T_12273 = add(_T_12272, _T_12248) @[exu_mul_ctl.scala 137:112] + node _T_12274 = add(_T_12273, _T_12249) @[exu_mul_ctl.scala 137:112] + node _T_12275 = add(_T_12274, _T_12250) @[exu_mul_ctl.scala 137:112] + node _T_12276 = add(_T_12275, _T_12251) @[exu_mul_ctl.scala 137:112] + node _T_12277 = add(_T_12276, _T_12252) @[exu_mul_ctl.scala 137:112] + node _T_12278 = add(_T_12277, _T_12253) @[exu_mul_ctl.scala 137:112] + node _T_12279 = add(_T_12278, _T_12254) @[exu_mul_ctl.scala 137:112] + node _T_12280 = add(_T_12279, _T_12255) @[exu_mul_ctl.scala 137:112] + node _T_12281 = add(_T_12280, _T_12256) @[exu_mul_ctl.scala 137:112] + node _T_12282 = add(_T_12281, _T_12257) @[exu_mul_ctl.scala 137:112] + node _T_12283 = add(_T_12282, _T_12258) @[exu_mul_ctl.scala 137:112] + node _T_12284 = add(_T_12283, _T_12259) @[exu_mul_ctl.scala 137:112] + node _T_12285 = add(_T_12284, _T_12260) @[exu_mul_ctl.scala 137:112] + node _T_12286 = eq(_T_12285, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12287 = bits(_T_12286, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12288 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_12289 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12290 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12291 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12292 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12293 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12294 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12295 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12296 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12297 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12298 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12299 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12300 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12301 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12302 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12303 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12304 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12305 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12306 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12307 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12308 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12309 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12310 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12311 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12312 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12313 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12314 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12315 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12316 = add(_T_12289, _T_12290) @[exu_mul_ctl.scala 137:112] + node _T_12317 = add(_T_12316, _T_12291) @[exu_mul_ctl.scala 137:112] + node _T_12318 = add(_T_12317, _T_12292) @[exu_mul_ctl.scala 137:112] + node _T_12319 = add(_T_12318, _T_12293) @[exu_mul_ctl.scala 137:112] + node _T_12320 = add(_T_12319, _T_12294) @[exu_mul_ctl.scala 137:112] + node _T_12321 = add(_T_12320, _T_12295) @[exu_mul_ctl.scala 137:112] + node _T_12322 = add(_T_12321, _T_12296) @[exu_mul_ctl.scala 137:112] + node _T_12323 = add(_T_12322, _T_12297) @[exu_mul_ctl.scala 137:112] + node _T_12324 = add(_T_12323, _T_12298) @[exu_mul_ctl.scala 137:112] + node _T_12325 = add(_T_12324, _T_12299) @[exu_mul_ctl.scala 137:112] + node _T_12326 = add(_T_12325, _T_12300) @[exu_mul_ctl.scala 137:112] + node _T_12327 = add(_T_12326, _T_12301) @[exu_mul_ctl.scala 137:112] + node _T_12328 = add(_T_12327, _T_12302) @[exu_mul_ctl.scala 137:112] + node _T_12329 = add(_T_12328, _T_12303) @[exu_mul_ctl.scala 137:112] + node _T_12330 = add(_T_12329, _T_12304) @[exu_mul_ctl.scala 137:112] + node _T_12331 = add(_T_12330, _T_12305) @[exu_mul_ctl.scala 137:112] + node _T_12332 = add(_T_12331, _T_12306) @[exu_mul_ctl.scala 137:112] + node _T_12333 = add(_T_12332, _T_12307) @[exu_mul_ctl.scala 137:112] + node _T_12334 = add(_T_12333, _T_12308) @[exu_mul_ctl.scala 137:112] + node _T_12335 = add(_T_12334, _T_12309) @[exu_mul_ctl.scala 137:112] + node _T_12336 = add(_T_12335, _T_12310) @[exu_mul_ctl.scala 137:112] + node _T_12337 = add(_T_12336, _T_12311) @[exu_mul_ctl.scala 137:112] + node _T_12338 = add(_T_12337, _T_12312) @[exu_mul_ctl.scala 137:112] + node _T_12339 = add(_T_12338, _T_12313) @[exu_mul_ctl.scala 137:112] + node _T_12340 = add(_T_12339, _T_12314) @[exu_mul_ctl.scala 137:112] + node _T_12341 = add(_T_12340, _T_12315) @[exu_mul_ctl.scala 137:112] + node _T_12342 = eq(_T_12341, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12343 = bits(_T_12342, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12344 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_12345 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12346 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12347 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12348 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12349 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12350 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12351 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12352 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12353 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12354 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12355 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12356 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12357 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12358 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12359 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12360 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12361 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12362 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12363 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12364 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12365 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12366 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12367 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12368 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12369 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12370 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12371 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12372 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_12373 = add(_T_12345, _T_12346) @[exu_mul_ctl.scala 137:112] + node _T_12374 = add(_T_12373, _T_12347) @[exu_mul_ctl.scala 137:112] + node _T_12375 = add(_T_12374, _T_12348) @[exu_mul_ctl.scala 137:112] + node _T_12376 = add(_T_12375, _T_12349) @[exu_mul_ctl.scala 137:112] + node _T_12377 = add(_T_12376, _T_12350) @[exu_mul_ctl.scala 137:112] + node _T_12378 = add(_T_12377, _T_12351) @[exu_mul_ctl.scala 137:112] + node _T_12379 = add(_T_12378, _T_12352) @[exu_mul_ctl.scala 137:112] + node _T_12380 = add(_T_12379, _T_12353) @[exu_mul_ctl.scala 137:112] + node _T_12381 = add(_T_12380, _T_12354) @[exu_mul_ctl.scala 137:112] + node _T_12382 = add(_T_12381, _T_12355) @[exu_mul_ctl.scala 137:112] + node _T_12383 = add(_T_12382, _T_12356) @[exu_mul_ctl.scala 137:112] + node _T_12384 = add(_T_12383, _T_12357) @[exu_mul_ctl.scala 137:112] + node _T_12385 = add(_T_12384, _T_12358) @[exu_mul_ctl.scala 137:112] + node _T_12386 = add(_T_12385, _T_12359) @[exu_mul_ctl.scala 137:112] + node _T_12387 = add(_T_12386, _T_12360) @[exu_mul_ctl.scala 137:112] + node _T_12388 = add(_T_12387, _T_12361) @[exu_mul_ctl.scala 137:112] + node _T_12389 = add(_T_12388, _T_12362) @[exu_mul_ctl.scala 137:112] + node _T_12390 = add(_T_12389, _T_12363) @[exu_mul_ctl.scala 137:112] + node _T_12391 = add(_T_12390, _T_12364) @[exu_mul_ctl.scala 137:112] + node _T_12392 = add(_T_12391, _T_12365) @[exu_mul_ctl.scala 137:112] + node _T_12393 = add(_T_12392, _T_12366) @[exu_mul_ctl.scala 137:112] + node _T_12394 = add(_T_12393, _T_12367) @[exu_mul_ctl.scala 137:112] + node _T_12395 = add(_T_12394, _T_12368) @[exu_mul_ctl.scala 137:112] + node _T_12396 = add(_T_12395, _T_12369) @[exu_mul_ctl.scala 137:112] + node _T_12397 = add(_T_12396, _T_12370) @[exu_mul_ctl.scala 137:112] + node _T_12398 = add(_T_12397, _T_12371) @[exu_mul_ctl.scala 137:112] + node _T_12399 = add(_T_12398, _T_12372) @[exu_mul_ctl.scala 137:112] + node _T_12400 = eq(_T_12399, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12401 = bits(_T_12400, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12402 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_12403 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12404 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12405 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12406 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12407 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12408 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12409 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12410 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12411 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12412 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12413 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12414 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12415 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12416 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12417 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12418 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12419 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12420 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12421 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12422 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12423 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12424 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12425 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12426 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12427 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12428 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12429 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12430 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_12431 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_12432 = add(_T_12403, _T_12404) @[exu_mul_ctl.scala 137:112] + node _T_12433 = add(_T_12432, _T_12405) @[exu_mul_ctl.scala 137:112] + node _T_12434 = add(_T_12433, _T_12406) @[exu_mul_ctl.scala 137:112] + node _T_12435 = add(_T_12434, _T_12407) @[exu_mul_ctl.scala 137:112] + node _T_12436 = add(_T_12435, _T_12408) @[exu_mul_ctl.scala 137:112] + node _T_12437 = add(_T_12436, _T_12409) @[exu_mul_ctl.scala 137:112] + node _T_12438 = add(_T_12437, _T_12410) @[exu_mul_ctl.scala 137:112] + node _T_12439 = add(_T_12438, _T_12411) @[exu_mul_ctl.scala 137:112] + node _T_12440 = add(_T_12439, _T_12412) @[exu_mul_ctl.scala 137:112] + node _T_12441 = add(_T_12440, _T_12413) @[exu_mul_ctl.scala 137:112] + node _T_12442 = add(_T_12441, _T_12414) @[exu_mul_ctl.scala 137:112] + node _T_12443 = add(_T_12442, _T_12415) @[exu_mul_ctl.scala 137:112] + node _T_12444 = add(_T_12443, _T_12416) @[exu_mul_ctl.scala 137:112] + node _T_12445 = add(_T_12444, _T_12417) @[exu_mul_ctl.scala 137:112] + node _T_12446 = add(_T_12445, _T_12418) @[exu_mul_ctl.scala 137:112] + node _T_12447 = add(_T_12446, _T_12419) @[exu_mul_ctl.scala 137:112] + node _T_12448 = add(_T_12447, _T_12420) @[exu_mul_ctl.scala 137:112] + node _T_12449 = add(_T_12448, _T_12421) @[exu_mul_ctl.scala 137:112] + node _T_12450 = add(_T_12449, _T_12422) @[exu_mul_ctl.scala 137:112] + node _T_12451 = add(_T_12450, _T_12423) @[exu_mul_ctl.scala 137:112] + node _T_12452 = add(_T_12451, _T_12424) @[exu_mul_ctl.scala 137:112] + node _T_12453 = add(_T_12452, _T_12425) @[exu_mul_ctl.scala 137:112] + node _T_12454 = add(_T_12453, _T_12426) @[exu_mul_ctl.scala 137:112] + node _T_12455 = add(_T_12454, _T_12427) @[exu_mul_ctl.scala 137:112] + node _T_12456 = add(_T_12455, _T_12428) @[exu_mul_ctl.scala 137:112] + node _T_12457 = add(_T_12456, _T_12429) @[exu_mul_ctl.scala 137:112] + node _T_12458 = add(_T_12457, _T_12430) @[exu_mul_ctl.scala 137:112] + node _T_12459 = add(_T_12458, _T_12431) @[exu_mul_ctl.scala 137:112] + node _T_12460 = eq(_T_12459, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12461 = bits(_T_12460, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12462 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_12463 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12464 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12465 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12466 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12467 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12468 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12469 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12470 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12471 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12472 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12473 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12474 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12475 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12476 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12477 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12478 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12479 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12480 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12481 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12482 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12483 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12484 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12485 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12486 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12487 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12488 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12489 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12490 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_12491 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_12492 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_12493 = add(_T_12463, _T_12464) @[exu_mul_ctl.scala 137:112] + node _T_12494 = add(_T_12493, _T_12465) @[exu_mul_ctl.scala 137:112] + node _T_12495 = add(_T_12494, _T_12466) @[exu_mul_ctl.scala 137:112] + node _T_12496 = add(_T_12495, _T_12467) @[exu_mul_ctl.scala 137:112] + node _T_12497 = add(_T_12496, _T_12468) @[exu_mul_ctl.scala 137:112] + node _T_12498 = add(_T_12497, _T_12469) @[exu_mul_ctl.scala 137:112] + node _T_12499 = add(_T_12498, _T_12470) @[exu_mul_ctl.scala 137:112] + node _T_12500 = add(_T_12499, _T_12471) @[exu_mul_ctl.scala 137:112] + node _T_12501 = add(_T_12500, _T_12472) @[exu_mul_ctl.scala 137:112] + node _T_12502 = add(_T_12501, _T_12473) @[exu_mul_ctl.scala 137:112] + node _T_12503 = add(_T_12502, _T_12474) @[exu_mul_ctl.scala 137:112] + node _T_12504 = add(_T_12503, _T_12475) @[exu_mul_ctl.scala 137:112] + node _T_12505 = add(_T_12504, _T_12476) @[exu_mul_ctl.scala 137:112] + node _T_12506 = add(_T_12505, _T_12477) @[exu_mul_ctl.scala 137:112] + node _T_12507 = add(_T_12506, _T_12478) @[exu_mul_ctl.scala 137:112] + node _T_12508 = add(_T_12507, _T_12479) @[exu_mul_ctl.scala 137:112] + node _T_12509 = add(_T_12508, _T_12480) @[exu_mul_ctl.scala 137:112] + node _T_12510 = add(_T_12509, _T_12481) @[exu_mul_ctl.scala 137:112] + node _T_12511 = add(_T_12510, _T_12482) @[exu_mul_ctl.scala 137:112] + node _T_12512 = add(_T_12511, _T_12483) @[exu_mul_ctl.scala 137:112] + node _T_12513 = add(_T_12512, _T_12484) @[exu_mul_ctl.scala 137:112] + node _T_12514 = add(_T_12513, _T_12485) @[exu_mul_ctl.scala 137:112] + node _T_12515 = add(_T_12514, _T_12486) @[exu_mul_ctl.scala 137:112] + node _T_12516 = add(_T_12515, _T_12487) @[exu_mul_ctl.scala 137:112] + node _T_12517 = add(_T_12516, _T_12488) @[exu_mul_ctl.scala 137:112] + node _T_12518 = add(_T_12517, _T_12489) @[exu_mul_ctl.scala 137:112] + node _T_12519 = add(_T_12518, _T_12490) @[exu_mul_ctl.scala 137:112] + node _T_12520 = add(_T_12519, _T_12491) @[exu_mul_ctl.scala 137:112] + node _T_12521 = add(_T_12520, _T_12492) @[exu_mul_ctl.scala 137:112] + node _T_12522 = eq(_T_12521, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12523 = bits(_T_12522, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12524 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_12525 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12526 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12527 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12528 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12529 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12530 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12531 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12532 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12533 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12534 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12535 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12536 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12537 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12538 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12539 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12540 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12541 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12542 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12543 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12544 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12545 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12546 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12547 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12548 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12549 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12550 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12551 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12552 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_12553 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_12554 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_12555 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_12556 = add(_T_12525, _T_12526) @[exu_mul_ctl.scala 137:112] + node _T_12557 = add(_T_12556, _T_12527) @[exu_mul_ctl.scala 137:112] + node _T_12558 = add(_T_12557, _T_12528) @[exu_mul_ctl.scala 137:112] + node _T_12559 = add(_T_12558, _T_12529) @[exu_mul_ctl.scala 137:112] + node _T_12560 = add(_T_12559, _T_12530) @[exu_mul_ctl.scala 137:112] + node _T_12561 = add(_T_12560, _T_12531) @[exu_mul_ctl.scala 137:112] + node _T_12562 = add(_T_12561, _T_12532) @[exu_mul_ctl.scala 137:112] + node _T_12563 = add(_T_12562, _T_12533) @[exu_mul_ctl.scala 137:112] + node _T_12564 = add(_T_12563, _T_12534) @[exu_mul_ctl.scala 137:112] + node _T_12565 = add(_T_12564, _T_12535) @[exu_mul_ctl.scala 137:112] + node _T_12566 = add(_T_12565, _T_12536) @[exu_mul_ctl.scala 137:112] + node _T_12567 = add(_T_12566, _T_12537) @[exu_mul_ctl.scala 137:112] + node _T_12568 = add(_T_12567, _T_12538) @[exu_mul_ctl.scala 137:112] + node _T_12569 = add(_T_12568, _T_12539) @[exu_mul_ctl.scala 137:112] + node _T_12570 = add(_T_12569, _T_12540) @[exu_mul_ctl.scala 137:112] + node _T_12571 = add(_T_12570, _T_12541) @[exu_mul_ctl.scala 137:112] + node _T_12572 = add(_T_12571, _T_12542) @[exu_mul_ctl.scala 137:112] + node _T_12573 = add(_T_12572, _T_12543) @[exu_mul_ctl.scala 137:112] + node _T_12574 = add(_T_12573, _T_12544) @[exu_mul_ctl.scala 137:112] + node _T_12575 = add(_T_12574, _T_12545) @[exu_mul_ctl.scala 137:112] + node _T_12576 = add(_T_12575, _T_12546) @[exu_mul_ctl.scala 137:112] + node _T_12577 = add(_T_12576, _T_12547) @[exu_mul_ctl.scala 137:112] + node _T_12578 = add(_T_12577, _T_12548) @[exu_mul_ctl.scala 137:112] + node _T_12579 = add(_T_12578, _T_12549) @[exu_mul_ctl.scala 137:112] + node _T_12580 = add(_T_12579, _T_12550) @[exu_mul_ctl.scala 137:112] + node _T_12581 = add(_T_12580, _T_12551) @[exu_mul_ctl.scala 137:112] + node _T_12582 = add(_T_12581, _T_12552) @[exu_mul_ctl.scala 137:112] + node _T_12583 = add(_T_12582, _T_12553) @[exu_mul_ctl.scala 137:112] + node _T_12584 = add(_T_12583, _T_12554) @[exu_mul_ctl.scala 137:112] + node _T_12585 = add(_T_12584, _T_12555) @[exu_mul_ctl.scala 137:112] + node _T_12586 = eq(_T_12585, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12587 = bits(_T_12586, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12588 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_12589 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12590 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12591 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12592 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12593 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12594 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12595 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12596 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12597 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12598 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12599 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12600 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12601 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12602 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12603 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12604 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12605 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_12606 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_12607 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_12608 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_12609 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_12610 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_12611 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_12612 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_12613 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_12614 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_12615 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_12616 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_12617 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_12618 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_12619 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_12620 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_12621 = add(_T_12589, _T_12590) @[exu_mul_ctl.scala 137:112] + node _T_12622 = add(_T_12621, _T_12591) @[exu_mul_ctl.scala 137:112] + node _T_12623 = add(_T_12622, _T_12592) @[exu_mul_ctl.scala 137:112] + node _T_12624 = add(_T_12623, _T_12593) @[exu_mul_ctl.scala 137:112] + node _T_12625 = add(_T_12624, _T_12594) @[exu_mul_ctl.scala 137:112] + node _T_12626 = add(_T_12625, _T_12595) @[exu_mul_ctl.scala 137:112] + node _T_12627 = add(_T_12626, _T_12596) @[exu_mul_ctl.scala 137:112] + node _T_12628 = add(_T_12627, _T_12597) @[exu_mul_ctl.scala 137:112] + node _T_12629 = add(_T_12628, _T_12598) @[exu_mul_ctl.scala 137:112] + node _T_12630 = add(_T_12629, _T_12599) @[exu_mul_ctl.scala 137:112] + node _T_12631 = add(_T_12630, _T_12600) @[exu_mul_ctl.scala 137:112] + node _T_12632 = add(_T_12631, _T_12601) @[exu_mul_ctl.scala 137:112] + node _T_12633 = add(_T_12632, _T_12602) @[exu_mul_ctl.scala 137:112] + node _T_12634 = add(_T_12633, _T_12603) @[exu_mul_ctl.scala 137:112] + node _T_12635 = add(_T_12634, _T_12604) @[exu_mul_ctl.scala 137:112] + node _T_12636 = add(_T_12635, _T_12605) @[exu_mul_ctl.scala 137:112] + node _T_12637 = add(_T_12636, _T_12606) @[exu_mul_ctl.scala 137:112] + node _T_12638 = add(_T_12637, _T_12607) @[exu_mul_ctl.scala 137:112] + node _T_12639 = add(_T_12638, _T_12608) @[exu_mul_ctl.scala 137:112] + node _T_12640 = add(_T_12639, _T_12609) @[exu_mul_ctl.scala 137:112] + node _T_12641 = add(_T_12640, _T_12610) @[exu_mul_ctl.scala 137:112] + node _T_12642 = add(_T_12641, _T_12611) @[exu_mul_ctl.scala 137:112] + node _T_12643 = add(_T_12642, _T_12612) @[exu_mul_ctl.scala 137:112] + node _T_12644 = add(_T_12643, _T_12613) @[exu_mul_ctl.scala 137:112] + node _T_12645 = add(_T_12644, _T_12614) @[exu_mul_ctl.scala 137:112] + node _T_12646 = add(_T_12645, _T_12615) @[exu_mul_ctl.scala 137:112] + node _T_12647 = add(_T_12646, _T_12616) @[exu_mul_ctl.scala 137:112] + node _T_12648 = add(_T_12647, _T_12617) @[exu_mul_ctl.scala 137:112] + node _T_12649 = add(_T_12648, _T_12618) @[exu_mul_ctl.scala 137:112] + node _T_12650 = add(_T_12649, _T_12619) @[exu_mul_ctl.scala 137:112] + node _T_12651 = add(_T_12650, _T_12620) @[exu_mul_ctl.scala 137:112] + node _T_12652 = eq(_T_12651, UInt<4>("h0b")) @[exu_mul_ctl.scala 138:87] + node _T_12653 = bits(_T_12652, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12654 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_12655 = mux(_T_12653, _T_12654, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_12656 = mux(_T_12587, _T_12588, _T_12655) @[Mux.scala 98:16] + node _T_12657 = mux(_T_12523, _T_12524, _T_12656) @[Mux.scala 98:16] + node _T_12658 = mux(_T_12461, _T_12462, _T_12657) @[Mux.scala 98:16] + node _T_12659 = mux(_T_12401, _T_12402, _T_12658) @[Mux.scala 98:16] + node _T_12660 = mux(_T_12343, _T_12344, _T_12659) @[Mux.scala 98:16] + node _T_12661 = mux(_T_12287, _T_12288, _T_12660) @[Mux.scala 98:16] + node _T_12662 = mux(_T_12233, _T_12234, _T_12661) @[Mux.scala 98:16] + node _T_12663 = mux(_T_12181, _T_12182, _T_12662) @[Mux.scala 98:16] + node _T_12664 = mux(_T_12131, _T_12132, _T_12663) @[Mux.scala 98:16] + node _T_12665 = mux(_T_12083, _T_12084, _T_12664) @[Mux.scala 98:16] + node _T_12666 = mux(_T_12037, _T_12038, _T_12665) @[Mux.scala 98:16] + node _T_12667 = mux(_T_11993, _T_11994, _T_12666) @[Mux.scala 98:16] + node _T_12668 = mux(_T_11951, _T_11952, _T_12667) @[Mux.scala 98:16] + node _T_12669 = mux(_T_11911, _T_11912, _T_12668) @[Mux.scala 98:16] + node _T_12670 = mux(_T_11873, _T_11874, _T_12669) @[Mux.scala 98:16] + node _T_12671 = mux(_T_11837, _T_11838, _T_12670) @[Mux.scala 98:16] + node _T_12672 = mux(_T_11803, _T_11804, _T_12671) @[Mux.scala 98:16] + node _T_12673 = mux(_T_11771, _T_11772, _T_12672) @[Mux.scala 98:16] + node _T_12674 = mux(_T_11741, _T_11742, _T_12673) @[Mux.scala 98:16] + node _T_12675 = mux(_T_11713, _T_11714, _T_12674) @[Mux.scala 98:16] + node _T_12676 = mux(_T_11687, _T_11688, _T_12675) @[Mux.scala 98:16] + node _T_12677 = mux(_T_11663, _T_11664, _T_12676) @[Mux.scala 98:16] + node _T_12678 = mux(_T_11641, _T_11642, _T_12677) @[Mux.scala 98:16] + node _T_12679 = mux(_T_11621, _T_11622, _T_12678) @[Mux.scala 98:16] + node _T_12680 = mux(_T_11603, _T_11604, _T_12679) @[Mux.scala 98:16] + node _T_12681 = mux(_T_11587, _T_11588, _T_12680) @[Mux.scala 98:16] + node _T_12682 = mux(_T_11573, _T_11574, _T_12681) @[Mux.scala 98:16] + node _T_12683 = mux(_T_11561, _T_11562, _T_12682) @[Mux.scala 98:16] + node _T_12684 = mux(_T_11551, _T_11552, _T_12683) @[Mux.scala 98:16] + node _T_12685 = mux(_T_11543, _T_11544, _T_12684) @[Mux.scala 98:16] + node _T_12686 = mux(_T_11537, _T_11538, _T_12685) @[Mux.scala 98:16] + node _T_12687 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_12688 = eq(_T_12687, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12689 = bits(_T_12688, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12690 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_12691 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12692 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12693 = add(_T_12691, _T_12692) @[exu_mul_ctl.scala 137:112] + node _T_12694 = eq(_T_12693, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12695 = bits(_T_12694, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12696 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_12697 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12698 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12699 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12700 = add(_T_12697, _T_12698) @[exu_mul_ctl.scala 137:112] + node _T_12701 = add(_T_12700, _T_12699) @[exu_mul_ctl.scala 137:112] + node _T_12702 = eq(_T_12701, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12703 = bits(_T_12702, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12704 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_12705 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12706 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12707 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12708 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12709 = add(_T_12705, _T_12706) @[exu_mul_ctl.scala 137:112] + node _T_12710 = add(_T_12709, _T_12707) @[exu_mul_ctl.scala 137:112] + node _T_12711 = add(_T_12710, _T_12708) @[exu_mul_ctl.scala 137:112] + node _T_12712 = eq(_T_12711, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12713 = bits(_T_12712, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12714 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_12715 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12716 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12717 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12718 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12719 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12720 = add(_T_12715, _T_12716) @[exu_mul_ctl.scala 137:112] + node _T_12721 = add(_T_12720, _T_12717) @[exu_mul_ctl.scala 137:112] + node _T_12722 = add(_T_12721, _T_12718) @[exu_mul_ctl.scala 137:112] + node _T_12723 = add(_T_12722, _T_12719) @[exu_mul_ctl.scala 137:112] + node _T_12724 = eq(_T_12723, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12725 = bits(_T_12724, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12726 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_12727 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12728 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12729 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12730 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12731 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12732 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12733 = add(_T_12727, _T_12728) @[exu_mul_ctl.scala 137:112] + node _T_12734 = add(_T_12733, _T_12729) @[exu_mul_ctl.scala 137:112] + node _T_12735 = add(_T_12734, _T_12730) @[exu_mul_ctl.scala 137:112] + node _T_12736 = add(_T_12735, _T_12731) @[exu_mul_ctl.scala 137:112] + node _T_12737 = add(_T_12736, _T_12732) @[exu_mul_ctl.scala 137:112] + node _T_12738 = eq(_T_12737, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12739 = bits(_T_12738, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12740 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_12741 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12742 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12743 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12744 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12745 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12746 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12747 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12748 = add(_T_12741, _T_12742) @[exu_mul_ctl.scala 137:112] + node _T_12749 = add(_T_12748, _T_12743) @[exu_mul_ctl.scala 137:112] + node _T_12750 = add(_T_12749, _T_12744) @[exu_mul_ctl.scala 137:112] + node _T_12751 = add(_T_12750, _T_12745) @[exu_mul_ctl.scala 137:112] + node _T_12752 = add(_T_12751, _T_12746) @[exu_mul_ctl.scala 137:112] + node _T_12753 = add(_T_12752, _T_12747) @[exu_mul_ctl.scala 137:112] + node _T_12754 = eq(_T_12753, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12755 = bits(_T_12754, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12756 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_12757 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12758 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12759 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12760 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12761 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12762 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12763 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12764 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12765 = add(_T_12757, _T_12758) @[exu_mul_ctl.scala 137:112] + node _T_12766 = add(_T_12765, _T_12759) @[exu_mul_ctl.scala 137:112] + node _T_12767 = add(_T_12766, _T_12760) @[exu_mul_ctl.scala 137:112] + node _T_12768 = add(_T_12767, _T_12761) @[exu_mul_ctl.scala 137:112] + node _T_12769 = add(_T_12768, _T_12762) @[exu_mul_ctl.scala 137:112] + node _T_12770 = add(_T_12769, _T_12763) @[exu_mul_ctl.scala 137:112] + node _T_12771 = add(_T_12770, _T_12764) @[exu_mul_ctl.scala 137:112] + node _T_12772 = eq(_T_12771, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12773 = bits(_T_12772, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12774 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_12775 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12776 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12777 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12778 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12779 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12780 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12781 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12782 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12783 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12784 = add(_T_12775, _T_12776) @[exu_mul_ctl.scala 137:112] + node _T_12785 = add(_T_12784, _T_12777) @[exu_mul_ctl.scala 137:112] + node _T_12786 = add(_T_12785, _T_12778) @[exu_mul_ctl.scala 137:112] + node _T_12787 = add(_T_12786, _T_12779) @[exu_mul_ctl.scala 137:112] + node _T_12788 = add(_T_12787, _T_12780) @[exu_mul_ctl.scala 137:112] + node _T_12789 = add(_T_12788, _T_12781) @[exu_mul_ctl.scala 137:112] + node _T_12790 = add(_T_12789, _T_12782) @[exu_mul_ctl.scala 137:112] + node _T_12791 = add(_T_12790, _T_12783) @[exu_mul_ctl.scala 137:112] + node _T_12792 = eq(_T_12791, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12793 = bits(_T_12792, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12794 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_12795 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12796 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12797 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12798 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12799 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12800 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12801 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12802 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12803 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12804 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12805 = add(_T_12795, _T_12796) @[exu_mul_ctl.scala 137:112] + node _T_12806 = add(_T_12805, _T_12797) @[exu_mul_ctl.scala 137:112] + node _T_12807 = add(_T_12806, _T_12798) @[exu_mul_ctl.scala 137:112] + node _T_12808 = add(_T_12807, _T_12799) @[exu_mul_ctl.scala 137:112] + node _T_12809 = add(_T_12808, _T_12800) @[exu_mul_ctl.scala 137:112] + node _T_12810 = add(_T_12809, _T_12801) @[exu_mul_ctl.scala 137:112] + node _T_12811 = add(_T_12810, _T_12802) @[exu_mul_ctl.scala 137:112] + node _T_12812 = add(_T_12811, _T_12803) @[exu_mul_ctl.scala 137:112] + node _T_12813 = add(_T_12812, _T_12804) @[exu_mul_ctl.scala 137:112] + node _T_12814 = eq(_T_12813, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12815 = bits(_T_12814, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12816 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_12817 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12818 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12819 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12820 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12821 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12822 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12823 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12824 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12825 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12826 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12827 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12828 = add(_T_12817, _T_12818) @[exu_mul_ctl.scala 137:112] + node _T_12829 = add(_T_12828, _T_12819) @[exu_mul_ctl.scala 137:112] + node _T_12830 = add(_T_12829, _T_12820) @[exu_mul_ctl.scala 137:112] + node _T_12831 = add(_T_12830, _T_12821) @[exu_mul_ctl.scala 137:112] + node _T_12832 = add(_T_12831, _T_12822) @[exu_mul_ctl.scala 137:112] + node _T_12833 = add(_T_12832, _T_12823) @[exu_mul_ctl.scala 137:112] + node _T_12834 = add(_T_12833, _T_12824) @[exu_mul_ctl.scala 137:112] + node _T_12835 = add(_T_12834, _T_12825) @[exu_mul_ctl.scala 137:112] + node _T_12836 = add(_T_12835, _T_12826) @[exu_mul_ctl.scala 137:112] + node _T_12837 = add(_T_12836, _T_12827) @[exu_mul_ctl.scala 137:112] + node _T_12838 = eq(_T_12837, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12839 = bits(_T_12838, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12840 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_12841 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12842 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12843 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12844 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12845 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12846 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12847 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12848 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12849 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12850 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12851 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12852 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12853 = add(_T_12841, _T_12842) @[exu_mul_ctl.scala 137:112] + node _T_12854 = add(_T_12853, _T_12843) @[exu_mul_ctl.scala 137:112] + node _T_12855 = add(_T_12854, _T_12844) @[exu_mul_ctl.scala 137:112] + node _T_12856 = add(_T_12855, _T_12845) @[exu_mul_ctl.scala 137:112] + node _T_12857 = add(_T_12856, _T_12846) @[exu_mul_ctl.scala 137:112] + node _T_12858 = add(_T_12857, _T_12847) @[exu_mul_ctl.scala 137:112] + node _T_12859 = add(_T_12858, _T_12848) @[exu_mul_ctl.scala 137:112] + node _T_12860 = add(_T_12859, _T_12849) @[exu_mul_ctl.scala 137:112] + node _T_12861 = add(_T_12860, _T_12850) @[exu_mul_ctl.scala 137:112] + node _T_12862 = add(_T_12861, _T_12851) @[exu_mul_ctl.scala 137:112] + node _T_12863 = add(_T_12862, _T_12852) @[exu_mul_ctl.scala 137:112] + node _T_12864 = eq(_T_12863, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12865 = bits(_T_12864, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12866 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_12867 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12868 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12869 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12870 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12871 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12872 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12873 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12874 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12875 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12876 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12877 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12878 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12879 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12880 = add(_T_12867, _T_12868) @[exu_mul_ctl.scala 137:112] + node _T_12881 = add(_T_12880, _T_12869) @[exu_mul_ctl.scala 137:112] + node _T_12882 = add(_T_12881, _T_12870) @[exu_mul_ctl.scala 137:112] + node _T_12883 = add(_T_12882, _T_12871) @[exu_mul_ctl.scala 137:112] + node _T_12884 = add(_T_12883, _T_12872) @[exu_mul_ctl.scala 137:112] + node _T_12885 = add(_T_12884, _T_12873) @[exu_mul_ctl.scala 137:112] + node _T_12886 = add(_T_12885, _T_12874) @[exu_mul_ctl.scala 137:112] + node _T_12887 = add(_T_12886, _T_12875) @[exu_mul_ctl.scala 137:112] + node _T_12888 = add(_T_12887, _T_12876) @[exu_mul_ctl.scala 137:112] + node _T_12889 = add(_T_12888, _T_12877) @[exu_mul_ctl.scala 137:112] + node _T_12890 = add(_T_12889, _T_12878) @[exu_mul_ctl.scala 137:112] + node _T_12891 = add(_T_12890, _T_12879) @[exu_mul_ctl.scala 137:112] + node _T_12892 = eq(_T_12891, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12893 = bits(_T_12892, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12894 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_12895 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12896 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12897 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12898 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12899 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12900 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12901 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12902 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12903 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12904 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12905 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12906 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12907 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12908 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12909 = add(_T_12895, _T_12896) @[exu_mul_ctl.scala 137:112] + node _T_12910 = add(_T_12909, _T_12897) @[exu_mul_ctl.scala 137:112] + node _T_12911 = add(_T_12910, _T_12898) @[exu_mul_ctl.scala 137:112] + node _T_12912 = add(_T_12911, _T_12899) @[exu_mul_ctl.scala 137:112] + node _T_12913 = add(_T_12912, _T_12900) @[exu_mul_ctl.scala 137:112] + node _T_12914 = add(_T_12913, _T_12901) @[exu_mul_ctl.scala 137:112] + node _T_12915 = add(_T_12914, _T_12902) @[exu_mul_ctl.scala 137:112] + node _T_12916 = add(_T_12915, _T_12903) @[exu_mul_ctl.scala 137:112] + node _T_12917 = add(_T_12916, _T_12904) @[exu_mul_ctl.scala 137:112] + node _T_12918 = add(_T_12917, _T_12905) @[exu_mul_ctl.scala 137:112] + node _T_12919 = add(_T_12918, _T_12906) @[exu_mul_ctl.scala 137:112] + node _T_12920 = add(_T_12919, _T_12907) @[exu_mul_ctl.scala 137:112] + node _T_12921 = add(_T_12920, _T_12908) @[exu_mul_ctl.scala 137:112] + node _T_12922 = eq(_T_12921, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12923 = bits(_T_12922, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12924 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_12925 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12926 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12927 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12928 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12929 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12930 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12931 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12932 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12933 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12934 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12935 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12936 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12937 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12938 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12939 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12940 = add(_T_12925, _T_12926) @[exu_mul_ctl.scala 137:112] + node _T_12941 = add(_T_12940, _T_12927) @[exu_mul_ctl.scala 137:112] + node _T_12942 = add(_T_12941, _T_12928) @[exu_mul_ctl.scala 137:112] + node _T_12943 = add(_T_12942, _T_12929) @[exu_mul_ctl.scala 137:112] + node _T_12944 = add(_T_12943, _T_12930) @[exu_mul_ctl.scala 137:112] + node _T_12945 = add(_T_12944, _T_12931) @[exu_mul_ctl.scala 137:112] + node _T_12946 = add(_T_12945, _T_12932) @[exu_mul_ctl.scala 137:112] + node _T_12947 = add(_T_12946, _T_12933) @[exu_mul_ctl.scala 137:112] + node _T_12948 = add(_T_12947, _T_12934) @[exu_mul_ctl.scala 137:112] + node _T_12949 = add(_T_12948, _T_12935) @[exu_mul_ctl.scala 137:112] + node _T_12950 = add(_T_12949, _T_12936) @[exu_mul_ctl.scala 137:112] + node _T_12951 = add(_T_12950, _T_12937) @[exu_mul_ctl.scala 137:112] + node _T_12952 = add(_T_12951, _T_12938) @[exu_mul_ctl.scala 137:112] + node _T_12953 = add(_T_12952, _T_12939) @[exu_mul_ctl.scala 137:112] + node _T_12954 = eq(_T_12953, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12955 = bits(_T_12954, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12956 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_12957 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12958 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12959 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12960 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12961 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12962 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12963 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12964 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12965 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_12966 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_12967 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_12968 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_12969 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_12970 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_12971 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_12972 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_12973 = add(_T_12957, _T_12958) @[exu_mul_ctl.scala 137:112] + node _T_12974 = add(_T_12973, _T_12959) @[exu_mul_ctl.scala 137:112] + node _T_12975 = add(_T_12974, _T_12960) @[exu_mul_ctl.scala 137:112] + node _T_12976 = add(_T_12975, _T_12961) @[exu_mul_ctl.scala 137:112] + node _T_12977 = add(_T_12976, _T_12962) @[exu_mul_ctl.scala 137:112] + node _T_12978 = add(_T_12977, _T_12963) @[exu_mul_ctl.scala 137:112] + node _T_12979 = add(_T_12978, _T_12964) @[exu_mul_ctl.scala 137:112] + node _T_12980 = add(_T_12979, _T_12965) @[exu_mul_ctl.scala 137:112] + node _T_12981 = add(_T_12980, _T_12966) @[exu_mul_ctl.scala 137:112] + node _T_12982 = add(_T_12981, _T_12967) @[exu_mul_ctl.scala 137:112] + node _T_12983 = add(_T_12982, _T_12968) @[exu_mul_ctl.scala 137:112] + node _T_12984 = add(_T_12983, _T_12969) @[exu_mul_ctl.scala 137:112] + node _T_12985 = add(_T_12984, _T_12970) @[exu_mul_ctl.scala 137:112] + node _T_12986 = add(_T_12985, _T_12971) @[exu_mul_ctl.scala 137:112] + node _T_12987 = add(_T_12986, _T_12972) @[exu_mul_ctl.scala 137:112] + node _T_12988 = eq(_T_12987, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_12989 = bits(_T_12988, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_12990 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_12991 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_12992 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_12993 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_12994 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_12995 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_12996 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_12997 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_12998 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_12999 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13000 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13001 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13002 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13003 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13004 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13005 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13006 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13007 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13008 = add(_T_12991, _T_12992) @[exu_mul_ctl.scala 137:112] + node _T_13009 = add(_T_13008, _T_12993) @[exu_mul_ctl.scala 137:112] + node _T_13010 = add(_T_13009, _T_12994) @[exu_mul_ctl.scala 137:112] + node _T_13011 = add(_T_13010, _T_12995) @[exu_mul_ctl.scala 137:112] + node _T_13012 = add(_T_13011, _T_12996) @[exu_mul_ctl.scala 137:112] + node _T_13013 = add(_T_13012, _T_12997) @[exu_mul_ctl.scala 137:112] + node _T_13014 = add(_T_13013, _T_12998) @[exu_mul_ctl.scala 137:112] + node _T_13015 = add(_T_13014, _T_12999) @[exu_mul_ctl.scala 137:112] + node _T_13016 = add(_T_13015, _T_13000) @[exu_mul_ctl.scala 137:112] + node _T_13017 = add(_T_13016, _T_13001) @[exu_mul_ctl.scala 137:112] + node _T_13018 = add(_T_13017, _T_13002) @[exu_mul_ctl.scala 137:112] + node _T_13019 = add(_T_13018, _T_13003) @[exu_mul_ctl.scala 137:112] + node _T_13020 = add(_T_13019, _T_13004) @[exu_mul_ctl.scala 137:112] + node _T_13021 = add(_T_13020, _T_13005) @[exu_mul_ctl.scala 137:112] + node _T_13022 = add(_T_13021, _T_13006) @[exu_mul_ctl.scala 137:112] + node _T_13023 = add(_T_13022, _T_13007) @[exu_mul_ctl.scala 137:112] + node _T_13024 = eq(_T_13023, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13025 = bits(_T_13024, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13026 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_13027 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13028 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13029 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13030 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13031 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13032 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13033 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13034 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13035 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13036 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13037 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13038 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13039 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13040 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13041 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13042 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13043 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13044 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13045 = add(_T_13027, _T_13028) @[exu_mul_ctl.scala 137:112] + node _T_13046 = add(_T_13045, _T_13029) @[exu_mul_ctl.scala 137:112] + node _T_13047 = add(_T_13046, _T_13030) @[exu_mul_ctl.scala 137:112] + node _T_13048 = add(_T_13047, _T_13031) @[exu_mul_ctl.scala 137:112] + node _T_13049 = add(_T_13048, _T_13032) @[exu_mul_ctl.scala 137:112] + node _T_13050 = add(_T_13049, _T_13033) @[exu_mul_ctl.scala 137:112] + node _T_13051 = add(_T_13050, _T_13034) @[exu_mul_ctl.scala 137:112] + node _T_13052 = add(_T_13051, _T_13035) @[exu_mul_ctl.scala 137:112] + node _T_13053 = add(_T_13052, _T_13036) @[exu_mul_ctl.scala 137:112] + node _T_13054 = add(_T_13053, _T_13037) @[exu_mul_ctl.scala 137:112] + node _T_13055 = add(_T_13054, _T_13038) @[exu_mul_ctl.scala 137:112] + node _T_13056 = add(_T_13055, _T_13039) @[exu_mul_ctl.scala 137:112] + node _T_13057 = add(_T_13056, _T_13040) @[exu_mul_ctl.scala 137:112] + node _T_13058 = add(_T_13057, _T_13041) @[exu_mul_ctl.scala 137:112] + node _T_13059 = add(_T_13058, _T_13042) @[exu_mul_ctl.scala 137:112] + node _T_13060 = add(_T_13059, _T_13043) @[exu_mul_ctl.scala 137:112] + node _T_13061 = add(_T_13060, _T_13044) @[exu_mul_ctl.scala 137:112] + node _T_13062 = eq(_T_13061, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13063 = bits(_T_13062, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13064 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_13065 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13066 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13067 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13068 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13069 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13070 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13071 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13072 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13073 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13074 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13075 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13076 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13077 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13078 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13079 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13080 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13081 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13082 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13083 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13084 = add(_T_13065, _T_13066) @[exu_mul_ctl.scala 137:112] + node _T_13085 = add(_T_13084, _T_13067) @[exu_mul_ctl.scala 137:112] + node _T_13086 = add(_T_13085, _T_13068) @[exu_mul_ctl.scala 137:112] + node _T_13087 = add(_T_13086, _T_13069) @[exu_mul_ctl.scala 137:112] + node _T_13088 = add(_T_13087, _T_13070) @[exu_mul_ctl.scala 137:112] + node _T_13089 = add(_T_13088, _T_13071) @[exu_mul_ctl.scala 137:112] + node _T_13090 = add(_T_13089, _T_13072) @[exu_mul_ctl.scala 137:112] + node _T_13091 = add(_T_13090, _T_13073) @[exu_mul_ctl.scala 137:112] + node _T_13092 = add(_T_13091, _T_13074) @[exu_mul_ctl.scala 137:112] + node _T_13093 = add(_T_13092, _T_13075) @[exu_mul_ctl.scala 137:112] + node _T_13094 = add(_T_13093, _T_13076) @[exu_mul_ctl.scala 137:112] + node _T_13095 = add(_T_13094, _T_13077) @[exu_mul_ctl.scala 137:112] + node _T_13096 = add(_T_13095, _T_13078) @[exu_mul_ctl.scala 137:112] + node _T_13097 = add(_T_13096, _T_13079) @[exu_mul_ctl.scala 137:112] + node _T_13098 = add(_T_13097, _T_13080) @[exu_mul_ctl.scala 137:112] + node _T_13099 = add(_T_13098, _T_13081) @[exu_mul_ctl.scala 137:112] + node _T_13100 = add(_T_13099, _T_13082) @[exu_mul_ctl.scala 137:112] + node _T_13101 = add(_T_13100, _T_13083) @[exu_mul_ctl.scala 137:112] + node _T_13102 = eq(_T_13101, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13103 = bits(_T_13102, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13104 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_13105 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13106 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13107 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13108 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13109 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13110 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13111 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13112 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13113 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13114 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13115 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13116 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13117 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13118 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13119 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13120 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13121 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13122 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13123 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13124 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13125 = add(_T_13105, _T_13106) @[exu_mul_ctl.scala 137:112] + node _T_13126 = add(_T_13125, _T_13107) @[exu_mul_ctl.scala 137:112] + node _T_13127 = add(_T_13126, _T_13108) @[exu_mul_ctl.scala 137:112] + node _T_13128 = add(_T_13127, _T_13109) @[exu_mul_ctl.scala 137:112] + node _T_13129 = add(_T_13128, _T_13110) @[exu_mul_ctl.scala 137:112] + node _T_13130 = add(_T_13129, _T_13111) @[exu_mul_ctl.scala 137:112] + node _T_13131 = add(_T_13130, _T_13112) @[exu_mul_ctl.scala 137:112] + node _T_13132 = add(_T_13131, _T_13113) @[exu_mul_ctl.scala 137:112] + node _T_13133 = add(_T_13132, _T_13114) @[exu_mul_ctl.scala 137:112] + node _T_13134 = add(_T_13133, _T_13115) @[exu_mul_ctl.scala 137:112] + node _T_13135 = add(_T_13134, _T_13116) @[exu_mul_ctl.scala 137:112] + node _T_13136 = add(_T_13135, _T_13117) @[exu_mul_ctl.scala 137:112] + node _T_13137 = add(_T_13136, _T_13118) @[exu_mul_ctl.scala 137:112] + node _T_13138 = add(_T_13137, _T_13119) @[exu_mul_ctl.scala 137:112] + node _T_13139 = add(_T_13138, _T_13120) @[exu_mul_ctl.scala 137:112] + node _T_13140 = add(_T_13139, _T_13121) @[exu_mul_ctl.scala 137:112] + node _T_13141 = add(_T_13140, _T_13122) @[exu_mul_ctl.scala 137:112] + node _T_13142 = add(_T_13141, _T_13123) @[exu_mul_ctl.scala 137:112] + node _T_13143 = add(_T_13142, _T_13124) @[exu_mul_ctl.scala 137:112] + node _T_13144 = eq(_T_13143, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13145 = bits(_T_13144, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13146 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_13147 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13148 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13149 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13150 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13151 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13152 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13153 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13154 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13155 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13156 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13157 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13158 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13159 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13160 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13161 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13162 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13163 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13164 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13165 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13166 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13167 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13168 = add(_T_13147, _T_13148) @[exu_mul_ctl.scala 137:112] + node _T_13169 = add(_T_13168, _T_13149) @[exu_mul_ctl.scala 137:112] + node _T_13170 = add(_T_13169, _T_13150) @[exu_mul_ctl.scala 137:112] + node _T_13171 = add(_T_13170, _T_13151) @[exu_mul_ctl.scala 137:112] + node _T_13172 = add(_T_13171, _T_13152) @[exu_mul_ctl.scala 137:112] + node _T_13173 = add(_T_13172, _T_13153) @[exu_mul_ctl.scala 137:112] + node _T_13174 = add(_T_13173, _T_13154) @[exu_mul_ctl.scala 137:112] + node _T_13175 = add(_T_13174, _T_13155) @[exu_mul_ctl.scala 137:112] + node _T_13176 = add(_T_13175, _T_13156) @[exu_mul_ctl.scala 137:112] + node _T_13177 = add(_T_13176, _T_13157) @[exu_mul_ctl.scala 137:112] + node _T_13178 = add(_T_13177, _T_13158) @[exu_mul_ctl.scala 137:112] + node _T_13179 = add(_T_13178, _T_13159) @[exu_mul_ctl.scala 137:112] + node _T_13180 = add(_T_13179, _T_13160) @[exu_mul_ctl.scala 137:112] + node _T_13181 = add(_T_13180, _T_13161) @[exu_mul_ctl.scala 137:112] + node _T_13182 = add(_T_13181, _T_13162) @[exu_mul_ctl.scala 137:112] + node _T_13183 = add(_T_13182, _T_13163) @[exu_mul_ctl.scala 137:112] + node _T_13184 = add(_T_13183, _T_13164) @[exu_mul_ctl.scala 137:112] + node _T_13185 = add(_T_13184, _T_13165) @[exu_mul_ctl.scala 137:112] + node _T_13186 = add(_T_13185, _T_13166) @[exu_mul_ctl.scala 137:112] + node _T_13187 = add(_T_13186, _T_13167) @[exu_mul_ctl.scala 137:112] + node _T_13188 = eq(_T_13187, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13189 = bits(_T_13188, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13190 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_13191 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13192 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13193 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13194 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13195 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13196 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13197 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13198 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13199 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13200 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13201 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13202 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13203 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13204 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13205 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13206 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13207 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13208 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13209 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13210 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13211 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13212 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13213 = add(_T_13191, _T_13192) @[exu_mul_ctl.scala 137:112] + node _T_13214 = add(_T_13213, _T_13193) @[exu_mul_ctl.scala 137:112] + node _T_13215 = add(_T_13214, _T_13194) @[exu_mul_ctl.scala 137:112] + node _T_13216 = add(_T_13215, _T_13195) @[exu_mul_ctl.scala 137:112] + node _T_13217 = add(_T_13216, _T_13196) @[exu_mul_ctl.scala 137:112] + node _T_13218 = add(_T_13217, _T_13197) @[exu_mul_ctl.scala 137:112] + node _T_13219 = add(_T_13218, _T_13198) @[exu_mul_ctl.scala 137:112] + node _T_13220 = add(_T_13219, _T_13199) @[exu_mul_ctl.scala 137:112] + node _T_13221 = add(_T_13220, _T_13200) @[exu_mul_ctl.scala 137:112] + node _T_13222 = add(_T_13221, _T_13201) @[exu_mul_ctl.scala 137:112] + node _T_13223 = add(_T_13222, _T_13202) @[exu_mul_ctl.scala 137:112] + node _T_13224 = add(_T_13223, _T_13203) @[exu_mul_ctl.scala 137:112] + node _T_13225 = add(_T_13224, _T_13204) @[exu_mul_ctl.scala 137:112] + node _T_13226 = add(_T_13225, _T_13205) @[exu_mul_ctl.scala 137:112] + node _T_13227 = add(_T_13226, _T_13206) @[exu_mul_ctl.scala 137:112] + node _T_13228 = add(_T_13227, _T_13207) @[exu_mul_ctl.scala 137:112] + node _T_13229 = add(_T_13228, _T_13208) @[exu_mul_ctl.scala 137:112] + node _T_13230 = add(_T_13229, _T_13209) @[exu_mul_ctl.scala 137:112] + node _T_13231 = add(_T_13230, _T_13210) @[exu_mul_ctl.scala 137:112] + node _T_13232 = add(_T_13231, _T_13211) @[exu_mul_ctl.scala 137:112] + node _T_13233 = add(_T_13232, _T_13212) @[exu_mul_ctl.scala 137:112] + node _T_13234 = eq(_T_13233, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13235 = bits(_T_13234, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13236 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_13237 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13238 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13239 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13240 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13241 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13242 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13243 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13244 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13245 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13246 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13247 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13248 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13249 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13250 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13251 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13252 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13253 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13254 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13255 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13256 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13257 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13258 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13259 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13260 = add(_T_13237, _T_13238) @[exu_mul_ctl.scala 137:112] + node _T_13261 = add(_T_13260, _T_13239) @[exu_mul_ctl.scala 137:112] + node _T_13262 = add(_T_13261, _T_13240) @[exu_mul_ctl.scala 137:112] + node _T_13263 = add(_T_13262, _T_13241) @[exu_mul_ctl.scala 137:112] + node _T_13264 = add(_T_13263, _T_13242) @[exu_mul_ctl.scala 137:112] + node _T_13265 = add(_T_13264, _T_13243) @[exu_mul_ctl.scala 137:112] + node _T_13266 = add(_T_13265, _T_13244) @[exu_mul_ctl.scala 137:112] + node _T_13267 = add(_T_13266, _T_13245) @[exu_mul_ctl.scala 137:112] + node _T_13268 = add(_T_13267, _T_13246) @[exu_mul_ctl.scala 137:112] + node _T_13269 = add(_T_13268, _T_13247) @[exu_mul_ctl.scala 137:112] + node _T_13270 = add(_T_13269, _T_13248) @[exu_mul_ctl.scala 137:112] + node _T_13271 = add(_T_13270, _T_13249) @[exu_mul_ctl.scala 137:112] + node _T_13272 = add(_T_13271, _T_13250) @[exu_mul_ctl.scala 137:112] + node _T_13273 = add(_T_13272, _T_13251) @[exu_mul_ctl.scala 137:112] + node _T_13274 = add(_T_13273, _T_13252) @[exu_mul_ctl.scala 137:112] + node _T_13275 = add(_T_13274, _T_13253) @[exu_mul_ctl.scala 137:112] + node _T_13276 = add(_T_13275, _T_13254) @[exu_mul_ctl.scala 137:112] + node _T_13277 = add(_T_13276, _T_13255) @[exu_mul_ctl.scala 137:112] + node _T_13278 = add(_T_13277, _T_13256) @[exu_mul_ctl.scala 137:112] + node _T_13279 = add(_T_13278, _T_13257) @[exu_mul_ctl.scala 137:112] + node _T_13280 = add(_T_13279, _T_13258) @[exu_mul_ctl.scala 137:112] + node _T_13281 = add(_T_13280, _T_13259) @[exu_mul_ctl.scala 137:112] + node _T_13282 = eq(_T_13281, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13283 = bits(_T_13282, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13284 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_13285 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13286 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13287 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13288 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13289 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13290 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13291 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13292 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13293 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13294 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13295 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13296 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13297 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13298 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13299 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13300 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13301 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13302 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13303 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13304 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13305 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13306 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13307 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13308 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13309 = add(_T_13285, _T_13286) @[exu_mul_ctl.scala 137:112] + node _T_13310 = add(_T_13309, _T_13287) @[exu_mul_ctl.scala 137:112] + node _T_13311 = add(_T_13310, _T_13288) @[exu_mul_ctl.scala 137:112] + node _T_13312 = add(_T_13311, _T_13289) @[exu_mul_ctl.scala 137:112] + node _T_13313 = add(_T_13312, _T_13290) @[exu_mul_ctl.scala 137:112] + node _T_13314 = add(_T_13313, _T_13291) @[exu_mul_ctl.scala 137:112] + node _T_13315 = add(_T_13314, _T_13292) @[exu_mul_ctl.scala 137:112] + node _T_13316 = add(_T_13315, _T_13293) @[exu_mul_ctl.scala 137:112] + node _T_13317 = add(_T_13316, _T_13294) @[exu_mul_ctl.scala 137:112] + node _T_13318 = add(_T_13317, _T_13295) @[exu_mul_ctl.scala 137:112] + node _T_13319 = add(_T_13318, _T_13296) @[exu_mul_ctl.scala 137:112] + node _T_13320 = add(_T_13319, _T_13297) @[exu_mul_ctl.scala 137:112] + node _T_13321 = add(_T_13320, _T_13298) @[exu_mul_ctl.scala 137:112] + node _T_13322 = add(_T_13321, _T_13299) @[exu_mul_ctl.scala 137:112] + node _T_13323 = add(_T_13322, _T_13300) @[exu_mul_ctl.scala 137:112] + node _T_13324 = add(_T_13323, _T_13301) @[exu_mul_ctl.scala 137:112] + node _T_13325 = add(_T_13324, _T_13302) @[exu_mul_ctl.scala 137:112] + node _T_13326 = add(_T_13325, _T_13303) @[exu_mul_ctl.scala 137:112] + node _T_13327 = add(_T_13326, _T_13304) @[exu_mul_ctl.scala 137:112] + node _T_13328 = add(_T_13327, _T_13305) @[exu_mul_ctl.scala 137:112] + node _T_13329 = add(_T_13328, _T_13306) @[exu_mul_ctl.scala 137:112] + node _T_13330 = add(_T_13329, _T_13307) @[exu_mul_ctl.scala 137:112] + node _T_13331 = add(_T_13330, _T_13308) @[exu_mul_ctl.scala 137:112] + node _T_13332 = eq(_T_13331, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13333 = bits(_T_13332, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13334 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_13335 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13336 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13337 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13338 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13339 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13340 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13341 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13342 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13343 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13344 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13345 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13346 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13347 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13348 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13349 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13350 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13351 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13352 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13353 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13354 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13355 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13356 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13357 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13358 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13359 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13360 = add(_T_13335, _T_13336) @[exu_mul_ctl.scala 137:112] + node _T_13361 = add(_T_13360, _T_13337) @[exu_mul_ctl.scala 137:112] + node _T_13362 = add(_T_13361, _T_13338) @[exu_mul_ctl.scala 137:112] + node _T_13363 = add(_T_13362, _T_13339) @[exu_mul_ctl.scala 137:112] + node _T_13364 = add(_T_13363, _T_13340) @[exu_mul_ctl.scala 137:112] + node _T_13365 = add(_T_13364, _T_13341) @[exu_mul_ctl.scala 137:112] + node _T_13366 = add(_T_13365, _T_13342) @[exu_mul_ctl.scala 137:112] + node _T_13367 = add(_T_13366, _T_13343) @[exu_mul_ctl.scala 137:112] + node _T_13368 = add(_T_13367, _T_13344) @[exu_mul_ctl.scala 137:112] + node _T_13369 = add(_T_13368, _T_13345) @[exu_mul_ctl.scala 137:112] + node _T_13370 = add(_T_13369, _T_13346) @[exu_mul_ctl.scala 137:112] + node _T_13371 = add(_T_13370, _T_13347) @[exu_mul_ctl.scala 137:112] + node _T_13372 = add(_T_13371, _T_13348) @[exu_mul_ctl.scala 137:112] + node _T_13373 = add(_T_13372, _T_13349) @[exu_mul_ctl.scala 137:112] + node _T_13374 = add(_T_13373, _T_13350) @[exu_mul_ctl.scala 137:112] + node _T_13375 = add(_T_13374, _T_13351) @[exu_mul_ctl.scala 137:112] + node _T_13376 = add(_T_13375, _T_13352) @[exu_mul_ctl.scala 137:112] + node _T_13377 = add(_T_13376, _T_13353) @[exu_mul_ctl.scala 137:112] + node _T_13378 = add(_T_13377, _T_13354) @[exu_mul_ctl.scala 137:112] + node _T_13379 = add(_T_13378, _T_13355) @[exu_mul_ctl.scala 137:112] + node _T_13380 = add(_T_13379, _T_13356) @[exu_mul_ctl.scala 137:112] + node _T_13381 = add(_T_13380, _T_13357) @[exu_mul_ctl.scala 137:112] + node _T_13382 = add(_T_13381, _T_13358) @[exu_mul_ctl.scala 137:112] + node _T_13383 = add(_T_13382, _T_13359) @[exu_mul_ctl.scala 137:112] + node _T_13384 = eq(_T_13383, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13385 = bits(_T_13384, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13386 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_13387 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13388 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13389 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13390 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13391 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13392 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13393 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13394 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13395 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13396 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13397 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13398 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13399 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13400 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13401 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13402 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13403 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13404 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13405 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13406 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13407 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13408 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13409 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13410 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13411 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13412 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13413 = add(_T_13387, _T_13388) @[exu_mul_ctl.scala 137:112] + node _T_13414 = add(_T_13413, _T_13389) @[exu_mul_ctl.scala 137:112] + node _T_13415 = add(_T_13414, _T_13390) @[exu_mul_ctl.scala 137:112] + node _T_13416 = add(_T_13415, _T_13391) @[exu_mul_ctl.scala 137:112] + node _T_13417 = add(_T_13416, _T_13392) @[exu_mul_ctl.scala 137:112] + node _T_13418 = add(_T_13417, _T_13393) @[exu_mul_ctl.scala 137:112] + node _T_13419 = add(_T_13418, _T_13394) @[exu_mul_ctl.scala 137:112] + node _T_13420 = add(_T_13419, _T_13395) @[exu_mul_ctl.scala 137:112] + node _T_13421 = add(_T_13420, _T_13396) @[exu_mul_ctl.scala 137:112] + node _T_13422 = add(_T_13421, _T_13397) @[exu_mul_ctl.scala 137:112] + node _T_13423 = add(_T_13422, _T_13398) @[exu_mul_ctl.scala 137:112] + node _T_13424 = add(_T_13423, _T_13399) @[exu_mul_ctl.scala 137:112] + node _T_13425 = add(_T_13424, _T_13400) @[exu_mul_ctl.scala 137:112] + node _T_13426 = add(_T_13425, _T_13401) @[exu_mul_ctl.scala 137:112] + node _T_13427 = add(_T_13426, _T_13402) @[exu_mul_ctl.scala 137:112] + node _T_13428 = add(_T_13427, _T_13403) @[exu_mul_ctl.scala 137:112] + node _T_13429 = add(_T_13428, _T_13404) @[exu_mul_ctl.scala 137:112] + node _T_13430 = add(_T_13429, _T_13405) @[exu_mul_ctl.scala 137:112] + node _T_13431 = add(_T_13430, _T_13406) @[exu_mul_ctl.scala 137:112] + node _T_13432 = add(_T_13431, _T_13407) @[exu_mul_ctl.scala 137:112] + node _T_13433 = add(_T_13432, _T_13408) @[exu_mul_ctl.scala 137:112] + node _T_13434 = add(_T_13433, _T_13409) @[exu_mul_ctl.scala 137:112] + node _T_13435 = add(_T_13434, _T_13410) @[exu_mul_ctl.scala 137:112] + node _T_13436 = add(_T_13435, _T_13411) @[exu_mul_ctl.scala 137:112] + node _T_13437 = add(_T_13436, _T_13412) @[exu_mul_ctl.scala 137:112] + node _T_13438 = eq(_T_13437, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13439 = bits(_T_13438, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13440 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_13441 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13442 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13443 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13444 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13445 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13446 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13447 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13448 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13449 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13450 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13451 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13452 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13453 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13454 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13455 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13456 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13457 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13458 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13459 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13460 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13461 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13462 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13463 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13464 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13465 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13466 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13467 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13468 = add(_T_13441, _T_13442) @[exu_mul_ctl.scala 137:112] + node _T_13469 = add(_T_13468, _T_13443) @[exu_mul_ctl.scala 137:112] + node _T_13470 = add(_T_13469, _T_13444) @[exu_mul_ctl.scala 137:112] + node _T_13471 = add(_T_13470, _T_13445) @[exu_mul_ctl.scala 137:112] + node _T_13472 = add(_T_13471, _T_13446) @[exu_mul_ctl.scala 137:112] + node _T_13473 = add(_T_13472, _T_13447) @[exu_mul_ctl.scala 137:112] + node _T_13474 = add(_T_13473, _T_13448) @[exu_mul_ctl.scala 137:112] + node _T_13475 = add(_T_13474, _T_13449) @[exu_mul_ctl.scala 137:112] + node _T_13476 = add(_T_13475, _T_13450) @[exu_mul_ctl.scala 137:112] + node _T_13477 = add(_T_13476, _T_13451) @[exu_mul_ctl.scala 137:112] + node _T_13478 = add(_T_13477, _T_13452) @[exu_mul_ctl.scala 137:112] + node _T_13479 = add(_T_13478, _T_13453) @[exu_mul_ctl.scala 137:112] + node _T_13480 = add(_T_13479, _T_13454) @[exu_mul_ctl.scala 137:112] + node _T_13481 = add(_T_13480, _T_13455) @[exu_mul_ctl.scala 137:112] + node _T_13482 = add(_T_13481, _T_13456) @[exu_mul_ctl.scala 137:112] + node _T_13483 = add(_T_13482, _T_13457) @[exu_mul_ctl.scala 137:112] + node _T_13484 = add(_T_13483, _T_13458) @[exu_mul_ctl.scala 137:112] + node _T_13485 = add(_T_13484, _T_13459) @[exu_mul_ctl.scala 137:112] + node _T_13486 = add(_T_13485, _T_13460) @[exu_mul_ctl.scala 137:112] + node _T_13487 = add(_T_13486, _T_13461) @[exu_mul_ctl.scala 137:112] + node _T_13488 = add(_T_13487, _T_13462) @[exu_mul_ctl.scala 137:112] + node _T_13489 = add(_T_13488, _T_13463) @[exu_mul_ctl.scala 137:112] + node _T_13490 = add(_T_13489, _T_13464) @[exu_mul_ctl.scala 137:112] + node _T_13491 = add(_T_13490, _T_13465) @[exu_mul_ctl.scala 137:112] + node _T_13492 = add(_T_13491, _T_13466) @[exu_mul_ctl.scala 137:112] + node _T_13493 = add(_T_13492, _T_13467) @[exu_mul_ctl.scala 137:112] + node _T_13494 = eq(_T_13493, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13495 = bits(_T_13494, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13496 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_13497 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13498 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13499 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13500 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13501 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13502 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13503 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13504 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13505 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13506 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13507 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13508 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13509 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13510 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13511 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13512 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13513 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13514 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13515 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13516 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13517 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13518 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13519 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13520 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13521 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13522 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13523 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13524 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_13525 = add(_T_13497, _T_13498) @[exu_mul_ctl.scala 137:112] + node _T_13526 = add(_T_13525, _T_13499) @[exu_mul_ctl.scala 137:112] + node _T_13527 = add(_T_13526, _T_13500) @[exu_mul_ctl.scala 137:112] + node _T_13528 = add(_T_13527, _T_13501) @[exu_mul_ctl.scala 137:112] + node _T_13529 = add(_T_13528, _T_13502) @[exu_mul_ctl.scala 137:112] + node _T_13530 = add(_T_13529, _T_13503) @[exu_mul_ctl.scala 137:112] + node _T_13531 = add(_T_13530, _T_13504) @[exu_mul_ctl.scala 137:112] + node _T_13532 = add(_T_13531, _T_13505) @[exu_mul_ctl.scala 137:112] + node _T_13533 = add(_T_13532, _T_13506) @[exu_mul_ctl.scala 137:112] + node _T_13534 = add(_T_13533, _T_13507) @[exu_mul_ctl.scala 137:112] + node _T_13535 = add(_T_13534, _T_13508) @[exu_mul_ctl.scala 137:112] + node _T_13536 = add(_T_13535, _T_13509) @[exu_mul_ctl.scala 137:112] + node _T_13537 = add(_T_13536, _T_13510) @[exu_mul_ctl.scala 137:112] + node _T_13538 = add(_T_13537, _T_13511) @[exu_mul_ctl.scala 137:112] + node _T_13539 = add(_T_13538, _T_13512) @[exu_mul_ctl.scala 137:112] + node _T_13540 = add(_T_13539, _T_13513) @[exu_mul_ctl.scala 137:112] + node _T_13541 = add(_T_13540, _T_13514) @[exu_mul_ctl.scala 137:112] + node _T_13542 = add(_T_13541, _T_13515) @[exu_mul_ctl.scala 137:112] + node _T_13543 = add(_T_13542, _T_13516) @[exu_mul_ctl.scala 137:112] + node _T_13544 = add(_T_13543, _T_13517) @[exu_mul_ctl.scala 137:112] + node _T_13545 = add(_T_13544, _T_13518) @[exu_mul_ctl.scala 137:112] + node _T_13546 = add(_T_13545, _T_13519) @[exu_mul_ctl.scala 137:112] + node _T_13547 = add(_T_13546, _T_13520) @[exu_mul_ctl.scala 137:112] + node _T_13548 = add(_T_13547, _T_13521) @[exu_mul_ctl.scala 137:112] + node _T_13549 = add(_T_13548, _T_13522) @[exu_mul_ctl.scala 137:112] + node _T_13550 = add(_T_13549, _T_13523) @[exu_mul_ctl.scala 137:112] + node _T_13551 = add(_T_13550, _T_13524) @[exu_mul_ctl.scala 137:112] + node _T_13552 = eq(_T_13551, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13553 = bits(_T_13552, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13554 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_13555 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13556 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13557 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13558 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13559 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13560 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13561 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13562 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13563 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13564 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13565 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13566 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13567 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13568 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13569 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13570 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13571 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13572 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13573 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13574 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13575 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13576 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13577 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13578 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13579 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13580 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13581 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13582 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_13583 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_13584 = add(_T_13555, _T_13556) @[exu_mul_ctl.scala 137:112] + node _T_13585 = add(_T_13584, _T_13557) @[exu_mul_ctl.scala 137:112] + node _T_13586 = add(_T_13585, _T_13558) @[exu_mul_ctl.scala 137:112] + node _T_13587 = add(_T_13586, _T_13559) @[exu_mul_ctl.scala 137:112] + node _T_13588 = add(_T_13587, _T_13560) @[exu_mul_ctl.scala 137:112] + node _T_13589 = add(_T_13588, _T_13561) @[exu_mul_ctl.scala 137:112] + node _T_13590 = add(_T_13589, _T_13562) @[exu_mul_ctl.scala 137:112] + node _T_13591 = add(_T_13590, _T_13563) @[exu_mul_ctl.scala 137:112] + node _T_13592 = add(_T_13591, _T_13564) @[exu_mul_ctl.scala 137:112] + node _T_13593 = add(_T_13592, _T_13565) @[exu_mul_ctl.scala 137:112] + node _T_13594 = add(_T_13593, _T_13566) @[exu_mul_ctl.scala 137:112] + node _T_13595 = add(_T_13594, _T_13567) @[exu_mul_ctl.scala 137:112] + node _T_13596 = add(_T_13595, _T_13568) @[exu_mul_ctl.scala 137:112] + node _T_13597 = add(_T_13596, _T_13569) @[exu_mul_ctl.scala 137:112] + node _T_13598 = add(_T_13597, _T_13570) @[exu_mul_ctl.scala 137:112] + node _T_13599 = add(_T_13598, _T_13571) @[exu_mul_ctl.scala 137:112] + node _T_13600 = add(_T_13599, _T_13572) @[exu_mul_ctl.scala 137:112] + node _T_13601 = add(_T_13600, _T_13573) @[exu_mul_ctl.scala 137:112] + node _T_13602 = add(_T_13601, _T_13574) @[exu_mul_ctl.scala 137:112] + node _T_13603 = add(_T_13602, _T_13575) @[exu_mul_ctl.scala 137:112] + node _T_13604 = add(_T_13603, _T_13576) @[exu_mul_ctl.scala 137:112] + node _T_13605 = add(_T_13604, _T_13577) @[exu_mul_ctl.scala 137:112] + node _T_13606 = add(_T_13605, _T_13578) @[exu_mul_ctl.scala 137:112] + node _T_13607 = add(_T_13606, _T_13579) @[exu_mul_ctl.scala 137:112] + node _T_13608 = add(_T_13607, _T_13580) @[exu_mul_ctl.scala 137:112] + node _T_13609 = add(_T_13608, _T_13581) @[exu_mul_ctl.scala 137:112] + node _T_13610 = add(_T_13609, _T_13582) @[exu_mul_ctl.scala 137:112] + node _T_13611 = add(_T_13610, _T_13583) @[exu_mul_ctl.scala 137:112] + node _T_13612 = eq(_T_13611, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13613 = bits(_T_13612, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13614 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_13615 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13616 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13617 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13618 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13619 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13620 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13621 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13622 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13623 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13624 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13625 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13626 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13627 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13628 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13629 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13630 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13631 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13632 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13633 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13634 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13635 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13636 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13637 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13638 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13639 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13640 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13641 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13642 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_13643 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_13644 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_13645 = add(_T_13615, _T_13616) @[exu_mul_ctl.scala 137:112] + node _T_13646 = add(_T_13645, _T_13617) @[exu_mul_ctl.scala 137:112] + node _T_13647 = add(_T_13646, _T_13618) @[exu_mul_ctl.scala 137:112] + node _T_13648 = add(_T_13647, _T_13619) @[exu_mul_ctl.scala 137:112] + node _T_13649 = add(_T_13648, _T_13620) @[exu_mul_ctl.scala 137:112] + node _T_13650 = add(_T_13649, _T_13621) @[exu_mul_ctl.scala 137:112] + node _T_13651 = add(_T_13650, _T_13622) @[exu_mul_ctl.scala 137:112] + node _T_13652 = add(_T_13651, _T_13623) @[exu_mul_ctl.scala 137:112] + node _T_13653 = add(_T_13652, _T_13624) @[exu_mul_ctl.scala 137:112] + node _T_13654 = add(_T_13653, _T_13625) @[exu_mul_ctl.scala 137:112] + node _T_13655 = add(_T_13654, _T_13626) @[exu_mul_ctl.scala 137:112] + node _T_13656 = add(_T_13655, _T_13627) @[exu_mul_ctl.scala 137:112] + node _T_13657 = add(_T_13656, _T_13628) @[exu_mul_ctl.scala 137:112] + node _T_13658 = add(_T_13657, _T_13629) @[exu_mul_ctl.scala 137:112] + node _T_13659 = add(_T_13658, _T_13630) @[exu_mul_ctl.scala 137:112] + node _T_13660 = add(_T_13659, _T_13631) @[exu_mul_ctl.scala 137:112] + node _T_13661 = add(_T_13660, _T_13632) @[exu_mul_ctl.scala 137:112] + node _T_13662 = add(_T_13661, _T_13633) @[exu_mul_ctl.scala 137:112] + node _T_13663 = add(_T_13662, _T_13634) @[exu_mul_ctl.scala 137:112] + node _T_13664 = add(_T_13663, _T_13635) @[exu_mul_ctl.scala 137:112] + node _T_13665 = add(_T_13664, _T_13636) @[exu_mul_ctl.scala 137:112] + node _T_13666 = add(_T_13665, _T_13637) @[exu_mul_ctl.scala 137:112] + node _T_13667 = add(_T_13666, _T_13638) @[exu_mul_ctl.scala 137:112] + node _T_13668 = add(_T_13667, _T_13639) @[exu_mul_ctl.scala 137:112] + node _T_13669 = add(_T_13668, _T_13640) @[exu_mul_ctl.scala 137:112] + node _T_13670 = add(_T_13669, _T_13641) @[exu_mul_ctl.scala 137:112] + node _T_13671 = add(_T_13670, _T_13642) @[exu_mul_ctl.scala 137:112] + node _T_13672 = add(_T_13671, _T_13643) @[exu_mul_ctl.scala 137:112] + node _T_13673 = add(_T_13672, _T_13644) @[exu_mul_ctl.scala 137:112] + node _T_13674 = eq(_T_13673, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13675 = bits(_T_13674, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13676 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_13677 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13678 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13679 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13680 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13681 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13682 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13683 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13684 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13685 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13686 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13687 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13688 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13689 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13690 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13691 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13692 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13693 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13694 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13695 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13696 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13697 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13698 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13699 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13700 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13701 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13702 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13703 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13704 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_13705 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_13706 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_13707 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_13708 = add(_T_13677, _T_13678) @[exu_mul_ctl.scala 137:112] + node _T_13709 = add(_T_13708, _T_13679) @[exu_mul_ctl.scala 137:112] + node _T_13710 = add(_T_13709, _T_13680) @[exu_mul_ctl.scala 137:112] + node _T_13711 = add(_T_13710, _T_13681) @[exu_mul_ctl.scala 137:112] + node _T_13712 = add(_T_13711, _T_13682) @[exu_mul_ctl.scala 137:112] + node _T_13713 = add(_T_13712, _T_13683) @[exu_mul_ctl.scala 137:112] + node _T_13714 = add(_T_13713, _T_13684) @[exu_mul_ctl.scala 137:112] + node _T_13715 = add(_T_13714, _T_13685) @[exu_mul_ctl.scala 137:112] + node _T_13716 = add(_T_13715, _T_13686) @[exu_mul_ctl.scala 137:112] + node _T_13717 = add(_T_13716, _T_13687) @[exu_mul_ctl.scala 137:112] + node _T_13718 = add(_T_13717, _T_13688) @[exu_mul_ctl.scala 137:112] + node _T_13719 = add(_T_13718, _T_13689) @[exu_mul_ctl.scala 137:112] + node _T_13720 = add(_T_13719, _T_13690) @[exu_mul_ctl.scala 137:112] + node _T_13721 = add(_T_13720, _T_13691) @[exu_mul_ctl.scala 137:112] + node _T_13722 = add(_T_13721, _T_13692) @[exu_mul_ctl.scala 137:112] + node _T_13723 = add(_T_13722, _T_13693) @[exu_mul_ctl.scala 137:112] + node _T_13724 = add(_T_13723, _T_13694) @[exu_mul_ctl.scala 137:112] + node _T_13725 = add(_T_13724, _T_13695) @[exu_mul_ctl.scala 137:112] + node _T_13726 = add(_T_13725, _T_13696) @[exu_mul_ctl.scala 137:112] + node _T_13727 = add(_T_13726, _T_13697) @[exu_mul_ctl.scala 137:112] + node _T_13728 = add(_T_13727, _T_13698) @[exu_mul_ctl.scala 137:112] + node _T_13729 = add(_T_13728, _T_13699) @[exu_mul_ctl.scala 137:112] + node _T_13730 = add(_T_13729, _T_13700) @[exu_mul_ctl.scala 137:112] + node _T_13731 = add(_T_13730, _T_13701) @[exu_mul_ctl.scala 137:112] + node _T_13732 = add(_T_13731, _T_13702) @[exu_mul_ctl.scala 137:112] + node _T_13733 = add(_T_13732, _T_13703) @[exu_mul_ctl.scala 137:112] + node _T_13734 = add(_T_13733, _T_13704) @[exu_mul_ctl.scala 137:112] + node _T_13735 = add(_T_13734, _T_13705) @[exu_mul_ctl.scala 137:112] + node _T_13736 = add(_T_13735, _T_13706) @[exu_mul_ctl.scala 137:112] + node _T_13737 = add(_T_13736, _T_13707) @[exu_mul_ctl.scala 137:112] + node _T_13738 = eq(_T_13737, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13739 = bits(_T_13738, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13740 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_13741 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13742 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13743 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13744 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13745 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13746 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13747 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13748 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13749 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13750 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13751 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13752 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_13753 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_13754 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_13755 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_13756 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_13757 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_13758 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_13759 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_13760 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_13761 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_13762 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_13763 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_13764 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_13765 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_13766 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_13767 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_13768 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_13769 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_13770 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_13771 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_13772 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_13773 = add(_T_13741, _T_13742) @[exu_mul_ctl.scala 137:112] + node _T_13774 = add(_T_13773, _T_13743) @[exu_mul_ctl.scala 137:112] + node _T_13775 = add(_T_13774, _T_13744) @[exu_mul_ctl.scala 137:112] + node _T_13776 = add(_T_13775, _T_13745) @[exu_mul_ctl.scala 137:112] + node _T_13777 = add(_T_13776, _T_13746) @[exu_mul_ctl.scala 137:112] + node _T_13778 = add(_T_13777, _T_13747) @[exu_mul_ctl.scala 137:112] + node _T_13779 = add(_T_13778, _T_13748) @[exu_mul_ctl.scala 137:112] + node _T_13780 = add(_T_13779, _T_13749) @[exu_mul_ctl.scala 137:112] + node _T_13781 = add(_T_13780, _T_13750) @[exu_mul_ctl.scala 137:112] + node _T_13782 = add(_T_13781, _T_13751) @[exu_mul_ctl.scala 137:112] + node _T_13783 = add(_T_13782, _T_13752) @[exu_mul_ctl.scala 137:112] + node _T_13784 = add(_T_13783, _T_13753) @[exu_mul_ctl.scala 137:112] + node _T_13785 = add(_T_13784, _T_13754) @[exu_mul_ctl.scala 137:112] + node _T_13786 = add(_T_13785, _T_13755) @[exu_mul_ctl.scala 137:112] + node _T_13787 = add(_T_13786, _T_13756) @[exu_mul_ctl.scala 137:112] + node _T_13788 = add(_T_13787, _T_13757) @[exu_mul_ctl.scala 137:112] + node _T_13789 = add(_T_13788, _T_13758) @[exu_mul_ctl.scala 137:112] + node _T_13790 = add(_T_13789, _T_13759) @[exu_mul_ctl.scala 137:112] + node _T_13791 = add(_T_13790, _T_13760) @[exu_mul_ctl.scala 137:112] + node _T_13792 = add(_T_13791, _T_13761) @[exu_mul_ctl.scala 137:112] + node _T_13793 = add(_T_13792, _T_13762) @[exu_mul_ctl.scala 137:112] + node _T_13794 = add(_T_13793, _T_13763) @[exu_mul_ctl.scala 137:112] + node _T_13795 = add(_T_13794, _T_13764) @[exu_mul_ctl.scala 137:112] + node _T_13796 = add(_T_13795, _T_13765) @[exu_mul_ctl.scala 137:112] + node _T_13797 = add(_T_13796, _T_13766) @[exu_mul_ctl.scala 137:112] + node _T_13798 = add(_T_13797, _T_13767) @[exu_mul_ctl.scala 137:112] + node _T_13799 = add(_T_13798, _T_13768) @[exu_mul_ctl.scala 137:112] + node _T_13800 = add(_T_13799, _T_13769) @[exu_mul_ctl.scala 137:112] + node _T_13801 = add(_T_13800, _T_13770) @[exu_mul_ctl.scala 137:112] + node _T_13802 = add(_T_13801, _T_13771) @[exu_mul_ctl.scala 137:112] + node _T_13803 = add(_T_13802, _T_13772) @[exu_mul_ctl.scala 137:112] + node _T_13804 = eq(_T_13803, UInt<4>("h0c")) @[exu_mul_ctl.scala 138:87] + node _T_13805 = bits(_T_13804, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13806 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_13807 = mux(_T_13805, _T_13806, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_13808 = mux(_T_13739, _T_13740, _T_13807) @[Mux.scala 98:16] + node _T_13809 = mux(_T_13675, _T_13676, _T_13808) @[Mux.scala 98:16] + node _T_13810 = mux(_T_13613, _T_13614, _T_13809) @[Mux.scala 98:16] + node _T_13811 = mux(_T_13553, _T_13554, _T_13810) @[Mux.scala 98:16] + node _T_13812 = mux(_T_13495, _T_13496, _T_13811) @[Mux.scala 98:16] + node _T_13813 = mux(_T_13439, _T_13440, _T_13812) @[Mux.scala 98:16] + node _T_13814 = mux(_T_13385, _T_13386, _T_13813) @[Mux.scala 98:16] + node _T_13815 = mux(_T_13333, _T_13334, _T_13814) @[Mux.scala 98:16] + node _T_13816 = mux(_T_13283, _T_13284, _T_13815) @[Mux.scala 98:16] + node _T_13817 = mux(_T_13235, _T_13236, _T_13816) @[Mux.scala 98:16] + node _T_13818 = mux(_T_13189, _T_13190, _T_13817) @[Mux.scala 98:16] + node _T_13819 = mux(_T_13145, _T_13146, _T_13818) @[Mux.scala 98:16] + node _T_13820 = mux(_T_13103, _T_13104, _T_13819) @[Mux.scala 98:16] + node _T_13821 = mux(_T_13063, _T_13064, _T_13820) @[Mux.scala 98:16] + node _T_13822 = mux(_T_13025, _T_13026, _T_13821) @[Mux.scala 98:16] + node _T_13823 = mux(_T_12989, _T_12990, _T_13822) @[Mux.scala 98:16] + node _T_13824 = mux(_T_12955, _T_12956, _T_13823) @[Mux.scala 98:16] + node _T_13825 = mux(_T_12923, _T_12924, _T_13824) @[Mux.scala 98:16] + node _T_13826 = mux(_T_12893, _T_12894, _T_13825) @[Mux.scala 98:16] + node _T_13827 = mux(_T_12865, _T_12866, _T_13826) @[Mux.scala 98:16] + node _T_13828 = mux(_T_12839, _T_12840, _T_13827) @[Mux.scala 98:16] + node _T_13829 = mux(_T_12815, _T_12816, _T_13828) @[Mux.scala 98:16] + node _T_13830 = mux(_T_12793, _T_12794, _T_13829) @[Mux.scala 98:16] + node _T_13831 = mux(_T_12773, _T_12774, _T_13830) @[Mux.scala 98:16] + node _T_13832 = mux(_T_12755, _T_12756, _T_13831) @[Mux.scala 98:16] + node _T_13833 = mux(_T_12739, _T_12740, _T_13832) @[Mux.scala 98:16] + node _T_13834 = mux(_T_12725, _T_12726, _T_13833) @[Mux.scala 98:16] + node _T_13835 = mux(_T_12713, _T_12714, _T_13834) @[Mux.scala 98:16] + node _T_13836 = mux(_T_12703, _T_12704, _T_13835) @[Mux.scala 98:16] + node _T_13837 = mux(_T_12695, _T_12696, _T_13836) @[Mux.scala 98:16] + node _T_13838 = mux(_T_12689, _T_12690, _T_13837) @[Mux.scala 98:16] + node _T_13839 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_13840 = eq(_T_13839, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13841 = bits(_T_13840, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13842 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_13843 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13844 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13845 = add(_T_13843, _T_13844) @[exu_mul_ctl.scala 137:112] + node _T_13846 = eq(_T_13845, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13847 = bits(_T_13846, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13848 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_13849 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13850 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13851 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13852 = add(_T_13849, _T_13850) @[exu_mul_ctl.scala 137:112] + node _T_13853 = add(_T_13852, _T_13851) @[exu_mul_ctl.scala 137:112] + node _T_13854 = eq(_T_13853, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13855 = bits(_T_13854, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13856 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_13857 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13858 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13859 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13860 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13861 = add(_T_13857, _T_13858) @[exu_mul_ctl.scala 137:112] + node _T_13862 = add(_T_13861, _T_13859) @[exu_mul_ctl.scala 137:112] + node _T_13863 = add(_T_13862, _T_13860) @[exu_mul_ctl.scala 137:112] + node _T_13864 = eq(_T_13863, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13865 = bits(_T_13864, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13866 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_13867 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13868 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13869 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13870 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13871 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13872 = add(_T_13867, _T_13868) @[exu_mul_ctl.scala 137:112] + node _T_13873 = add(_T_13872, _T_13869) @[exu_mul_ctl.scala 137:112] + node _T_13874 = add(_T_13873, _T_13870) @[exu_mul_ctl.scala 137:112] + node _T_13875 = add(_T_13874, _T_13871) @[exu_mul_ctl.scala 137:112] + node _T_13876 = eq(_T_13875, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13877 = bits(_T_13876, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13878 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_13879 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13880 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13881 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13882 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13883 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13884 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13885 = add(_T_13879, _T_13880) @[exu_mul_ctl.scala 137:112] + node _T_13886 = add(_T_13885, _T_13881) @[exu_mul_ctl.scala 137:112] + node _T_13887 = add(_T_13886, _T_13882) @[exu_mul_ctl.scala 137:112] + node _T_13888 = add(_T_13887, _T_13883) @[exu_mul_ctl.scala 137:112] + node _T_13889 = add(_T_13888, _T_13884) @[exu_mul_ctl.scala 137:112] + node _T_13890 = eq(_T_13889, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13891 = bits(_T_13890, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13892 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_13893 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13894 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13895 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13896 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13897 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13898 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13899 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13900 = add(_T_13893, _T_13894) @[exu_mul_ctl.scala 137:112] + node _T_13901 = add(_T_13900, _T_13895) @[exu_mul_ctl.scala 137:112] + node _T_13902 = add(_T_13901, _T_13896) @[exu_mul_ctl.scala 137:112] + node _T_13903 = add(_T_13902, _T_13897) @[exu_mul_ctl.scala 137:112] + node _T_13904 = add(_T_13903, _T_13898) @[exu_mul_ctl.scala 137:112] + node _T_13905 = add(_T_13904, _T_13899) @[exu_mul_ctl.scala 137:112] + node _T_13906 = eq(_T_13905, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13907 = bits(_T_13906, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13908 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_13909 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13910 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13911 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13912 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13913 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13914 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13915 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13916 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13917 = add(_T_13909, _T_13910) @[exu_mul_ctl.scala 137:112] + node _T_13918 = add(_T_13917, _T_13911) @[exu_mul_ctl.scala 137:112] + node _T_13919 = add(_T_13918, _T_13912) @[exu_mul_ctl.scala 137:112] + node _T_13920 = add(_T_13919, _T_13913) @[exu_mul_ctl.scala 137:112] + node _T_13921 = add(_T_13920, _T_13914) @[exu_mul_ctl.scala 137:112] + node _T_13922 = add(_T_13921, _T_13915) @[exu_mul_ctl.scala 137:112] + node _T_13923 = add(_T_13922, _T_13916) @[exu_mul_ctl.scala 137:112] + node _T_13924 = eq(_T_13923, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13925 = bits(_T_13924, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13926 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_13927 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13928 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13929 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13930 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13931 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13932 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13933 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13934 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13935 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13936 = add(_T_13927, _T_13928) @[exu_mul_ctl.scala 137:112] + node _T_13937 = add(_T_13936, _T_13929) @[exu_mul_ctl.scala 137:112] + node _T_13938 = add(_T_13937, _T_13930) @[exu_mul_ctl.scala 137:112] + node _T_13939 = add(_T_13938, _T_13931) @[exu_mul_ctl.scala 137:112] + node _T_13940 = add(_T_13939, _T_13932) @[exu_mul_ctl.scala 137:112] + node _T_13941 = add(_T_13940, _T_13933) @[exu_mul_ctl.scala 137:112] + node _T_13942 = add(_T_13941, _T_13934) @[exu_mul_ctl.scala 137:112] + node _T_13943 = add(_T_13942, _T_13935) @[exu_mul_ctl.scala 137:112] + node _T_13944 = eq(_T_13943, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13945 = bits(_T_13944, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13946 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_13947 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13948 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13949 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13950 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13951 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13952 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13953 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13954 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13955 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13956 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13957 = add(_T_13947, _T_13948) @[exu_mul_ctl.scala 137:112] + node _T_13958 = add(_T_13957, _T_13949) @[exu_mul_ctl.scala 137:112] + node _T_13959 = add(_T_13958, _T_13950) @[exu_mul_ctl.scala 137:112] + node _T_13960 = add(_T_13959, _T_13951) @[exu_mul_ctl.scala 137:112] + node _T_13961 = add(_T_13960, _T_13952) @[exu_mul_ctl.scala 137:112] + node _T_13962 = add(_T_13961, _T_13953) @[exu_mul_ctl.scala 137:112] + node _T_13963 = add(_T_13962, _T_13954) @[exu_mul_ctl.scala 137:112] + node _T_13964 = add(_T_13963, _T_13955) @[exu_mul_ctl.scala 137:112] + node _T_13965 = add(_T_13964, _T_13956) @[exu_mul_ctl.scala 137:112] + node _T_13966 = eq(_T_13965, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13967 = bits(_T_13966, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13968 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_13969 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13970 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13971 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13972 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13973 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13974 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13975 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_13976 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_13977 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_13978 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_13979 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_13980 = add(_T_13969, _T_13970) @[exu_mul_ctl.scala 137:112] + node _T_13981 = add(_T_13980, _T_13971) @[exu_mul_ctl.scala 137:112] + node _T_13982 = add(_T_13981, _T_13972) @[exu_mul_ctl.scala 137:112] + node _T_13983 = add(_T_13982, _T_13973) @[exu_mul_ctl.scala 137:112] + node _T_13984 = add(_T_13983, _T_13974) @[exu_mul_ctl.scala 137:112] + node _T_13985 = add(_T_13984, _T_13975) @[exu_mul_ctl.scala 137:112] + node _T_13986 = add(_T_13985, _T_13976) @[exu_mul_ctl.scala 137:112] + node _T_13987 = add(_T_13986, _T_13977) @[exu_mul_ctl.scala 137:112] + node _T_13988 = add(_T_13987, _T_13978) @[exu_mul_ctl.scala 137:112] + node _T_13989 = add(_T_13988, _T_13979) @[exu_mul_ctl.scala 137:112] + node _T_13990 = eq(_T_13989, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_13991 = bits(_T_13990, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_13992 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_13993 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_13994 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_13995 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_13996 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_13997 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_13998 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_13999 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14000 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14001 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14002 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14003 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14004 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14005 = add(_T_13993, _T_13994) @[exu_mul_ctl.scala 137:112] + node _T_14006 = add(_T_14005, _T_13995) @[exu_mul_ctl.scala 137:112] + node _T_14007 = add(_T_14006, _T_13996) @[exu_mul_ctl.scala 137:112] + node _T_14008 = add(_T_14007, _T_13997) @[exu_mul_ctl.scala 137:112] + node _T_14009 = add(_T_14008, _T_13998) @[exu_mul_ctl.scala 137:112] + node _T_14010 = add(_T_14009, _T_13999) @[exu_mul_ctl.scala 137:112] + node _T_14011 = add(_T_14010, _T_14000) @[exu_mul_ctl.scala 137:112] + node _T_14012 = add(_T_14011, _T_14001) @[exu_mul_ctl.scala 137:112] + node _T_14013 = add(_T_14012, _T_14002) @[exu_mul_ctl.scala 137:112] + node _T_14014 = add(_T_14013, _T_14003) @[exu_mul_ctl.scala 137:112] + node _T_14015 = add(_T_14014, _T_14004) @[exu_mul_ctl.scala 137:112] + node _T_14016 = eq(_T_14015, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14017 = bits(_T_14016, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14018 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_14019 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14020 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14021 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14022 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14023 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14024 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14025 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14026 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14027 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14028 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14029 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14030 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14031 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14032 = add(_T_14019, _T_14020) @[exu_mul_ctl.scala 137:112] + node _T_14033 = add(_T_14032, _T_14021) @[exu_mul_ctl.scala 137:112] + node _T_14034 = add(_T_14033, _T_14022) @[exu_mul_ctl.scala 137:112] + node _T_14035 = add(_T_14034, _T_14023) @[exu_mul_ctl.scala 137:112] + node _T_14036 = add(_T_14035, _T_14024) @[exu_mul_ctl.scala 137:112] + node _T_14037 = add(_T_14036, _T_14025) @[exu_mul_ctl.scala 137:112] + node _T_14038 = add(_T_14037, _T_14026) @[exu_mul_ctl.scala 137:112] + node _T_14039 = add(_T_14038, _T_14027) @[exu_mul_ctl.scala 137:112] + node _T_14040 = add(_T_14039, _T_14028) @[exu_mul_ctl.scala 137:112] + node _T_14041 = add(_T_14040, _T_14029) @[exu_mul_ctl.scala 137:112] + node _T_14042 = add(_T_14041, _T_14030) @[exu_mul_ctl.scala 137:112] + node _T_14043 = add(_T_14042, _T_14031) @[exu_mul_ctl.scala 137:112] + node _T_14044 = eq(_T_14043, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14045 = bits(_T_14044, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14046 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_14047 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14048 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14049 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14050 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14051 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14052 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14053 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14054 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14055 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14056 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14057 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14058 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14059 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14060 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14061 = add(_T_14047, _T_14048) @[exu_mul_ctl.scala 137:112] + node _T_14062 = add(_T_14061, _T_14049) @[exu_mul_ctl.scala 137:112] + node _T_14063 = add(_T_14062, _T_14050) @[exu_mul_ctl.scala 137:112] + node _T_14064 = add(_T_14063, _T_14051) @[exu_mul_ctl.scala 137:112] + node _T_14065 = add(_T_14064, _T_14052) @[exu_mul_ctl.scala 137:112] + node _T_14066 = add(_T_14065, _T_14053) @[exu_mul_ctl.scala 137:112] + node _T_14067 = add(_T_14066, _T_14054) @[exu_mul_ctl.scala 137:112] + node _T_14068 = add(_T_14067, _T_14055) @[exu_mul_ctl.scala 137:112] + node _T_14069 = add(_T_14068, _T_14056) @[exu_mul_ctl.scala 137:112] + node _T_14070 = add(_T_14069, _T_14057) @[exu_mul_ctl.scala 137:112] + node _T_14071 = add(_T_14070, _T_14058) @[exu_mul_ctl.scala 137:112] + node _T_14072 = add(_T_14071, _T_14059) @[exu_mul_ctl.scala 137:112] + node _T_14073 = add(_T_14072, _T_14060) @[exu_mul_ctl.scala 137:112] + node _T_14074 = eq(_T_14073, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14075 = bits(_T_14074, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14076 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_14077 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14078 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14079 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14080 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14081 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14082 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14083 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14084 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14085 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14086 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14087 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14088 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14089 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14090 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14091 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14092 = add(_T_14077, _T_14078) @[exu_mul_ctl.scala 137:112] + node _T_14093 = add(_T_14092, _T_14079) @[exu_mul_ctl.scala 137:112] + node _T_14094 = add(_T_14093, _T_14080) @[exu_mul_ctl.scala 137:112] + node _T_14095 = add(_T_14094, _T_14081) @[exu_mul_ctl.scala 137:112] + node _T_14096 = add(_T_14095, _T_14082) @[exu_mul_ctl.scala 137:112] + node _T_14097 = add(_T_14096, _T_14083) @[exu_mul_ctl.scala 137:112] + node _T_14098 = add(_T_14097, _T_14084) @[exu_mul_ctl.scala 137:112] + node _T_14099 = add(_T_14098, _T_14085) @[exu_mul_ctl.scala 137:112] + node _T_14100 = add(_T_14099, _T_14086) @[exu_mul_ctl.scala 137:112] + node _T_14101 = add(_T_14100, _T_14087) @[exu_mul_ctl.scala 137:112] + node _T_14102 = add(_T_14101, _T_14088) @[exu_mul_ctl.scala 137:112] + node _T_14103 = add(_T_14102, _T_14089) @[exu_mul_ctl.scala 137:112] + node _T_14104 = add(_T_14103, _T_14090) @[exu_mul_ctl.scala 137:112] + node _T_14105 = add(_T_14104, _T_14091) @[exu_mul_ctl.scala 137:112] + node _T_14106 = eq(_T_14105, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14107 = bits(_T_14106, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14108 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_14109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14116 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14117 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14118 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14119 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14120 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14121 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14122 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14123 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14124 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14125 = add(_T_14109, _T_14110) @[exu_mul_ctl.scala 137:112] + node _T_14126 = add(_T_14125, _T_14111) @[exu_mul_ctl.scala 137:112] + node _T_14127 = add(_T_14126, _T_14112) @[exu_mul_ctl.scala 137:112] + node _T_14128 = add(_T_14127, _T_14113) @[exu_mul_ctl.scala 137:112] + node _T_14129 = add(_T_14128, _T_14114) @[exu_mul_ctl.scala 137:112] + node _T_14130 = add(_T_14129, _T_14115) @[exu_mul_ctl.scala 137:112] + node _T_14131 = add(_T_14130, _T_14116) @[exu_mul_ctl.scala 137:112] + node _T_14132 = add(_T_14131, _T_14117) @[exu_mul_ctl.scala 137:112] + node _T_14133 = add(_T_14132, _T_14118) @[exu_mul_ctl.scala 137:112] + node _T_14134 = add(_T_14133, _T_14119) @[exu_mul_ctl.scala 137:112] + node _T_14135 = add(_T_14134, _T_14120) @[exu_mul_ctl.scala 137:112] + node _T_14136 = add(_T_14135, _T_14121) @[exu_mul_ctl.scala 137:112] + node _T_14137 = add(_T_14136, _T_14122) @[exu_mul_ctl.scala 137:112] + node _T_14138 = add(_T_14137, _T_14123) @[exu_mul_ctl.scala 137:112] + node _T_14139 = add(_T_14138, _T_14124) @[exu_mul_ctl.scala 137:112] + node _T_14140 = eq(_T_14139, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14141 = bits(_T_14140, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14142 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_14143 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14144 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14145 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14146 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14147 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14148 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14149 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14150 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14151 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14152 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14153 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14154 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14155 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14156 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14157 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14158 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14159 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14160 = add(_T_14143, _T_14144) @[exu_mul_ctl.scala 137:112] + node _T_14161 = add(_T_14160, _T_14145) @[exu_mul_ctl.scala 137:112] + node _T_14162 = add(_T_14161, _T_14146) @[exu_mul_ctl.scala 137:112] + node _T_14163 = add(_T_14162, _T_14147) @[exu_mul_ctl.scala 137:112] + node _T_14164 = add(_T_14163, _T_14148) @[exu_mul_ctl.scala 137:112] + node _T_14165 = add(_T_14164, _T_14149) @[exu_mul_ctl.scala 137:112] + node _T_14166 = add(_T_14165, _T_14150) @[exu_mul_ctl.scala 137:112] + node _T_14167 = add(_T_14166, _T_14151) @[exu_mul_ctl.scala 137:112] + node _T_14168 = add(_T_14167, _T_14152) @[exu_mul_ctl.scala 137:112] + node _T_14169 = add(_T_14168, _T_14153) @[exu_mul_ctl.scala 137:112] + node _T_14170 = add(_T_14169, _T_14154) @[exu_mul_ctl.scala 137:112] + node _T_14171 = add(_T_14170, _T_14155) @[exu_mul_ctl.scala 137:112] + node _T_14172 = add(_T_14171, _T_14156) @[exu_mul_ctl.scala 137:112] + node _T_14173 = add(_T_14172, _T_14157) @[exu_mul_ctl.scala 137:112] + node _T_14174 = add(_T_14173, _T_14158) @[exu_mul_ctl.scala 137:112] + node _T_14175 = add(_T_14174, _T_14159) @[exu_mul_ctl.scala 137:112] + node _T_14176 = eq(_T_14175, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14177 = bits(_T_14176, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14178 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_14179 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14180 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14181 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14182 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14183 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14184 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14185 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14186 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14187 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14188 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14189 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14190 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14191 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14192 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14193 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14194 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14195 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14196 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14197 = add(_T_14179, _T_14180) @[exu_mul_ctl.scala 137:112] + node _T_14198 = add(_T_14197, _T_14181) @[exu_mul_ctl.scala 137:112] + node _T_14199 = add(_T_14198, _T_14182) @[exu_mul_ctl.scala 137:112] + node _T_14200 = add(_T_14199, _T_14183) @[exu_mul_ctl.scala 137:112] + node _T_14201 = add(_T_14200, _T_14184) @[exu_mul_ctl.scala 137:112] + node _T_14202 = add(_T_14201, _T_14185) @[exu_mul_ctl.scala 137:112] + node _T_14203 = add(_T_14202, _T_14186) @[exu_mul_ctl.scala 137:112] + node _T_14204 = add(_T_14203, _T_14187) @[exu_mul_ctl.scala 137:112] + node _T_14205 = add(_T_14204, _T_14188) @[exu_mul_ctl.scala 137:112] + node _T_14206 = add(_T_14205, _T_14189) @[exu_mul_ctl.scala 137:112] + node _T_14207 = add(_T_14206, _T_14190) @[exu_mul_ctl.scala 137:112] + node _T_14208 = add(_T_14207, _T_14191) @[exu_mul_ctl.scala 137:112] + node _T_14209 = add(_T_14208, _T_14192) @[exu_mul_ctl.scala 137:112] + node _T_14210 = add(_T_14209, _T_14193) @[exu_mul_ctl.scala 137:112] + node _T_14211 = add(_T_14210, _T_14194) @[exu_mul_ctl.scala 137:112] + node _T_14212 = add(_T_14211, _T_14195) @[exu_mul_ctl.scala 137:112] + node _T_14213 = add(_T_14212, _T_14196) @[exu_mul_ctl.scala 137:112] + node _T_14214 = eq(_T_14213, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14215 = bits(_T_14214, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14216 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_14217 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14218 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14219 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14220 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14221 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14222 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14223 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14224 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14225 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14226 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14227 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14228 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14229 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14230 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14231 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14232 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14233 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14234 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14235 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14236 = add(_T_14217, _T_14218) @[exu_mul_ctl.scala 137:112] + node _T_14237 = add(_T_14236, _T_14219) @[exu_mul_ctl.scala 137:112] + node _T_14238 = add(_T_14237, _T_14220) @[exu_mul_ctl.scala 137:112] + node _T_14239 = add(_T_14238, _T_14221) @[exu_mul_ctl.scala 137:112] + node _T_14240 = add(_T_14239, _T_14222) @[exu_mul_ctl.scala 137:112] + node _T_14241 = add(_T_14240, _T_14223) @[exu_mul_ctl.scala 137:112] + node _T_14242 = add(_T_14241, _T_14224) @[exu_mul_ctl.scala 137:112] + node _T_14243 = add(_T_14242, _T_14225) @[exu_mul_ctl.scala 137:112] + node _T_14244 = add(_T_14243, _T_14226) @[exu_mul_ctl.scala 137:112] + node _T_14245 = add(_T_14244, _T_14227) @[exu_mul_ctl.scala 137:112] + node _T_14246 = add(_T_14245, _T_14228) @[exu_mul_ctl.scala 137:112] + node _T_14247 = add(_T_14246, _T_14229) @[exu_mul_ctl.scala 137:112] + node _T_14248 = add(_T_14247, _T_14230) @[exu_mul_ctl.scala 137:112] + node _T_14249 = add(_T_14248, _T_14231) @[exu_mul_ctl.scala 137:112] + node _T_14250 = add(_T_14249, _T_14232) @[exu_mul_ctl.scala 137:112] + node _T_14251 = add(_T_14250, _T_14233) @[exu_mul_ctl.scala 137:112] + node _T_14252 = add(_T_14251, _T_14234) @[exu_mul_ctl.scala 137:112] + node _T_14253 = add(_T_14252, _T_14235) @[exu_mul_ctl.scala 137:112] + node _T_14254 = eq(_T_14253, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14255 = bits(_T_14254, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14256 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_14257 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14258 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14259 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14260 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14261 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14262 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14263 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14264 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14265 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14266 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14267 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14268 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14269 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14270 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14271 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14272 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14273 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14274 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14275 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14276 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14277 = add(_T_14257, _T_14258) @[exu_mul_ctl.scala 137:112] + node _T_14278 = add(_T_14277, _T_14259) @[exu_mul_ctl.scala 137:112] + node _T_14279 = add(_T_14278, _T_14260) @[exu_mul_ctl.scala 137:112] + node _T_14280 = add(_T_14279, _T_14261) @[exu_mul_ctl.scala 137:112] + node _T_14281 = add(_T_14280, _T_14262) @[exu_mul_ctl.scala 137:112] + node _T_14282 = add(_T_14281, _T_14263) @[exu_mul_ctl.scala 137:112] + node _T_14283 = add(_T_14282, _T_14264) @[exu_mul_ctl.scala 137:112] + node _T_14284 = add(_T_14283, _T_14265) @[exu_mul_ctl.scala 137:112] + node _T_14285 = add(_T_14284, _T_14266) @[exu_mul_ctl.scala 137:112] + node _T_14286 = add(_T_14285, _T_14267) @[exu_mul_ctl.scala 137:112] + node _T_14287 = add(_T_14286, _T_14268) @[exu_mul_ctl.scala 137:112] + node _T_14288 = add(_T_14287, _T_14269) @[exu_mul_ctl.scala 137:112] + node _T_14289 = add(_T_14288, _T_14270) @[exu_mul_ctl.scala 137:112] + node _T_14290 = add(_T_14289, _T_14271) @[exu_mul_ctl.scala 137:112] + node _T_14291 = add(_T_14290, _T_14272) @[exu_mul_ctl.scala 137:112] + node _T_14292 = add(_T_14291, _T_14273) @[exu_mul_ctl.scala 137:112] + node _T_14293 = add(_T_14292, _T_14274) @[exu_mul_ctl.scala 137:112] + node _T_14294 = add(_T_14293, _T_14275) @[exu_mul_ctl.scala 137:112] + node _T_14295 = add(_T_14294, _T_14276) @[exu_mul_ctl.scala 137:112] + node _T_14296 = eq(_T_14295, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14297 = bits(_T_14296, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14298 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_14299 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14300 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14301 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14302 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14303 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14304 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14305 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14306 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14307 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14308 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14309 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14310 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14311 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14312 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14313 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14314 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14315 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14316 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14317 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14318 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14319 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14320 = add(_T_14299, _T_14300) @[exu_mul_ctl.scala 137:112] + node _T_14321 = add(_T_14320, _T_14301) @[exu_mul_ctl.scala 137:112] + node _T_14322 = add(_T_14321, _T_14302) @[exu_mul_ctl.scala 137:112] + node _T_14323 = add(_T_14322, _T_14303) @[exu_mul_ctl.scala 137:112] + node _T_14324 = add(_T_14323, _T_14304) @[exu_mul_ctl.scala 137:112] + node _T_14325 = add(_T_14324, _T_14305) @[exu_mul_ctl.scala 137:112] + node _T_14326 = add(_T_14325, _T_14306) @[exu_mul_ctl.scala 137:112] + node _T_14327 = add(_T_14326, _T_14307) @[exu_mul_ctl.scala 137:112] + node _T_14328 = add(_T_14327, _T_14308) @[exu_mul_ctl.scala 137:112] + node _T_14329 = add(_T_14328, _T_14309) @[exu_mul_ctl.scala 137:112] + node _T_14330 = add(_T_14329, _T_14310) @[exu_mul_ctl.scala 137:112] + node _T_14331 = add(_T_14330, _T_14311) @[exu_mul_ctl.scala 137:112] + node _T_14332 = add(_T_14331, _T_14312) @[exu_mul_ctl.scala 137:112] + node _T_14333 = add(_T_14332, _T_14313) @[exu_mul_ctl.scala 137:112] + node _T_14334 = add(_T_14333, _T_14314) @[exu_mul_ctl.scala 137:112] + node _T_14335 = add(_T_14334, _T_14315) @[exu_mul_ctl.scala 137:112] + node _T_14336 = add(_T_14335, _T_14316) @[exu_mul_ctl.scala 137:112] + node _T_14337 = add(_T_14336, _T_14317) @[exu_mul_ctl.scala 137:112] + node _T_14338 = add(_T_14337, _T_14318) @[exu_mul_ctl.scala 137:112] + node _T_14339 = add(_T_14338, _T_14319) @[exu_mul_ctl.scala 137:112] + node _T_14340 = eq(_T_14339, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14341 = bits(_T_14340, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14342 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_14343 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14344 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14345 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14346 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14347 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14348 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14349 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14350 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14351 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14352 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14353 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14354 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14355 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14356 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14357 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14358 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14359 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14360 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14361 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14362 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14363 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14364 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14365 = add(_T_14343, _T_14344) @[exu_mul_ctl.scala 137:112] + node _T_14366 = add(_T_14365, _T_14345) @[exu_mul_ctl.scala 137:112] + node _T_14367 = add(_T_14366, _T_14346) @[exu_mul_ctl.scala 137:112] + node _T_14368 = add(_T_14367, _T_14347) @[exu_mul_ctl.scala 137:112] + node _T_14369 = add(_T_14368, _T_14348) @[exu_mul_ctl.scala 137:112] + node _T_14370 = add(_T_14369, _T_14349) @[exu_mul_ctl.scala 137:112] + node _T_14371 = add(_T_14370, _T_14350) @[exu_mul_ctl.scala 137:112] + node _T_14372 = add(_T_14371, _T_14351) @[exu_mul_ctl.scala 137:112] + node _T_14373 = add(_T_14372, _T_14352) @[exu_mul_ctl.scala 137:112] + node _T_14374 = add(_T_14373, _T_14353) @[exu_mul_ctl.scala 137:112] + node _T_14375 = add(_T_14374, _T_14354) @[exu_mul_ctl.scala 137:112] + node _T_14376 = add(_T_14375, _T_14355) @[exu_mul_ctl.scala 137:112] + node _T_14377 = add(_T_14376, _T_14356) @[exu_mul_ctl.scala 137:112] + node _T_14378 = add(_T_14377, _T_14357) @[exu_mul_ctl.scala 137:112] + node _T_14379 = add(_T_14378, _T_14358) @[exu_mul_ctl.scala 137:112] + node _T_14380 = add(_T_14379, _T_14359) @[exu_mul_ctl.scala 137:112] + node _T_14381 = add(_T_14380, _T_14360) @[exu_mul_ctl.scala 137:112] + node _T_14382 = add(_T_14381, _T_14361) @[exu_mul_ctl.scala 137:112] + node _T_14383 = add(_T_14382, _T_14362) @[exu_mul_ctl.scala 137:112] + node _T_14384 = add(_T_14383, _T_14363) @[exu_mul_ctl.scala 137:112] + node _T_14385 = add(_T_14384, _T_14364) @[exu_mul_ctl.scala 137:112] + node _T_14386 = eq(_T_14385, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14387 = bits(_T_14386, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14388 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_14389 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14390 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14391 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14392 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14393 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14394 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14395 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14396 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14397 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14398 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14399 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14400 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14401 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14402 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14403 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14404 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14405 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14406 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14407 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14408 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14409 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14410 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14411 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14412 = add(_T_14389, _T_14390) @[exu_mul_ctl.scala 137:112] + node _T_14413 = add(_T_14412, _T_14391) @[exu_mul_ctl.scala 137:112] + node _T_14414 = add(_T_14413, _T_14392) @[exu_mul_ctl.scala 137:112] + node _T_14415 = add(_T_14414, _T_14393) @[exu_mul_ctl.scala 137:112] + node _T_14416 = add(_T_14415, _T_14394) @[exu_mul_ctl.scala 137:112] + node _T_14417 = add(_T_14416, _T_14395) @[exu_mul_ctl.scala 137:112] + node _T_14418 = add(_T_14417, _T_14396) @[exu_mul_ctl.scala 137:112] + node _T_14419 = add(_T_14418, _T_14397) @[exu_mul_ctl.scala 137:112] + node _T_14420 = add(_T_14419, _T_14398) @[exu_mul_ctl.scala 137:112] + node _T_14421 = add(_T_14420, _T_14399) @[exu_mul_ctl.scala 137:112] + node _T_14422 = add(_T_14421, _T_14400) @[exu_mul_ctl.scala 137:112] + node _T_14423 = add(_T_14422, _T_14401) @[exu_mul_ctl.scala 137:112] + node _T_14424 = add(_T_14423, _T_14402) @[exu_mul_ctl.scala 137:112] + node _T_14425 = add(_T_14424, _T_14403) @[exu_mul_ctl.scala 137:112] + node _T_14426 = add(_T_14425, _T_14404) @[exu_mul_ctl.scala 137:112] + node _T_14427 = add(_T_14426, _T_14405) @[exu_mul_ctl.scala 137:112] + node _T_14428 = add(_T_14427, _T_14406) @[exu_mul_ctl.scala 137:112] + node _T_14429 = add(_T_14428, _T_14407) @[exu_mul_ctl.scala 137:112] + node _T_14430 = add(_T_14429, _T_14408) @[exu_mul_ctl.scala 137:112] + node _T_14431 = add(_T_14430, _T_14409) @[exu_mul_ctl.scala 137:112] + node _T_14432 = add(_T_14431, _T_14410) @[exu_mul_ctl.scala 137:112] + node _T_14433 = add(_T_14432, _T_14411) @[exu_mul_ctl.scala 137:112] + node _T_14434 = eq(_T_14433, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14435 = bits(_T_14434, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14436 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_14437 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14438 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14439 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14440 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14441 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14442 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14443 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14444 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14445 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14446 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14447 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14448 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14449 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14450 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14451 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14452 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14453 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14454 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14455 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14456 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14457 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14458 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14459 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14460 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14461 = add(_T_14437, _T_14438) @[exu_mul_ctl.scala 137:112] + node _T_14462 = add(_T_14461, _T_14439) @[exu_mul_ctl.scala 137:112] + node _T_14463 = add(_T_14462, _T_14440) @[exu_mul_ctl.scala 137:112] + node _T_14464 = add(_T_14463, _T_14441) @[exu_mul_ctl.scala 137:112] + node _T_14465 = add(_T_14464, _T_14442) @[exu_mul_ctl.scala 137:112] + node _T_14466 = add(_T_14465, _T_14443) @[exu_mul_ctl.scala 137:112] + node _T_14467 = add(_T_14466, _T_14444) @[exu_mul_ctl.scala 137:112] + node _T_14468 = add(_T_14467, _T_14445) @[exu_mul_ctl.scala 137:112] + node _T_14469 = add(_T_14468, _T_14446) @[exu_mul_ctl.scala 137:112] + node _T_14470 = add(_T_14469, _T_14447) @[exu_mul_ctl.scala 137:112] + node _T_14471 = add(_T_14470, _T_14448) @[exu_mul_ctl.scala 137:112] + node _T_14472 = add(_T_14471, _T_14449) @[exu_mul_ctl.scala 137:112] + node _T_14473 = add(_T_14472, _T_14450) @[exu_mul_ctl.scala 137:112] + node _T_14474 = add(_T_14473, _T_14451) @[exu_mul_ctl.scala 137:112] + node _T_14475 = add(_T_14474, _T_14452) @[exu_mul_ctl.scala 137:112] + node _T_14476 = add(_T_14475, _T_14453) @[exu_mul_ctl.scala 137:112] + node _T_14477 = add(_T_14476, _T_14454) @[exu_mul_ctl.scala 137:112] + node _T_14478 = add(_T_14477, _T_14455) @[exu_mul_ctl.scala 137:112] + node _T_14479 = add(_T_14478, _T_14456) @[exu_mul_ctl.scala 137:112] + node _T_14480 = add(_T_14479, _T_14457) @[exu_mul_ctl.scala 137:112] + node _T_14481 = add(_T_14480, _T_14458) @[exu_mul_ctl.scala 137:112] + node _T_14482 = add(_T_14481, _T_14459) @[exu_mul_ctl.scala 137:112] + node _T_14483 = add(_T_14482, _T_14460) @[exu_mul_ctl.scala 137:112] + node _T_14484 = eq(_T_14483, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14485 = bits(_T_14484, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14486 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_14487 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14488 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14489 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14490 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14491 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14492 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14493 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14494 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14495 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14496 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14497 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14498 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14499 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14500 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14501 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14502 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14503 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14504 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14505 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14506 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14507 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14508 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14509 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14510 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14511 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14512 = add(_T_14487, _T_14488) @[exu_mul_ctl.scala 137:112] + node _T_14513 = add(_T_14512, _T_14489) @[exu_mul_ctl.scala 137:112] + node _T_14514 = add(_T_14513, _T_14490) @[exu_mul_ctl.scala 137:112] + node _T_14515 = add(_T_14514, _T_14491) @[exu_mul_ctl.scala 137:112] + node _T_14516 = add(_T_14515, _T_14492) @[exu_mul_ctl.scala 137:112] + node _T_14517 = add(_T_14516, _T_14493) @[exu_mul_ctl.scala 137:112] + node _T_14518 = add(_T_14517, _T_14494) @[exu_mul_ctl.scala 137:112] + node _T_14519 = add(_T_14518, _T_14495) @[exu_mul_ctl.scala 137:112] + node _T_14520 = add(_T_14519, _T_14496) @[exu_mul_ctl.scala 137:112] + node _T_14521 = add(_T_14520, _T_14497) @[exu_mul_ctl.scala 137:112] + node _T_14522 = add(_T_14521, _T_14498) @[exu_mul_ctl.scala 137:112] + node _T_14523 = add(_T_14522, _T_14499) @[exu_mul_ctl.scala 137:112] + node _T_14524 = add(_T_14523, _T_14500) @[exu_mul_ctl.scala 137:112] + node _T_14525 = add(_T_14524, _T_14501) @[exu_mul_ctl.scala 137:112] + node _T_14526 = add(_T_14525, _T_14502) @[exu_mul_ctl.scala 137:112] + node _T_14527 = add(_T_14526, _T_14503) @[exu_mul_ctl.scala 137:112] + node _T_14528 = add(_T_14527, _T_14504) @[exu_mul_ctl.scala 137:112] + node _T_14529 = add(_T_14528, _T_14505) @[exu_mul_ctl.scala 137:112] + node _T_14530 = add(_T_14529, _T_14506) @[exu_mul_ctl.scala 137:112] + node _T_14531 = add(_T_14530, _T_14507) @[exu_mul_ctl.scala 137:112] + node _T_14532 = add(_T_14531, _T_14508) @[exu_mul_ctl.scala 137:112] + node _T_14533 = add(_T_14532, _T_14509) @[exu_mul_ctl.scala 137:112] + node _T_14534 = add(_T_14533, _T_14510) @[exu_mul_ctl.scala 137:112] + node _T_14535 = add(_T_14534, _T_14511) @[exu_mul_ctl.scala 137:112] + node _T_14536 = eq(_T_14535, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14537 = bits(_T_14536, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14538 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_14539 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14540 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14541 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14542 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14543 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14544 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14545 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14546 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14547 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14548 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14549 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14550 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14551 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14552 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14553 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14554 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14555 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14556 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14557 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14558 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14559 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14560 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14561 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14562 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14563 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14564 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14565 = add(_T_14539, _T_14540) @[exu_mul_ctl.scala 137:112] + node _T_14566 = add(_T_14565, _T_14541) @[exu_mul_ctl.scala 137:112] + node _T_14567 = add(_T_14566, _T_14542) @[exu_mul_ctl.scala 137:112] + node _T_14568 = add(_T_14567, _T_14543) @[exu_mul_ctl.scala 137:112] + node _T_14569 = add(_T_14568, _T_14544) @[exu_mul_ctl.scala 137:112] + node _T_14570 = add(_T_14569, _T_14545) @[exu_mul_ctl.scala 137:112] + node _T_14571 = add(_T_14570, _T_14546) @[exu_mul_ctl.scala 137:112] + node _T_14572 = add(_T_14571, _T_14547) @[exu_mul_ctl.scala 137:112] + node _T_14573 = add(_T_14572, _T_14548) @[exu_mul_ctl.scala 137:112] + node _T_14574 = add(_T_14573, _T_14549) @[exu_mul_ctl.scala 137:112] + node _T_14575 = add(_T_14574, _T_14550) @[exu_mul_ctl.scala 137:112] + node _T_14576 = add(_T_14575, _T_14551) @[exu_mul_ctl.scala 137:112] + node _T_14577 = add(_T_14576, _T_14552) @[exu_mul_ctl.scala 137:112] + node _T_14578 = add(_T_14577, _T_14553) @[exu_mul_ctl.scala 137:112] + node _T_14579 = add(_T_14578, _T_14554) @[exu_mul_ctl.scala 137:112] + node _T_14580 = add(_T_14579, _T_14555) @[exu_mul_ctl.scala 137:112] + node _T_14581 = add(_T_14580, _T_14556) @[exu_mul_ctl.scala 137:112] + node _T_14582 = add(_T_14581, _T_14557) @[exu_mul_ctl.scala 137:112] + node _T_14583 = add(_T_14582, _T_14558) @[exu_mul_ctl.scala 137:112] + node _T_14584 = add(_T_14583, _T_14559) @[exu_mul_ctl.scala 137:112] + node _T_14585 = add(_T_14584, _T_14560) @[exu_mul_ctl.scala 137:112] + node _T_14586 = add(_T_14585, _T_14561) @[exu_mul_ctl.scala 137:112] + node _T_14587 = add(_T_14586, _T_14562) @[exu_mul_ctl.scala 137:112] + node _T_14588 = add(_T_14587, _T_14563) @[exu_mul_ctl.scala 137:112] + node _T_14589 = add(_T_14588, _T_14564) @[exu_mul_ctl.scala 137:112] + node _T_14590 = eq(_T_14589, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14591 = bits(_T_14590, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14592 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_14593 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14594 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14595 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14596 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14597 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14598 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14599 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14600 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14601 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14602 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14603 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14604 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14605 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14606 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14607 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14608 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14609 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14610 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14611 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14612 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14613 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14614 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14615 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14616 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14617 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14618 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14619 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14620 = add(_T_14593, _T_14594) @[exu_mul_ctl.scala 137:112] + node _T_14621 = add(_T_14620, _T_14595) @[exu_mul_ctl.scala 137:112] + node _T_14622 = add(_T_14621, _T_14596) @[exu_mul_ctl.scala 137:112] + node _T_14623 = add(_T_14622, _T_14597) @[exu_mul_ctl.scala 137:112] + node _T_14624 = add(_T_14623, _T_14598) @[exu_mul_ctl.scala 137:112] + node _T_14625 = add(_T_14624, _T_14599) @[exu_mul_ctl.scala 137:112] + node _T_14626 = add(_T_14625, _T_14600) @[exu_mul_ctl.scala 137:112] + node _T_14627 = add(_T_14626, _T_14601) @[exu_mul_ctl.scala 137:112] + node _T_14628 = add(_T_14627, _T_14602) @[exu_mul_ctl.scala 137:112] + node _T_14629 = add(_T_14628, _T_14603) @[exu_mul_ctl.scala 137:112] + node _T_14630 = add(_T_14629, _T_14604) @[exu_mul_ctl.scala 137:112] + node _T_14631 = add(_T_14630, _T_14605) @[exu_mul_ctl.scala 137:112] + node _T_14632 = add(_T_14631, _T_14606) @[exu_mul_ctl.scala 137:112] + node _T_14633 = add(_T_14632, _T_14607) @[exu_mul_ctl.scala 137:112] + node _T_14634 = add(_T_14633, _T_14608) @[exu_mul_ctl.scala 137:112] + node _T_14635 = add(_T_14634, _T_14609) @[exu_mul_ctl.scala 137:112] + node _T_14636 = add(_T_14635, _T_14610) @[exu_mul_ctl.scala 137:112] + node _T_14637 = add(_T_14636, _T_14611) @[exu_mul_ctl.scala 137:112] + node _T_14638 = add(_T_14637, _T_14612) @[exu_mul_ctl.scala 137:112] + node _T_14639 = add(_T_14638, _T_14613) @[exu_mul_ctl.scala 137:112] + node _T_14640 = add(_T_14639, _T_14614) @[exu_mul_ctl.scala 137:112] + node _T_14641 = add(_T_14640, _T_14615) @[exu_mul_ctl.scala 137:112] + node _T_14642 = add(_T_14641, _T_14616) @[exu_mul_ctl.scala 137:112] + node _T_14643 = add(_T_14642, _T_14617) @[exu_mul_ctl.scala 137:112] + node _T_14644 = add(_T_14643, _T_14618) @[exu_mul_ctl.scala 137:112] + node _T_14645 = add(_T_14644, _T_14619) @[exu_mul_ctl.scala 137:112] + node _T_14646 = eq(_T_14645, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14647 = bits(_T_14646, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14648 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_14649 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14650 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14651 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14652 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14653 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14654 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14655 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14656 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14657 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14658 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14659 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14660 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14661 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14662 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14663 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14664 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14665 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14666 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14667 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14668 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14669 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14670 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14671 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14672 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14673 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14674 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14675 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14676 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_14677 = add(_T_14649, _T_14650) @[exu_mul_ctl.scala 137:112] + node _T_14678 = add(_T_14677, _T_14651) @[exu_mul_ctl.scala 137:112] + node _T_14679 = add(_T_14678, _T_14652) @[exu_mul_ctl.scala 137:112] + node _T_14680 = add(_T_14679, _T_14653) @[exu_mul_ctl.scala 137:112] + node _T_14681 = add(_T_14680, _T_14654) @[exu_mul_ctl.scala 137:112] + node _T_14682 = add(_T_14681, _T_14655) @[exu_mul_ctl.scala 137:112] + node _T_14683 = add(_T_14682, _T_14656) @[exu_mul_ctl.scala 137:112] + node _T_14684 = add(_T_14683, _T_14657) @[exu_mul_ctl.scala 137:112] + node _T_14685 = add(_T_14684, _T_14658) @[exu_mul_ctl.scala 137:112] + node _T_14686 = add(_T_14685, _T_14659) @[exu_mul_ctl.scala 137:112] + node _T_14687 = add(_T_14686, _T_14660) @[exu_mul_ctl.scala 137:112] + node _T_14688 = add(_T_14687, _T_14661) @[exu_mul_ctl.scala 137:112] + node _T_14689 = add(_T_14688, _T_14662) @[exu_mul_ctl.scala 137:112] + node _T_14690 = add(_T_14689, _T_14663) @[exu_mul_ctl.scala 137:112] + node _T_14691 = add(_T_14690, _T_14664) @[exu_mul_ctl.scala 137:112] + node _T_14692 = add(_T_14691, _T_14665) @[exu_mul_ctl.scala 137:112] + node _T_14693 = add(_T_14692, _T_14666) @[exu_mul_ctl.scala 137:112] + node _T_14694 = add(_T_14693, _T_14667) @[exu_mul_ctl.scala 137:112] + node _T_14695 = add(_T_14694, _T_14668) @[exu_mul_ctl.scala 137:112] + node _T_14696 = add(_T_14695, _T_14669) @[exu_mul_ctl.scala 137:112] + node _T_14697 = add(_T_14696, _T_14670) @[exu_mul_ctl.scala 137:112] + node _T_14698 = add(_T_14697, _T_14671) @[exu_mul_ctl.scala 137:112] + node _T_14699 = add(_T_14698, _T_14672) @[exu_mul_ctl.scala 137:112] + node _T_14700 = add(_T_14699, _T_14673) @[exu_mul_ctl.scala 137:112] + node _T_14701 = add(_T_14700, _T_14674) @[exu_mul_ctl.scala 137:112] + node _T_14702 = add(_T_14701, _T_14675) @[exu_mul_ctl.scala 137:112] + node _T_14703 = add(_T_14702, _T_14676) @[exu_mul_ctl.scala 137:112] + node _T_14704 = eq(_T_14703, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14705 = bits(_T_14704, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14706 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_14707 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14708 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14709 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14710 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14711 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14712 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14713 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14714 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14715 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14716 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14717 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14718 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14719 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14720 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14721 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14722 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14723 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14724 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14725 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14726 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14727 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14728 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14729 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14730 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14731 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14732 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14733 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14734 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_14735 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_14736 = add(_T_14707, _T_14708) @[exu_mul_ctl.scala 137:112] + node _T_14737 = add(_T_14736, _T_14709) @[exu_mul_ctl.scala 137:112] + node _T_14738 = add(_T_14737, _T_14710) @[exu_mul_ctl.scala 137:112] + node _T_14739 = add(_T_14738, _T_14711) @[exu_mul_ctl.scala 137:112] + node _T_14740 = add(_T_14739, _T_14712) @[exu_mul_ctl.scala 137:112] + node _T_14741 = add(_T_14740, _T_14713) @[exu_mul_ctl.scala 137:112] + node _T_14742 = add(_T_14741, _T_14714) @[exu_mul_ctl.scala 137:112] + node _T_14743 = add(_T_14742, _T_14715) @[exu_mul_ctl.scala 137:112] + node _T_14744 = add(_T_14743, _T_14716) @[exu_mul_ctl.scala 137:112] + node _T_14745 = add(_T_14744, _T_14717) @[exu_mul_ctl.scala 137:112] + node _T_14746 = add(_T_14745, _T_14718) @[exu_mul_ctl.scala 137:112] + node _T_14747 = add(_T_14746, _T_14719) @[exu_mul_ctl.scala 137:112] + node _T_14748 = add(_T_14747, _T_14720) @[exu_mul_ctl.scala 137:112] + node _T_14749 = add(_T_14748, _T_14721) @[exu_mul_ctl.scala 137:112] + node _T_14750 = add(_T_14749, _T_14722) @[exu_mul_ctl.scala 137:112] + node _T_14751 = add(_T_14750, _T_14723) @[exu_mul_ctl.scala 137:112] + node _T_14752 = add(_T_14751, _T_14724) @[exu_mul_ctl.scala 137:112] + node _T_14753 = add(_T_14752, _T_14725) @[exu_mul_ctl.scala 137:112] + node _T_14754 = add(_T_14753, _T_14726) @[exu_mul_ctl.scala 137:112] + node _T_14755 = add(_T_14754, _T_14727) @[exu_mul_ctl.scala 137:112] + node _T_14756 = add(_T_14755, _T_14728) @[exu_mul_ctl.scala 137:112] + node _T_14757 = add(_T_14756, _T_14729) @[exu_mul_ctl.scala 137:112] + node _T_14758 = add(_T_14757, _T_14730) @[exu_mul_ctl.scala 137:112] + node _T_14759 = add(_T_14758, _T_14731) @[exu_mul_ctl.scala 137:112] + node _T_14760 = add(_T_14759, _T_14732) @[exu_mul_ctl.scala 137:112] + node _T_14761 = add(_T_14760, _T_14733) @[exu_mul_ctl.scala 137:112] + node _T_14762 = add(_T_14761, _T_14734) @[exu_mul_ctl.scala 137:112] + node _T_14763 = add(_T_14762, _T_14735) @[exu_mul_ctl.scala 137:112] + node _T_14764 = eq(_T_14763, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14765 = bits(_T_14764, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14766 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_14767 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14768 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14769 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14770 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14771 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14772 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14773 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14774 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14775 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14776 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14777 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14778 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14779 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14780 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14781 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14782 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14783 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14784 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14785 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14786 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14787 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14788 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14789 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14790 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14791 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14792 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14793 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14794 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_14795 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_14796 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_14797 = add(_T_14767, _T_14768) @[exu_mul_ctl.scala 137:112] + node _T_14798 = add(_T_14797, _T_14769) @[exu_mul_ctl.scala 137:112] + node _T_14799 = add(_T_14798, _T_14770) @[exu_mul_ctl.scala 137:112] + node _T_14800 = add(_T_14799, _T_14771) @[exu_mul_ctl.scala 137:112] + node _T_14801 = add(_T_14800, _T_14772) @[exu_mul_ctl.scala 137:112] + node _T_14802 = add(_T_14801, _T_14773) @[exu_mul_ctl.scala 137:112] + node _T_14803 = add(_T_14802, _T_14774) @[exu_mul_ctl.scala 137:112] + node _T_14804 = add(_T_14803, _T_14775) @[exu_mul_ctl.scala 137:112] + node _T_14805 = add(_T_14804, _T_14776) @[exu_mul_ctl.scala 137:112] + node _T_14806 = add(_T_14805, _T_14777) @[exu_mul_ctl.scala 137:112] + node _T_14807 = add(_T_14806, _T_14778) @[exu_mul_ctl.scala 137:112] + node _T_14808 = add(_T_14807, _T_14779) @[exu_mul_ctl.scala 137:112] + node _T_14809 = add(_T_14808, _T_14780) @[exu_mul_ctl.scala 137:112] + node _T_14810 = add(_T_14809, _T_14781) @[exu_mul_ctl.scala 137:112] + node _T_14811 = add(_T_14810, _T_14782) @[exu_mul_ctl.scala 137:112] + node _T_14812 = add(_T_14811, _T_14783) @[exu_mul_ctl.scala 137:112] + node _T_14813 = add(_T_14812, _T_14784) @[exu_mul_ctl.scala 137:112] + node _T_14814 = add(_T_14813, _T_14785) @[exu_mul_ctl.scala 137:112] + node _T_14815 = add(_T_14814, _T_14786) @[exu_mul_ctl.scala 137:112] + node _T_14816 = add(_T_14815, _T_14787) @[exu_mul_ctl.scala 137:112] + node _T_14817 = add(_T_14816, _T_14788) @[exu_mul_ctl.scala 137:112] + node _T_14818 = add(_T_14817, _T_14789) @[exu_mul_ctl.scala 137:112] + node _T_14819 = add(_T_14818, _T_14790) @[exu_mul_ctl.scala 137:112] + node _T_14820 = add(_T_14819, _T_14791) @[exu_mul_ctl.scala 137:112] + node _T_14821 = add(_T_14820, _T_14792) @[exu_mul_ctl.scala 137:112] + node _T_14822 = add(_T_14821, _T_14793) @[exu_mul_ctl.scala 137:112] + node _T_14823 = add(_T_14822, _T_14794) @[exu_mul_ctl.scala 137:112] + node _T_14824 = add(_T_14823, _T_14795) @[exu_mul_ctl.scala 137:112] + node _T_14825 = add(_T_14824, _T_14796) @[exu_mul_ctl.scala 137:112] + node _T_14826 = eq(_T_14825, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14827 = bits(_T_14826, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14828 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_14829 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14830 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14831 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14832 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14833 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14834 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14835 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14836 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14837 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14838 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14839 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14840 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14841 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14842 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14843 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14844 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14845 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14846 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14847 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14848 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14849 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14850 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14851 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14852 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14853 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14854 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14855 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14856 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_14857 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_14858 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_14859 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_14860 = add(_T_14829, _T_14830) @[exu_mul_ctl.scala 137:112] + node _T_14861 = add(_T_14860, _T_14831) @[exu_mul_ctl.scala 137:112] + node _T_14862 = add(_T_14861, _T_14832) @[exu_mul_ctl.scala 137:112] + node _T_14863 = add(_T_14862, _T_14833) @[exu_mul_ctl.scala 137:112] + node _T_14864 = add(_T_14863, _T_14834) @[exu_mul_ctl.scala 137:112] + node _T_14865 = add(_T_14864, _T_14835) @[exu_mul_ctl.scala 137:112] + node _T_14866 = add(_T_14865, _T_14836) @[exu_mul_ctl.scala 137:112] + node _T_14867 = add(_T_14866, _T_14837) @[exu_mul_ctl.scala 137:112] + node _T_14868 = add(_T_14867, _T_14838) @[exu_mul_ctl.scala 137:112] + node _T_14869 = add(_T_14868, _T_14839) @[exu_mul_ctl.scala 137:112] + node _T_14870 = add(_T_14869, _T_14840) @[exu_mul_ctl.scala 137:112] + node _T_14871 = add(_T_14870, _T_14841) @[exu_mul_ctl.scala 137:112] + node _T_14872 = add(_T_14871, _T_14842) @[exu_mul_ctl.scala 137:112] + node _T_14873 = add(_T_14872, _T_14843) @[exu_mul_ctl.scala 137:112] + node _T_14874 = add(_T_14873, _T_14844) @[exu_mul_ctl.scala 137:112] + node _T_14875 = add(_T_14874, _T_14845) @[exu_mul_ctl.scala 137:112] + node _T_14876 = add(_T_14875, _T_14846) @[exu_mul_ctl.scala 137:112] + node _T_14877 = add(_T_14876, _T_14847) @[exu_mul_ctl.scala 137:112] + node _T_14878 = add(_T_14877, _T_14848) @[exu_mul_ctl.scala 137:112] + node _T_14879 = add(_T_14878, _T_14849) @[exu_mul_ctl.scala 137:112] + node _T_14880 = add(_T_14879, _T_14850) @[exu_mul_ctl.scala 137:112] + node _T_14881 = add(_T_14880, _T_14851) @[exu_mul_ctl.scala 137:112] + node _T_14882 = add(_T_14881, _T_14852) @[exu_mul_ctl.scala 137:112] + node _T_14883 = add(_T_14882, _T_14853) @[exu_mul_ctl.scala 137:112] + node _T_14884 = add(_T_14883, _T_14854) @[exu_mul_ctl.scala 137:112] + node _T_14885 = add(_T_14884, _T_14855) @[exu_mul_ctl.scala 137:112] + node _T_14886 = add(_T_14885, _T_14856) @[exu_mul_ctl.scala 137:112] + node _T_14887 = add(_T_14886, _T_14857) @[exu_mul_ctl.scala 137:112] + node _T_14888 = add(_T_14887, _T_14858) @[exu_mul_ctl.scala 137:112] + node _T_14889 = add(_T_14888, _T_14859) @[exu_mul_ctl.scala 137:112] + node _T_14890 = eq(_T_14889, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14891 = bits(_T_14890, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14892 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_14893 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14894 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14895 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_14896 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_14897 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_14898 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_14899 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_14900 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_14901 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_14902 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_14903 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_14904 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_14905 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_14906 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_14907 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_14908 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_14909 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_14910 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_14911 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_14912 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_14913 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_14914 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_14915 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_14916 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_14917 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_14918 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_14919 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_14920 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_14921 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_14922 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_14923 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_14924 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_14925 = add(_T_14893, _T_14894) @[exu_mul_ctl.scala 137:112] + node _T_14926 = add(_T_14925, _T_14895) @[exu_mul_ctl.scala 137:112] + node _T_14927 = add(_T_14926, _T_14896) @[exu_mul_ctl.scala 137:112] + node _T_14928 = add(_T_14927, _T_14897) @[exu_mul_ctl.scala 137:112] + node _T_14929 = add(_T_14928, _T_14898) @[exu_mul_ctl.scala 137:112] + node _T_14930 = add(_T_14929, _T_14899) @[exu_mul_ctl.scala 137:112] + node _T_14931 = add(_T_14930, _T_14900) @[exu_mul_ctl.scala 137:112] + node _T_14932 = add(_T_14931, _T_14901) @[exu_mul_ctl.scala 137:112] + node _T_14933 = add(_T_14932, _T_14902) @[exu_mul_ctl.scala 137:112] + node _T_14934 = add(_T_14933, _T_14903) @[exu_mul_ctl.scala 137:112] + node _T_14935 = add(_T_14934, _T_14904) @[exu_mul_ctl.scala 137:112] + node _T_14936 = add(_T_14935, _T_14905) @[exu_mul_ctl.scala 137:112] + node _T_14937 = add(_T_14936, _T_14906) @[exu_mul_ctl.scala 137:112] + node _T_14938 = add(_T_14937, _T_14907) @[exu_mul_ctl.scala 137:112] + node _T_14939 = add(_T_14938, _T_14908) @[exu_mul_ctl.scala 137:112] + node _T_14940 = add(_T_14939, _T_14909) @[exu_mul_ctl.scala 137:112] + node _T_14941 = add(_T_14940, _T_14910) @[exu_mul_ctl.scala 137:112] + node _T_14942 = add(_T_14941, _T_14911) @[exu_mul_ctl.scala 137:112] + node _T_14943 = add(_T_14942, _T_14912) @[exu_mul_ctl.scala 137:112] + node _T_14944 = add(_T_14943, _T_14913) @[exu_mul_ctl.scala 137:112] + node _T_14945 = add(_T_14944, _T_14914) @[exu_mul_ctl.scala 137:112] + node _T_14946 = add(_T_14945, _T_14915) @[exu_mul_ctl.scala 137:112] + node _T_14947 = add(_T_14946, _T_14916) @[exu_mul_ctl.scala 137:112] + node _T_14948 = add(_T_14947, _T_14917) @[exu_mul_ctl.scala 137:112] + node _T_14949 = add(_T_14948, _T_14918) @[exu_mul_ctl.scala 137:112] + node _T_14950 = add(_T_14949, _T_14919) @[exu_mul_ctl.scala 137:112] + node _T_14951 = add(_T_14950, _T_14920) @[exu_mul_ctl.scala 137:112] + node _T_14952 = add(_T_14951, _T_14921) @[exu_mul_ctl.scala 137:112] + node _T_14953 = add(_T_14952, _T_14922) @[exu_mul_ctl.scala 137:112] + node _T_14954 = add(_T_14953, _T_14923) @[exu_mul_ctl.scala 137:112] + node _T_14955 = add(_T_14954, _T_14924) @[exu_mul_ctl.scala 137:112] + node _T_14956 = eq(_T_14955, UInt<4>("h0d")) @[exu_mul_ctl.scala 138:87] + node _T_14957 = bits(_T_14956, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14958 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_14959 = mux(_T_14957, _T_14958, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_14960 = mux(_T_14891, _T_14892, _T_14959) @[Mux.scala 98:16] + node _T_14961 = mux(_T_14827, _T_14828, _T_14960) @[Mux.scala 98:16] + node _T_14962 = mux(_T_14765, _T_14766, _T_14961) @[Mux.scala 98:16] + node _T_14963 = mux(_T_14705, _T_14706, _T_14962) @[Mux.scala 98:16] + node _T_14964 = mux(_T_14647, _T_14648, _T_14963) @[Mux.scala 98:16] + node _T_14965 = mux(_T_14591, _T_14592, _T_14964) @[Mux.scala 98:16] + node _T_14966 = mux(_T_14537, _T_14538, _T_14965) @[Mux.scala 98:16] + node _T_14967 = mux(_T_14485, _T_14486, _T_14966) @[Mux.scala 98:16] + node _T_14968 = mux(_T_14435, _T_14436, _T_14967) @[Mux.scala 98:16] + node _T_14969 = mux(_T_14387, _T_14388, _T_14968) @[Mux.scala 98:16] + node _T_14970 = mux(_T_14341, _T_14342, _T_14969) @[Mux.scala 98:16] + node _T_14971 = mux(_T_14297, _T_14298, _T_14970) @[Mux.scala 98:16] + node _T_14972 = mux(_T_14255, _T_14256, _T_14971) @[Mux.scala 98:16] + node _T_14973 = mux(_T_14215, _T_14216, _T_14972) @[Mux.scala 98:16] + node _T_14974 = mux(_T_14177, _T_14178, _T_14973) @[Mux.scala 98:16] + node _T_14975 = mux(_T_14141, _T_14142, _T_14974) @[Mux.scala 98:16] + node _T_14976 = mux(_T_14107, _T_14108, _T_14975) @[Mux.scala 98:16] + node _T_14977 = mux(_T_14075, _T_14076, _T_14976) @[Mux.scala 98:16] + node _T_14978 = mux(_T_14045, _T_14046, _T_14977) @[Mux.scala 98:16] + node _T_14979 = mux(_T_14017, _T_14018, _T_14978) @[Mux.scala 98:16] + node _T_14980 = mux(_T_13991, _T_13992, _T_14979) @[Mux.scala 98:16] + node _T_14981 = mux(_T_13967, _T_13968, _T_14980) @[Mux.scala 98:16] + node _T_14982 = mux(_T_13945, _T_13946, _T_14981) @[Mux.scala 98:16] + node _T_14983 = mux(_T_13925, _T_13926, _T_14982) @[Mux.scala 98:16] + node _T_14984 = mux(_T_13907, _T_13908, _T_14983) @[Mux.scala 98:16] + node _T_14985 = mux(_T_13891, _T_13892, _T_14984) @[Mux.scala 98:16] + node _T_14986 = mux(_T_13877, _T_13878, _T_14985) @[Mux.scala 98:16] + node _T_14987 = mux(_T_13865, _T_13866, _T_14986) @[Mux.scala 98:16] + node _T_14988 = mux(_T_13855, _T_13856, _T_14987) @[Mux.scala 98:16] + node _T_14989 = mux(_T_13847, _T_13848, _T_14988) @[Mux.scala 98:16] + node _T_14990 = mux(_T_13841, _T_13842, _T_14989) @[Mux.scala 98:16] + node _T_14991 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_14992 = eq(_T_14991, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_14993 = bits(_T_14992, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_14994 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_14995 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_14996 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_14997 = add(_T_14995, _T_14996) @[exu_mul_ctl.scala 137:112] + node _T_14998 = eq(_T_14997, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_14999 = bits(_T_14998, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15000 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_15001 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15002 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15003 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15004 = add(_T_15001, _T_15002) @[exu_mul_ctl.scala 137:112] + node _T_15005 = add(_T_15004, _T_15003) @[exu_mul_ctl.scala 137:112] + node _T_15006 = eq(_T_15005, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15007 = bits(_T_15006, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15008 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_15009 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15010 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15011 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15012 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15013 = add(_T_15009, _T_15010) @[exu_mul_ctl.scala 137:112] + node _T_15014 = add(_T_15013, _T_15011) @[exu_mul_ctl.scala 137:112] + node _T_15015 = add(_T_15014, _T_15012) @[exu_mul_ctl.scala 137:112] + node _T_15016 = eq(_T_15015, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15017 = bits(_T_15016, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15018 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_15019 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15020 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15021 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15022 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15023 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15024 = add(_T_15019, _T_15020) @[exu_mul_ctl.scala 137:112] + node _T_15025 = add(_T_15024, _T_15021) @[exu_mul_ctl.scala 137:112] + node _T_15026 = add(_T_15025, _T_15022) @[exu_mul_ctl.scala 137:112] + node _T_15027 = add(_T_15026, _T_15023) @[exu_mul_ctl.scala 137:112] + node _T_15028 = eq(_T_15027, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15029 = bits(_T_15028, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15030 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_15031 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15032 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15033 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15034 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15035 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15036 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15037 = add(_T_15031, _T_15032) @[exu_mul_ctl.scala 137:112] + node _T_15038 = add(_T_15037, _T_15033) @[exu_mul_ctl.scala 137:112] + node _T_15039 = add(_T_15038, _T_15034) @[exu_mul_ctl.scala 137:112] + node _T_15040 = add(_T_15039, _T_15035) @[exu_mul_ctl.scala 137:112] + node _T_15041 = add(_T_15040, _T_15036) @[exu_mul_ctl.scala 137:112] + node _T_15042 = eq(_T_15041, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15043 = bits(_T_15042, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15044 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_15045 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15046 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15047 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15048 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15049 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15050 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15051 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15052 = add(_T_15045, _T_15046) @[exu_mul_ctl.scala 137:112] + node _T_15053 = add(_T_15052, _T_15047) @[exu_mul_ctl.scala 137:112] + node _T_15054 = add(_T_15053, _T_15048) @[exu_mul_ctl.scala 137:112] + node _T_15055 = add(_T_15054, _T_15049) @[exu_mul_ctl.scala 137:112] + node _T_15056 = add(_T_15055, _T_15050) @[exu_mul_ctl.scala 137:112] + node _T_15057 = add(_T_15056, _T_15051) @[exu_mul_ctl.scala 137:112] + node _T_15058 = eq(_T_15057, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15059 = bits(_T_15058, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15060 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_15061 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15062 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15063 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15064 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15065 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15066 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15067 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15068 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15069 = add(_T_15061, _T_15062) @[exu_mul_ctl.scala 137:112] + node _T_15070 = add(_T_15069, _T_15063) @[exu_mul_ctl.scala 137:112] + node _T_15071 = add(_T_15070, _T_15064) @[exu_mul_ctl.scala 137:112] + node _T_15072 = add(_T_15071, _T_15065) @[exu_mul_ctl.scala 137:112] + node _T_15073 = add(_T_15072, _T_15066) @[exu_mul_ctl.scala 137:112] + node _T_15074 = add(_T_15073, _T_15067) @[exu_mul_ctl.scala 137:112] + node _T_15075 = add(_T_15074, _T_15068) @[exu_mul_ctl.scala 137:112] + node _T_15076 = eq(_T_15075, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15077 = bits(_T_15076, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15078 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_15079 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15080 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15081 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15082 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15083 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15084 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15085 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15086 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15087 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15088 = add(_T_15079, _T_15080) @[exu_mul_ctl.scala 137:112] + node _T_15089 = add(_T_15088, _T_15081) @[exu_mul_ctl.scala 137:112] + node _T_15090 = add(_T_15089, _T_15082) @[exu_mul_ctl.scala 137:112] + node _T_15091 = add(_T_15090, _T_15083) @[exu_mul_ctl.scala 137:112] + node _T_15092 = add(_T_15091, _T_15084) @[exu_mul_ctl.scala 137:112] + node _T_15093 = add(_T_15092, _T_15085) @[exu_mul_ctl.scala 137:112] + node _T_15094 = add(_T_15093, _T_15086) @[exu_mul_ctl.scala 137:112] + node _T_15095 = add(_T_15094, _T_15087) @[exu_mul_ctl.scala 137:112] + node _T_15096 = eq(_T_15095, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15097 = bits(_T_15096, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15098 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_15099 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15100 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15101 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15102 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15103 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15104 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15105 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15106 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15107 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15108 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15109 = add(_T_15099, _T_15100) @[exu_mul_ctl.scala 137:112] + node _T_15110 = add(_T_15109, _T_15101) @[exu_mul_ctl.scala 137:112] + node _T_15111 = add(_T_15110, _T_15102) @[exu_mul_ctl.scala 137:112] + node _T_15112 = add(_T_15111, _T_15103) @[exu_mul_ctl.scala 137:112] + node _T_15113 = add(_T_15112, _T_15104) @[exu_mul_ctl.scala 137:112] + node _T_15114 = add(_T_15113, _T_15105) @[exu_mul_ctl.scala 137:112] + node _T_15115 = add(_T_15114, _T_15106) @[exu_mul_ctl.scala 137:112] + node _T_15116 = add(_T_15115, _T_15107) @[exu_mul_ctl.scala 137:112] + node _T_15117 = add(_T_15116, _T_15108) @[exu_mul_ctl.scala 137:112] + node _T_15118 = eq(_T_15117, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15119 = bits(_T_15118, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15120 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_15121 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15122 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15123 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15124 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15125 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15126 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15127 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15128 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15129 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15130 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15131 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15132 = add(_T_15121, _T_15122) @[exu_mul_ctl.scala 137:112] + node _T_15133 = add(_T_15132, _T_15123) @[exu_mul_ctl.scala 137:112] + node _T_15134 = add(_T_15133, _T_15124) @[exu_mul_ctl.scala 137:112] + node _T_15135 = add(_T_15134, _T_15125) @[exu_mul_ctl.scala 137:112] + node _T_15136 = add(_T_15135, _T_15126) @[exu_mul_ctl.scala 137:112] + node _T_15137 = add(_T_15136, _T_15127) @[exu_mul_ctl.scala 137:112] + node _T_15138 = add(_T_15137, _T_15128) @[exu_mul_ctl.scala 137:112] + node _T_15139 = add(_T_15138, _T_15129) @[exu_mul_ctl.scala 137:112] + node _T_15140 = add(_T_15139, _T_15130) @[exu_mul_ctl.scala 137:112] + node _T_15141 = add(_T_15140, _T_15131) @[exu_mul_ctl.scala 137:112] + node _T_15142 = eq(_T_15141, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15143 = bits(_T_15142, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15144 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_15145 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15146 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15147 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15148 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15149 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15150 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15151 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15152 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15153 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15154 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15155 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15156 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15157 = add(_T_15145, _T_15146) @[exu_mul_ctl.scala 137:112] + node _T_15158 = add(_T_15157, _T_15147) @[exu_mul_ctl.scala 137:112] + node _T_15159 = add(_T_15158, _T_15148) @[exu_mul_ctl.scala 137:112] + node _T_15160 = add(_T_15159, _T_15149) @[exu_mul_ctl.scala 137:112] + node _T_15161 = add(_T_15160, _T_15150) @[exu_mul_ctl.scala 137:112] + node _T_15162 = add(_T_15161, _T_15151) @[exu_mul_ctl.scala 137:112] + node _T_15163 = add(_T_15162, _T_15152) @[exu_mul_ctl.scala 137:112] + node _T_15164 = add(_T_15163, _T_15153) @[exu_mul_ctl.scala 137:112] + node _T_15165 = add(_T_15164, _T_15154) @[exu_mul_ctl.scala 137:112] + node _T_15166 = add(_T_15165, _T_15155) @[exu_mul_ctl.scala 137:112] + node _T_15167 = add(_T_15166, _T_15156) @[exu_mul_ctl.scala 137:112] + node _T_15168 = eq(_T_15167, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15169 = bits(_T_15168, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15170 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_15171 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15172 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15173 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15174 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15175 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15176 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15177 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15178 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15179 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15180 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15181 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15182 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15183 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15184 = add(_T_15171, _T_15172) @[exu_mul_ctl.scala 137:112] + node _T_15185 = add(_T_15184, _T_15173) @[exu_mul_ctl.scala 137:112] + node _T_15186 = add(_T_15185, _T_15174) @[exu_mul_ctl.scala 137:112] + node _T_15187 = add(_T_15186, _T_15175) @[exu_mul_ctl.scala 137:112] + node _T_15188 = add(_T_15187, _T_15176) @[exu_mul_ctl.scala 137:112] + node _T_15189 = add(_T_15188, _T_15177) @[exu_mul_ctl.scala 137:112] + node _T_15190 = add(_T_15189, _T_15178) @[exu_mul_ctl.scala 137:112] + node _T_15191 = add(_T_15190, _T_15179) @[exu_mul_ctl.scala 137:112] + node _T_15192 = add(_T_15191, _T_15180) @[exu_mul_ctl.scala 137:112] + node _T_15193 = add(_T_15192, _T_15181) @[exu_mul_ctl.scala 137:112] + node _T_15194 = add(_T_15193, _T_15182) @[exu_mul_ctl.scala 137:112] + node _T_15195 = add(_T_15194, _T_15183) @[exu_mul_ctl.scala 137:112] + node _T_15196 = eq(_T_15195, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15197 = bits(_T_15196, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15198 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_15199 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15200 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15201 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15202 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15203 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15204 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15205 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15206 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15207 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15208 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15209 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15210 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15211 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15212 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15213 = add(_T_15199, _T_15200) @[exu_mul_ctl.scala 137:112] + node _T_15214 = add(_T_15213, _T_15201) @[exu_mul_ctl.scala 137:112] + node _T_15215 = add(_T_15214, _T_15202) @[exu_mul_ctl.scala 137:112] + node _T_15216 = add(_T_15215, _T_15203) @[exu_mul_ctl.scala 137:112] + node _T_15217 = add(_T_15216, _T_15204) @[exu_mul_ctl.scala 137:112] + node _T_15218 = add(_T_15217, _T_15205) @[exu_mul_ctl.scala 137:112] + node _T_15219 = add(_T_15218, _T_15206) @[exu_mul_ctl.scala 137:112] + node _T_15220 = add(_T_15219, _T_15207) @[exu_mul_ctl.scala 137:112] + node _T_15221 = add(_T_15220, _T_15208) @[exu_mul_ctl.scala 137:112] + node _T_15222 = add(_T_15221, _T_15209) @[exu_mul_ctl.scala 137:112] + node _T_15223 = add(_T_15222, _T_15210) @[exu_mul_ctl.scala 137:112] + node _T_15224 = add(_T_15223, _T_15211) @[exu_mul_ctl.scala 137:112] + node _T_15225 = add(_T_15224, _T_15212) @[exu_mul_ctl.scala 137:112] + node _T_15226 = eq(_T_15225, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15227 = bits(_T_15226, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15228 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_15229 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15230 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15231 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15232 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15233 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15234 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15235 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15236 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15237 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15238 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15239 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15240 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15241 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15242 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15243 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15244 = add(_T_15229, _T_15230) @[exu_mul_ctl.scala 137:112] + node _T_15245 = add(_T_15244, _T_15231) @[exu_mul_ctl.scala 137:112] + node _T_15246 = add(_T_15245, _T_15232) @[exu_mul_ctl.scala 137:112] + node _T_15247 = add(_T_15246, _T_15233) @[exu_mul_ctl.scala 137:112] + node _T_15248 = add(_T_15247, _T_15234) @[exu_mul_ctl.scala 137:112] + node _T_15249 = add(_T_15248, _T_15235) @[exu_mul_ctl.scala 137:112] + node _T_15250 = add(_T_15249, _T_15236) @[exu_mul_ctl.scala 137:112] + node _T_15251 = add(_T_15250, _T_15237) @[exu_mul_ctl.scala 137:112] + node _T_15252 = add(_T_15251, _T_15238) @[exu_mul_ctl.scala 137:112] + node _T_15253 = add(_T_15252, _T_15239) @[exu_mul_ctl.scala 137:112] + node _T_15254 = add(_T_15253, _T_15240) @[exu_mul_ctl.scala 137:112] + node _T_15255 = add(_T_15254, _T_15241) @[exu_mul_ctl.scala 137:112] + node _T_15256 = add(_T_15255, _T_15242) @[exu_mul_ctl.scala 137:112] + node _T_15257 = add(_T_15256, _T_15243) @[exu_mul_ctl.scala 137:112] + node _T_15258 = eq(_T_15257, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15259 = bits(_T_15258, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15260 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_15261 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15262 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15263 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15264 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15265 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15266 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15267 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15268 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15269 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15270 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15271 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15272 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15273 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15274 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15275 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15276 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15277 = add(_T_15261, _T_15262) @[exu_mul_ctl.scala 137:112] + node _T_15278 = add(_T_15277, _T_15263) @[exu_mul_ctl.scala 137:112] + node _T_15279 = add(_T_15278, _T_15264) @[exu_mul_ctl.scala 137:112] + node _T_15280 = add(_T_15279, _T_15265) @[exu_mul_ctl.scala 137:112] + node _T_15281 = add(_T_15280, _T_15266) @[exu_mul_ctl.scala 137:112] + node _T_15282 = add(_T_15281, _T_15267) @[exu_mul_ctl.scala 137:112] + node _T_15283 = add(_T_15282, _T_15268) @[exu_mul_ctl.scala 137:112] + node _T_15284 = add(_T_15283, _T_15269) @[exu_mul_ctl.scala 137:112] + node _T_15285 = add(_T_15284, _T_15270) @[exu_mul_ctl.scala 137:112] + node _T_15286 = add(_T_15285, _T_15271) @[exu_mul_ctl.scala 137:112] + node _T_15287 = add(_T_15286, _T_15272) @[exu_mul_ctl.scala 137:112] + node _T_15288 = add(_T_15287, _T_15273) @[exu_mul_ctl.scala 137:112] + node _T_15289 = add(_T_15288, _T_15274) @[exu_mul_ctl.scala 137:112] + node _T_15290 = add(_T_15289, _T_15275) @[exu_mul_ctl.scala 137:112] + node _T_15291 = add(_T_15290, _T_15276) @[exu_mul_ctl.scala 137:112] + node _T_15292 = eq(_T_15291, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15293 = bits(_T_15292, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15294 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_15295 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15296 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15297 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15298 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15299 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15300 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15301 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15302 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15303 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15304 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15305 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15306 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15307 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15308 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15309 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15310 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15311 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15312 = add(_T_15295, _T_15296) @[exu_mul_ctl.scala 137:112] + node _T_15313 = add(_T_15312, _T_15297) @[exu_mul_ctl.scala 137:112] + node _T_15314 = add(_T_15313, _T_15298) @[exu_mul_ctl.scala 137:112] + node _T_15315 = add(_T_15314, _T_15299) @[exu_mul_ctl.scala 137:112] + node _T_15316 = add(_T_15315, _T_15300) @[exu_mul_ctl.scala 137:112] + node _T_15317 = add(_T_15316, _T_15301) @[exu_mul_ctl.scala 137:112] + node _T_15318 = add(_T_15317, _T_15302) @[exu_mul_ctl.scala 137:112] + node _T_15319 = add(_T_15318, _T_15303) @[exu_mul_ctl.scala 137:112] + node _T_15320 = add(_T_15319, _T_15304) @[exu_mul_ctl.scala 137:112] + node _T_15321 = add(_T_15320, _T_15305) @[exu_mul_ctl.scala 137:112] + node _T_15322 = add(_T_15321, _T_15306) @[exu_mul_ctl.scala 137:112] + node _T_15323 = add(_T_15322, _T_15307) @[exu_mul_ctl.scala 137:112] + node _T_15324 = add(_T_15323, _T_15308) @[exu_mul_ctl.scala 137:112] + node _T_15325 = add(_T_15324, _T_15309) @[exu_mul_ctl.scala 137:112] + node _T_15326 = add(_T_15325, _T_15310) @[exu_mul_ctl.scala 137:112] + node _T_15327 = add(_T_15326, _T_15311) @[exu_mul_ctl.scala 137:112] + node _T_15328 = eq(_T_15327, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15329 = bits(_T_15328, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15330 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_15331 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15332 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15333 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15334 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15335 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15336 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15337 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15338 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15339 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15340 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15341 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15342 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15343 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15344 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15345 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15346 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15347 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15348 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15349 = add(_T_15331, _T_15332) @[exu_mul_ctl.scala 137:112] + node _T_15350 = add(_T_15349, _T_15333) @[exu_mul_ctl.scala 137:112] + node _T_15351 = add(_T_15350, _T_15334) @[exu_mul_ctl.scala 137:112] + node _T_15352 = add(_T_15351, _T_15335) @[exu_mul_ctl.scala 137:112] + node _T_15353 = add(_T_15352, _T_15336) @[exu_mul_ctl.scala 137:112] + node _T_15354 = add(_T_15353, _T_15337) @[exu_mul_ctl.scala 137:112] + node _T_15355 = add(_T_15354, _T_15338) @[exu_mul_ctl.scala 137:112] + node _T_15356 = add(_T_15355, _T_15339) @[exu_mul_ctl.scala 137:112] + node _T_15357 = add(_T_15356, _T_15340) @[exu_mul_ctl.scala 137:112] + node _T_15358 = add(_T_15357, _T_15341) @[exu_mul_ctl.scala 137:112] + node _T_15359 = add(_T_15358, _T_15342) @[exu_mul_ctl.scala 137:112] + node _T_15360 = add(_T_15359, _T_15343) @[exu_mul_ctl.scala 137:112] + node _T_15361 = add(_T_15360, _T_15344) @[exu_mul_ctl.scala 137:112] + node _T_15362 = add(_T_15361, _T_15345) @[exu_mul_ctl.scala 137:112] + node _T_15363 = add(_T_15362, _T_15346) @[exu_mul_ctl.scala 137:112] + node _T_15364 = add(_T_15363, _T_15347) @[exu_mul_ctl.scala 137:112] + node _T_15365 = add(_T_15364, _T_15348) @[exu_mul_ctl.scala 137:112] + node _T_15366 = eq(_T_15365, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15367 = bits(_T_15366, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15368 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_15369 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15370 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15371 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15372 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15373 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15374 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15375 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15376 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15377 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15378 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15379 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15380 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15381 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15382 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15383 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15384 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15385 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15386 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15387 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15388 = add(_T_15369, _T_15370) @[exu_mul_ctl.scala 137:112] + node _T_15389 = add(_T_15388, _T_15371) @[exu_mul_ctl.scala 137:112] + node _T_15390 = add(_T_15389, _T_15372) @[exu_mul_ctl.scala 137:112] + node _T_15391 = add(_T_15390, _T_15373) @[exu_mul_ctl.scala 137:112] + node _T_15392 = add(_T_15391, _T_15374) @[exu_mul_ctl.scala 137:112] + node _T_15393 = add(_T_15392, _T_15375) @[exu_mul_ctl.scala 137:112] + node _T_15394 = add(_T_15393, _T_15376) @[exu_mul_ctl.scala 137:112] + node _T_15395 = add(_T_15394, _T_15377) @[exu_mul_ctl.scala 137:112] + node _T_15396 = add(_T_15395, _T_15378) @[exu_mul_ctl.scala 137:112] + node _T_15397 = add(_T_15396, _T_15379) @[exu_mul_ctl.scala 137:112] + node _T_15398 = add(_T_15397, _T_15380) @[exu_mul_ctl.scala 137:112] + node _T_15399 = add(_T_15398, _T_15381) @[exu_mul_ctl.scala 137:112] + node _T_15400 = add(_T_15399, _T_15382) @[exu_mul_ctl.scala 137:112] + node _T_15401 = add(_T_15400, _T_15383) @[exu_mul_ctl.scala 137:112] + node _T_15402 = add(_T_15401, _T_15384) @[exu_mul_ctl.scala 137:112] + node _T_15403 = add(_T_15402, _T_15385) @[exu_mul_ctl.scala 137:112] + node _T_15404 = add(_T_15403, _T_15386) @[exu_mul_ctl.scala 137:112] + node _T_15405 = add(_T_15404, _T_15387) @[exu_mul_ctl.scala 137:112] + node _T_15406 = eq(_T_15405, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15407 = bits(_T_15406, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15408 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_15409 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15410 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15411 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15412 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15413 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15414 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15415 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15416 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15417 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15418 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15419 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15420 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15421 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15422 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15423 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15424 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15425 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15426 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15427 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15428 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15429 = add(_T_15409, _T_15410) @[exu_mul_ctl.scala 137:112] + node _T_15430 = add(_T_15429, _T_15411) @[exu_mul_ctl.scala 137:112] + node _T_15431 = add(_T_15430, _T_15412) @[exu_mul_ctl.scala 137:112] + node _T_15432 = add(_T_15431, _T_15413) @[exu_mul_ctl.scala 137:112] + node _T_15433 = add(_T_15432, _T_15414) @[exu_mul_ctl.scala 137:112] + node _T_15434 = add(_T_15433, _T_15415) @[exu_mul_ctl.scala 137:112] + node _T_15435 = add(_T_15434, _T_15416) @[exu_mul_ctl.scala 137:112] + node _T_15436 = add(_T_15435, _T_15417) @[exu_mul_ctl.scala 137:112] + node _T_15437 = add(_T_15436, _T_15418) @[exu_mul_ctl.scala 137:112] + node _T_15438 = add(_T_15437, _T_15419) @[exu_mul_ctl.scala 137:112] + node _T_15439 = add(_T_15438, _T_15420) @[exu_mul_ctl.scala 137:112] + node _T_15440 = add(_T_15439, _T_15421) @[exu_mul_ctl.scala 137:112] + node _T_15441 = add(_T_15440, _T_15422) @[exu_mul_ctl.scala 137:112] + node _T_15442 = add(_T_15441, _T_15423) @[exu_mul_ctl.scala 137:112] + node _T_15443 = add(_T_15442, _T_15424) @[exu_mul_ctl.scala 137:112] + node _T_15444 = add(_T_15443, _T_15425) @[exu_mul_ctl.scala 137:112] + node _T_15445 = add(_T_15444, _T_15426) @[exu_mul_ctl.scala 137:112] + node _T_15446 = add(_T_15445, _T_15427) @[exu_mul_ctl.scala 137:112] + node _T_15447 = add(_T_15446, _T_15428) @[exu_mul_ctl.scala 137:112] + node _T_15448 = eq(_T_15447, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15449 = bits(_T_15448, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15450 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_15451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15453 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15454 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15455 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15456 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15457 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15458 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15459 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15460 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15461 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15462 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15463 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15464 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15465 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15466 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15467 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15468 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15469 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15470 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15471 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15472 = add(_T_15451, _T_15452) @[exu_mul_ctl.scala 137:112] + node _T_15473 = add(_T_15472, _T_15453) @[exu_mul_ctl.scala 137:112] + node _T_15474 = add(_T_15473, _T_15454) @[exu_mul_ctl.scala 137:112] + node _T_15475 = add(_T_15474, _T_15455) @[exu_mul_ctl.scala 137:112] + node _T_15476 = add(_T_15475, _T_15456) @[exu_mul_ctl.scala 137:112] + node _T_15477 = add(_T_15476, _T_15457) @[exu_mul_ctl.scala 137:112] + node _T_15478 = add(_T_15477, _T_15458) @[exu_mul_ctl.scala 137:112] + node _T_15479 = add(_T_15478, _T_15459) @[exu_mul_ctl.scala 137:112] + node _T_15480 = add(_T_15479, _T_15460) @[exu_mul_ctl.scala 137:112] + node _T_15481 = add(_T_15480, _T_15461) @[exu_mul_ctl.scala 137:112] + node _T_15482 = add(_T_15481, _T_15462) @[exu_mul_ctl.scala 137:112] + node _T_15483 = add(_T_15482, _T_15463) @[exu_mul_ctl.scala 137:112] + node _T_15484 = add(_T_15483, _T_15464) @[exu_mul_ctl.scala 137:112] + node _T_15485 = add(_T_15484, _T_15465) @[exu_mul_ctl.scala 137:112] + node _T_15486 = add(_T_15485, _T_15466) @[exu_mul_ctl.scala 137:112] + node _T_15487 = add(_T_15486, _T_15467) @[exu_mul_ctl.scala 137:112] + node _T_15488 = add(_T_15487, _T_15468) @[exu_mul_ctl.scala 137:112] + node _T_15489 = add(_T_15488, _T_15469) @[exu_mul_ctl.scala 137:112] + node _T_15490 = add(_T_15489, _T_15470) @[exu_mul_ctl.scala 137:112] + node _T_15491 = add(_T_15490, _T_15471) @[exu_mul_ctl.scala 137:112] + node _T_15492 = eq(_T_15491, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15493 = bits(_T_15492, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15494 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_15495 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15496 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15497 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15498 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15499 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15500 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15501 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15502 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15503 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15504 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15505 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15506 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15507 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15508 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15509 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15510 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15511 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15512 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15513 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15514 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15515 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15516 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15517 = add(_T_15495, _T_15496) @[exu_mul_ctl.scala 137:112] + node _T_15518 = add(_T_15517, _T_15497) @[exu_mul_ctl.scala 137:112] + node _T_15519 = add(_T_15518, _T_15498) @[exu_mul_ctl.scala 137:112] + node _T_15520 = add(_T_15519, _T_15499) @[exu_mul_ctl.scala 137:112] + node _T_15521 = add(_T_15520, _T_15500) @[exu_mul_ctl.scala 137:112] + node _T_15522 = add(_T_15521, _T_15501) @[exu_mul_ctl.scala 137:112] + node _T_15523 = add(_T_15522, _T_15502) @[exu_mul_ctl.scala 137:112] + node _T_15524 = add(_T_15523, _T_15503) @[exu_mul_ctl.scala 137:112] + node _T_15525 = add(_T_15524, _T_15504) @[exu_mul_ctl.scala 137:112] + node _T_15526 = add(_T_15525, _T_15505) @[exu_mul_ctl.scala 137:112] + node _T_15527 = add(_T_15526, _T_15506) @[exu_mul_ctl.scala 137:112] + node _T_15528 = add(_T_15527, _T_15507) @[exu_mul_ctl.scala 137:112] + node _T_15529 = add(_T_15528, _T_15508) @[exu_mul_ctl.scala 137:112] + node _T_15530 = add(_T_15529, _T_15509) @[exu_mul_ctl.scala 137:112] + node _T_15531 = add(_T_15530, _T_15510) @[exu_mul_ctl.scala 137:112] + node _T_15532 = add(_T_15531, _T_15511) @[exu_mul_ctl.scala 137:112] + node _T_15533 = add(_T_15532, _T_15512) @[exu_mul_ctl.scala 137:112] + node _T_15534 = add(_T_15533, _T_15513) @[exu_mul_ctl.scala 137:112] + node _T_15535 = add(_T_15534, _T_15514) @[exu_mul_ctl.scala 137:112] + node _T_15536 = add(_T_15535, _T_15515) @[exu_mul_ctl.scala 137:112] + node _T_15537 = add(_T_15536, _T_15516) @[exu_mul_ctl.scala 137:112] + node _T_15538 = eq(_T_15537, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15539 = bits(_T_15538, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15540 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_15541 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15542 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15543 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15544 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15545 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15546 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15547 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15548 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15549 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15550 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15551 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15552 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15553 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15554 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15555 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15556 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15557 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15558 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15559 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15560 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15561 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15562 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15563 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15564 = add(_T_15541, _T_15542) @[exu_mul_ctl.scala 137:112] + node _T_15565 = add(_T_15564, _T_15543) @[exu_mul_ctl.scala 137:112] + node _T_15566 = add(_T_15565, _T_15544) @[exu_mul_ctl.scala 137:112] + node _T_15567 = add(_T_15566, _T_15545) @[exu_mul_ctl.scala 137:112] + node _T_15568 = add(_T_15567, _T_15546) @[exu_mul_ctl.scala 137:112] + node _T_15569 = add(_T_15568, _T_15547) @[exu_mul_ctl.scala 137:112] + node _T_15570 = add(_T_15569, _T_15548) @[exu_mul_ctl.scala 137:112] + node _T_15571 = add(_T_15570, _T_15549) @[exu_mul_ctl.scala 137:112] + node _T_15572 = add(_T_15571, _T_15550) @[exu_mul_ctl.scala 137:112] + node _T_15573 = add(_T_15572, _T_15551) @[exu_mul_ctl.scala 137:112] + node _T_15574 = add(_T_15573, _T_15552) @[exu_mul_ctl.scala 137:112] + node _T_15575 = add(_T_15574, _T_15553) @[exu_mul_ctl.scala 137:112] + node _T_15576 = add(_T_15575, _T_15554) @[exu_mul_ctl.scala 137:112] + node _T_15577 = add(_T_15576, _T_15555) @[exu_mul_ctl.scala 137:112] + node _T_15578 = add(_T_15577, _T_15556) @[exu_mul_ctl.scala 137:112] + node _T_15579 = add(_T_15578, _T_15557) @[exu_mul_ctl.scala 137:112] + node _T_15580 = add(_T_15579, _T_15558) @[exu_mul_ctl.scala 137:112] + node _T_15581 = add(_T_15580, _T_15559) @[exu_mul_ctl.scala 137:112] + node _T_15582 = add(_T_15581, _T_15560) @[exu_mul_ctl.scala 137:112] + node _T_15583 = add(_T_15582, _T_15561) @[exu_mul_ctl.scala 137:112] + node _T_15584 = add(_T_15583, _T_15562) @[exu_mul_ctl.scala 137:112] + node _T_15585 = add(_T_15584, _T_15563) @[exu_mul_ctl.scala 137:112] + node _T_15586 = eq(_T_15585, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15587 = bits(_T_15586, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15588 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_15589 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15590 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15591 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15592 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15593 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15594 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15595 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15596 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15597 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15598 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15599 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15600 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15601 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15602 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15603 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15604 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15605 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15606 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15607 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15608 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15609 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15610 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15611 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15612 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15613 = add(_T_15589, _T_15590) @[exu_mul_ctl.scala 137:112] + node _T_15614 = add(_T_15613, _T_15591) @[exu_mul_ctl.scala 137:112] + node _T_15615 = add(_T_15614, _T_15592) @[exu_mul_ctl.scala 137:112] + node _T_15616 = add(_T_15615, _T_15593) @[exu_mul_ctl.scala 137:112] + node _T_15617 = add(_T_15616, _T_15594) @[exu_mul_ctl.scala 137:112] + node _T_15618 = add(_T_15617, _T_15595) @[exu_mul_ctl.scala 137:112] + node _T_15619 = add(_T_15618, _T_15596) @[exu_mul_ctl.scala 137:112] + node _T_15620 = add(_T_15619, _T_15597) @[exu_mul_ctl.scala 137:112] + node _T_15621 = add(_T_15620, _T_15598) @[exu_mul_ctl.scala 137:112] + node _T_15622 = add(_T_15621, _T_15599) @[exu_mul_ctl.scala 137:112] + node _T_15623 = add(_T_15622, _T_15600) @[exu_mul_ctl.scala 137:112] + node _T_15624 = add(_T_15623, _T_15601) @[exu_mul_ctl.scala 137:112] + node _T_15625 = add(_T_15624, _T_15602) @[exu_mul_ctl.scala 137:112] + node _T_15626 = add(_T_15625, _T_15603) @[exu_mul_ctl.scala 137:112] + node _T_15627 = add(_T_15626, _T_15604) @[exu_mul_ctl.scala 137:112] + node _T_15628 = add(_T_15627, _T_15605) @[exu_mul_ctl.scala 137:112] + node _T_15629 = add(_T_15628, _T_15606) @[exu_mul_ctl.scala 137:112] + node _T_15630 = add(_T_15629, _T_15607) @[exu_mul_ctl.scala 137:112] + node _T_15631 = add(_T_15630, _T_15608) @[exu_mul_ctl.scala 137:112] + node _T_15632 = add(_T_15631, _T_15609) @[exu_mul_ctl.scala 137:112] + node _T_15633 = add(_T_15632, _T_15610) @[exu_mul_ctl.scala 137:112] + node _T_15634 = add(_T_15633, _T_15611) @[exu_mul_ctl.scala 137:112] + node _T_15635 = add(_T_15634, _T_15612) @[exu_mul_ctl.scala 137:112] + node _T_15636 = eq(_T_15635, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15637 = bits(_T_15636, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15638 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_15639 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15640 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15641 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15642 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15643 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15644 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15645 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15646 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15647 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15648 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15649 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15650 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15651 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15652 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15653 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15654 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15655 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15656 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15657 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15658 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15659 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15660 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15661 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15662 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15663 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15664 = add(_T_15639, _T_15640) @[exu_mul_ctl.scala 137:112] + node _T_15665 = add(_T_15664, _T_15641) @[exu_mul_ctl.scala 137:112] + node _T_15666 = add(_T_15665, _T_15642) @[exu_mul_ctl.scala 137:112] + node _T_15667 = add(_T_15666, _T_15643) @[exu_mul_ctl.scala 137:112] + node _T_15668 = add(_T_15667, _T_15644) @[exu_mul_ctl.scala 137:112] + node _T_15669 = add(_T_15668, _T_15645) @[exu_mul_ctl.scala 137:112] + node _T_15670 = add(_T_15669, _T_15646) @[exu_mul_ctl.scala 137:112] + node _T_15671 = add(_T_15670, _T_15647) @[exu_mul_ctl.scala 137:112] + node _T_15672 = add(_T_15671, _T_15648) @[exu_mul_ctl.scala 137:112] + node _T_15673 = add(_T_15672, _T_15649) @[exu_mul_ctl.scala 137:112] + node _T_15674 = add(_T_15673, _T_15650) @[exu_mul_ctl.scala 137:112] + node _T_15675 = add(_T_15674, _T_15651) @[exu_mul_ctl.scala 137:112] + node _T_15676 = add(_T_15675, _T_15652) @[exu_mul_ctl.scala 137:112] + node _T_15677 = add(_T_15676, _T_15653) @[exu_mul_ctl.scala 137:112] + node _T_15678 = add(_T_15677, _T_15654) @[exu_mul_ctl.scala 137:112] + node _T_15679 = add(_T_15678, _T_15655) @[exu_mul_ctl.scala 137:112] + node _T_15680 = add(_T_15679, _T_15656) @[exu_mul_ctl.scala 137:112] + node _T_15681 = add(_T_15680, _T_15657) @[exu_mul_ctl.scala 137:112] + node _T_15682 = add(_T_15681, _T_15658) @[exu_mul_ctl.scala 137:112] + node _T_15683 = add(_T_15682, _T_15659) @[exu_mul_ctl.scala 137:112] + node _T_15684 = add(_T_15683, _T_15660) @[exu_mul_ctl.scala 137:112] + node _T_15685 = add(_T_15684, _T_15661) @[exu_mul_ctl.scala 137:112] + node _T_15686 = add(_T_15685, _T_15662) @[exu_mul_ctl.scala 137:112] + node _T_15687 = add(_T_15686, _T_15663) @[exu_mul_ctl.scala 137:112] + node _T_15688 = eq(_T_15687, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15689 = bits(_T_15688, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15690 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_15691 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15692 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15693 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15694 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15695 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15696 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15697 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15698 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15699 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15700 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15701 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15702 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15703 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15704 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15705 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15706 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15707 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15708 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15709 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15710 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15711 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15712 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15713 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15714 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15715 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15716 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_15717 = add(_T_15691, _T_15692) @[exu_mul_ctl.scala 137:112] + node _T_15718 = add(_T_15717, _T_15693) @[exu_mul_ctl.scala 137:112] + node _T_15719 = add(_T_15718, _T_15694) @[exu_mul_ctl.scala 137:112] + node _T_15720 = add(_T_15719, _T_15695) @[exu_mul_ctl.scala 137:112] + node _T_15721 = add(_T_15720, _T_15696) @[exu_mul_ctl.scala 137:112] + node _T_15722 = add(_T_15721, _T_15697) @[exu_mul_ctl.scala 137:112] + node _T_15723 = add(_T_15722, _T_15698) @[exu_mul_ctl.scala 137:112] + node _T_15724 = add(_T_15723, _T_15699) @[exu_mul_ctl.scala 137:112] + node _T_15725 = add(_T_15724, _T_15700) @[exu_mul_ctl.scala 137:112] + node _T_15726 = add(_T_15725, _T_15701) @[exu_mul_ctl.scala 137:112] + node _T_15727 = add(_T_15726, _T_15702) @[exu_mul_ctl.scala 137:112] + node _T_15728 = add(_T_15727, _T_15703) @[exu_mul_ctl.scala 137:112] + node _T_15729 = add(_T_15728, _T_15704) @[exu_mul_ctl.scala 137:112] + node _T_15730 = add(_T_15729, _T_15705) @[exu_mul_ctl.scala 137:112] + node _T_15731 = add(_T_15730, _T_15706) @[exu_mul_ctl.scala 137:112] + node _T_15732 = add(_T_15731, _T_15707) @[exu_mul_ctl.scala 137:112] + node _T_15733 = add(_T_15732, _T_15708) @[exu_mul_ctl.scala 137:112] + node _T_15734 = add(_T_15733, _T_15709) @[exu_mul_ctl.scala 137:112] + node _T_15735 = add(_T_15734, _T_15710) @[exu_mul_ctl.scala 137:112] + node _T_15736 = add(_T_15735, _T_15711) @[exu_mul_ctl.scala 137:112] + node _T_15737 = add(_T_15736, _T_15712) @[exu_mul_ctl.scala 137:112] + node _T_15738 = add(_T_15737, _T_15713) @[exu_mul_ctl.scala 137:112] + node _T_15739 = add(_T_15738, _T_15714) @[exu_mul_ctl.scala 137:112] + node _T_15740 = add(_T_15739, _T_15715) @[exu_mul_ctl.scala 137:112] + node _T_15741 = add(_T_15740, _T_15716) @[exu_mul_ctl.scala 137:112] + node _T_15742 = eq(_T_15741, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15743 = bits(_T_15742, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15744 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_15745 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15746 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15747 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15748 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15749 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15750 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15751 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15752 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15753 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15754 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15755 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15756 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15757 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15758 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15759 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15760 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15761 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15762 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15763 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15764 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15765 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15766 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15767 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15768 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15769 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15770 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_15771 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_15772 = add(_T_15745, _T_15746) @[exu_mul_ctl.scala 137:112] + node _T_15773 = add(_T_15772, _T_15747) @[exu_mul_ctl.scala 137:112] + node _T_15774 = add(_T_15773, _T_15748) @[exu_mul_ctl.scala 137:112] + node _T_15775 = add(_T_15774, _T_15749) @[exu_mul_ctl.scala 137:112] + node _T_15776 = add(_T_15775, _T_15750) @[exu_mul_ctl.scala 137:112] + node _T_15777 = add(_T_15776, _T_15751) @[exu_mul_ctl.scala 137:112] + node _T_15778 = add(_T_15777, _T_15752) @[exu_mul_ctl.scala 137:112] + node _T_15779 = add(_T_15778, _T_15753) @[exu_mul_ctl.scala 137:112] + node _T_15780 = add(_T_15779, _T_15754) @[exu_mul_ctl.scala 137:112] + node _T_15781 = add(_T_15780, _T_15755) @[exu_mul_ctl.scala 137:112] + node _T_15782 = add(_T_15781, _T_15756) @[exu_mul_ctl.scala 137:112] + node _T_15783 = add(_T_15782, _T_15757) @[exu_mul_ctl.scala 137:112] + node _T_15784 = add(_T_15783, _T_15758) @[exu_mul_ctl.scala 137:112] + node _T_15785 = add(_T_15784, _T_15759) @[exu_mul_ctl.scala 137:112] + node _T_15786 = add(_T_15785, _T_15760) @[exu_mul_ctl.scala 137:112] + node _T_15787 = add(_T_15786, _T_15761) @[exu_mul_ctl.scala 137:112] + node _T_15788 = add(_T_15787, _T_15762) @[exu_mul_ctl.scala 137:112] + node _T_15789 = add(_T_15788, _T_15763) @[exu_mul_ctl.scala 137:112] + node _T_15790 = add(_T_15789, _T_15764) @[exu_mul_ctl.scala 137:112] + node _T_15791 = add(_T_15790, _T_15765) @[exu_mul_ctl.scala 137:112] + node _T_15792 = add(_T_15791, _T_15766) @[exu_mul_ctl.scala 137:112] + node _T_15793 = add(_T_15792, _T_15767) @[exu_mul_ctl.scala 137:112] + node _T_15794 = add(_T_15793, _T_15768) @[exu_mul_ctl.scala 137:112] + node _T_15795 = add(_T_15794, _T_15769) @[exu_mul_ctl.scala 137:112] + node _T_15796 = add(_T_15795, _T_15770) @[exu_mul_ctl.scala 137:112] + node _T_15797 = add(_T_15796, _T_15771) @[exu_mul_ctl.scala 137:112] + node _T_15798 = eq(_T_15797, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15799 = bits(_T_15798, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15800 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_15801 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15802 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15803 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15804 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15805 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15806 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15807 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15808 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15809 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15810 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15811 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15812 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15813 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15814 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15815 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15816 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15817 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15818 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15819 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15820 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15821 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15822 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15823 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15824 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15825 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15826 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_15827 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_15828 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_15829 = add(_T_15801, _T_15802) @[exu_mul_ctl.scala 137:112] + node _T_15830 = add(_T_15829, _T_15803) @[exu_mul_ctl.scala 137:112] + node _T_15831 = add(_T_15830, _T_15804) @[exu_mul_ctl.scala 137:112] + node _T_15832 = add(_T_15831, _T_15805) @[exu_mul_ctl.scala 137:112] + node _T_15833 = add(_T_15832, _T_15806) @[exu_mul_ctl.scala 137:112] + node _T_15834 = add(_T_15833, _T_15807) @[exu_mul_ctl.scala 137:112] + node _T_15835 = add(_T_15834, _T_15808) @[exu_mul_ctl.scala 137:112] + node _T_15836 = add(_T_15835, _T_15809) @[exu_mul_ctl.scala 137:112] + node _T_15837 = add(_T_15836, _T_15810) @[exu_mul_ctl.scala 137:112] + node _T_15838 = add(_T_15837, _T_15811) @[exu_mul_ctl.scala 137:112] + node _T_15839 = add(_T_15838, _T_15812) @[exu_mul_ctl.scala 137:112] + node _T_15840 = add(_T_15839, _T_15813) @[exu_mul_ctl.scala 137:112] + node _T_15841 = add(_T_15840, _T_15814) @[exu_mul_ctl.scala 137:112] + node _T_15842 = add(_T_15841, _T_15815) @[exu_mul_ctl.scala 137:112] + node _T_15843 = add(_T_15842, _T_15816) @[exu_mul_ctl.scala 137:112] + node _T_15844 = add(_T_15843, _T_15817) @[exu_mul_ctl.scala 137:112] + node _T_15845 = add(_T_15844, _T_15818) @[exu_mul_ctl.scala 137:112] + node _T_15846 = add(_T_15845, _T_15819) @[exu_mul_ctl.scala 137:112] + node _T_15847 = add(_T_15846, _T_15820) @[exu_mul_ctl.scala 137:112] + node _T_15848 = add(_T_15847, _T_15821) @[exu_mul_ctl.scala 137:112] + node _T_15849 = add(_T_15848, _T_15822) @[exu_mul_ctl.scala 137:112] + node _T_15850 = add(_T_15849, _T_15823) @[exu_mul_ctl.scala 137:112] + node _T_15851 = add(_T_15850, _T_15824) @[exu_mul_ctl.scala 137:112] + node _T_15852 = add(_T_15851, _T_15825) @[exu_mul_ctl.scala 137:112] + node _T_15853 = add(_T_15852, _T_15826) @[exu_mul_ctl.scala 137:112] + node _T_15854 = add(_T_15853, _T_15827) @[exu_mul_ctl.scala 137:112] + node _T_15855 = add(_T_15854, _T_15828) @[exu_mul_ctl.scala 137:112] + node _T_15856 = eq(_T_15855, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15857 = bits(_T_15856, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15858 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_15859 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15860 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15861 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15862 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15863 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15864 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15865 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15866 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15867 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15868 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15869 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15870 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15871 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15872 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15873 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15874 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15875 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15876 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15877 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15878 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15879 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15880 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15881 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15882 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15883 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15884 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_15885 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_15886 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_15887 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_15888 = add(_T_15859, _T_15860) @[exu_mul_ctl.scala 137:112] + node _T_15889 = add(_T_15888, _T_15861) @[exu_mul_ctl.scala 137:112] + node _T_15890 = add(_T_15889, _T_15862) @[exu_mul_ctl.scala 137:112] + node _T_15891 = add(_T_15890, _T_15863) @[exu_mul_ctl.scala 137:112] + node _T_15892 = add(_T_15891, _T_15864) @[exu_mul_ctl.scala 137:112] + node _T_15893 = add(_T_15892, _T_15865) @[exu_mul_ctl.scala 137:112] + node _T_15894 = add(_T_15893, _T_15866) @[exu_mul_ctl.scala 137:112] + node _T_15895 = add(_T_15894, _T_15867) @[exu_mul_ctl.scala 137:112] + node _T_15896 = add(_T_15895, _T_15868) @[exu_mul_ctl.scala 137:112] + node _T_15897 = add(_T_15896, _T_15869) @[exu_mul_ctl.scala 137:112] + node _T_15898 = add(_T_15897, _T_15870) @[exu_mul_ctl.scala 137:112] + node _T_15899 = add(_T_15898, _T_15871) @[exu_mul_ctl.scala 137:112] + node _T_15900 = add(_T_15899, _T_15872) @[exu_mul_ctl.scala 137:112] + node _T_15901 = add(_T_15900, _T_15873) @[exu_mul_ctl.scala 137:112] + node _T_15902 = add(_T_15901, _T_15874) @[exu_mul_ctl.scala 137:112] + node _T_15903 = add(_T_15902, _T_15875) @[exu_mul_ctl.scala 137:112] + node _T_15904 = add(_T_15903, _T_15876) @[exu_mul_ctl.scala 137:112] + node _T_15905 = add(_T_15904, _T_15877) @[exu_mul_ctl.scala 137:112] + node _T_15906 = add(_T_15905, _T_15878) @[exu_mul_ctl.scala 137:112] + node _T_15907 = add(_T_15906, _T_15879) @[exu_mul_ctl.scala 137:112] + node _T_15908 = add(_T_15907, _T_15880) @[exu_mul_ctl.scala 137:112] + node _T_15909 = add(_T_15908, _T_15881) @[exu_mul_ctl.scala 137:112] + node _T_15910 = add(_T_15909, _T_15882) @[exu_mul_ctl.scala 137:112] + node _T_15911 = add(_T_15910, _T_15883) @[exu_mul_ctl.scala 137:112] + node _T_15912 = add(_T_15911, _T_15884) @[exu_mul_ctl.scala 137:112] + node _T_15913 = add(_T_15912, _T_15885) @[exu_mul_ctl.scala 137:112] + node _T_15914 = add(_T_15913, _T_15886) @[exu_mul_ctl.scala 137:112] + node _T_15915 = add(_T_15914, _T_15887) @[exu_mul_ctl.scala 137:112] + node _T_15916 = eq(_T_15915, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15917 = bits(_T_15916, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15918 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_15919 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15920 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15921 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15922 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15923 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15924 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15925 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15926 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15927 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15928 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15929 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15930 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15931 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15932 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15933 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15934 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15935 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15936 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15937 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_15938 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_15939 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_15940 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_15941 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_15942 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_15943 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_15944 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_15945 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_15946 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_15947 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_15948 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_15949 = add(_T_15919, _T_15920) @[exu_mul_ctl.scala 137:112] + node _T_15950 = add(_T_15949, _T_15921) @[exu_mul_ctl.scala 137:112] + node _T_15951 = add(_T_15950, _T_15922) @[exu_mul_ctl.scala 137:112] + node _T_15952 = add(_T_15951, _T_15923) @[exu_mul_ctl.scala 137:112] + node _T_15953 = add(_T_15952, _T_15924) @[exu_mul_ctl.scala 137:112] + node _T_15954 = add(_T_15953, _T_15925) @[exu_mul_ctl.scala 137:112] + node _T_15955 = add(_T_15954, _T_15926) @[exu_mul_ctl.scala 137:112] + node _T_15956 = add(_T_15955, _T_15927) @[exu_mul_ctl.scala 137:112] + node _T_15957 = add(_T_15956, _T_15928) @[exu_mul_ctl.scala 137:112] + node _T_15958 = add(_T_15957, _T_15929) @[exu_mul_ctl.scala 137:112] + node _T_15959 = add(_T_15958, _T_15930) @[exu_mul_ctl.scala 137:112] + node _T_15960 = add(_T_15959, _T_15931) @[exu_mul_ctl.scala 137:112] + node _T_15961 = add(_T_15960, _T_15932) @[exu_mul_ctl.scala 137:112] + node _T_15962 = add(_T_15961, _T_15933) @[exu_mul_ctl.scala 137:112] + node _T_15963 = add(_T_15962, _T_15934) @[exu_mul_ctl.scala 137:112] + node _T_15964 = add(_T_15963, _T_15935) @[exu_mul_ctl.scala 137:112] + node _T_15965 = add(_T_15964, _T_15936) @[exu_mul_ctl.scala 137:112] + node _T_15966 = add(_T_15965, _T_15937) @[exu_mul_ctl.scala 137:112] + node _T_15967 = add(_T_15966, _T_15938) @[exu_mul_ctl.scala 137:112] + node _T_15968 = add(_T_15967, _T_15939) @[exu_mul_ctl.scala 137:112] + node _T_15969 = add(_T_15968, _T_15940) @[exu_mul_ctl.scala 137:112] + node _T_15970 = add(_T_15969, _T_15941) @[exu_mul_ctl.scala 137:112] + node _T_15971 = add(_T_15970, _T_15942) @[exu_mul_ctl.scala 137:112] + node _T_15972 = add(_T_15971, _T_15943) @[exu_mul_ctl.scala 137:112] + node _T_15973 = add(_T_15972, _T_15944) @[exu_mul_ctl.scala 137:112] + node _T_15974 = add(_T_15973, _T_15945) @[exu_mul_ctl.scala 137:112] + node _T_15975 = add(_T_15974, _T_15946) @[exu_mul_ctl.scala 137:112] + node _T_15976 = add(_T_15975, _T_15947) @[exu_mul_ctl.scala 137:112] + node _T_15977 = add(_T_15976, _T_15948) @[exu_mul_ctl.scala 137:112] + node _T_15978 = eq(_T_15977, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_15979 = bits(_T_15978, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_15980 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_15981 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_15982 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_15983 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_15984 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_15985 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_15986 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_15987 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_15988 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_15989 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_15990 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_15991 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_15992 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_15993 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_15994 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_15995 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_15996 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_15997 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_15998 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_15999 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16000 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16001 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16002 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16003 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16004 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16005 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16006 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_16007 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_16008 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_16009 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_16010 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_16011 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_16012 = add(_T_15981, _T_15982) @[exu_mul_ctl.scala 137:112] + node _T_16013 = add(_T_16012, _T_15983) @[exu_mul_ctl.scala 137:112] + node _T_16014 = add(_T_16013, _T_15984) @[exu_mul_ctl.scala 137:112] + node _T_16015 = add(_T_16014, _T_15985) @[exu_mul_ctl.scala 137:112] + node _T_16016 = add(_T_16015, _T_15986) @[exu_mul_ctl.scala 137:112] + node _T_16017 = add(_T_16016, _T_15987) @[exu_mul_ctl.scala 137:112] + node _T_16018 = add(_T_16017, _T_15988) @[exu_mul_ctl.scala 137:112] + node _T_16019 = add(_T_16018, _T_15989) @[exu_mul_ctl.scala 137:112] + node _T_16020 = add(_T_16019, _T_15990) @[exu_mul_ctl.scala 137:112] + node _T_16021 = add(_T_16020, _T_15991) @[exu_mul_ctl.scala 137:112] + node _T_16022 = add(_T_16021, _T_15992) @[exu_mul_ctl.scala 137:112] + node _T_16023 = add(_T_16022, _T_15993) @[exu_mul_ctl.scala 137:112] + node _T_16024 = add(_T_16023, _T_15994) @[exu_mul_ctl.scala 137:112] + node _T_16025 = add(_T_16024, _T_15995) @[exu_mul_ctl.scala 137:112] + node _T_16026 = add(_T_16025, _T_15996) @[exu_mul_ctl.scala 137:112] + node _T_16027 = add(_T_16026, _T_15997) @[exu_mul_ctl.scala 137:112] + node _T_16028 = add(_T_16027, _T_15998) @[exu_mul_ctl.scala 137:112] + node _T_16029 = add(_T_16028, _T_15999) @[exu_mul_ctl.scala 137:112] + node _T_16030 = add(_T_16029, _T_16000) @[exu_mul_ctl.scala 137:112] + node _T_16031 = add(_T_16030, _T_16001) @[exu_mul_ctl.scala 137:112] + node _T_16032 = add(_T_16031, _T_16002) @[exu_mul_ctl.scala 137:112] + node _T_16033 = add(_T_16032, _T_16003) @[exu_mul_ctl.scala 137:112] + node _T_16034 = add(_T_16033, _T_16004) @[exu_mul_ctl.scala 137:112] + node _T_16035 = add(_T_16034, _T_16005) @[exu_mul_ctl.scala 137:112] + node _T_16036 = add(_T_16035, _T_16006) @[exu_mul_ctl.scala 137:112] + node _T_16037 = add(_T_16036, _T_16007) @[exu_mul_ctl.scala 137:112] + node _T_16038 = add(_T_16037, _T_16008) @[exu_mul_ctl.scala 137:112] + node _T_16039 = add(_T_16038, _T_16009) @[exu_mul_ctl.scala 137:112] + node _T_16040 = add(_T_16039, _T_16010) @[exu_mul_ctl.scala 137:112] + node _T_16041 = add(_T_16040, _T_16011) @[exu_mul_ctl.scala 137:112] + node _T_16042 = eq(_T_16041, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_16043 = bits(_T_16042, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16044 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_16045 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16046 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16047 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16048 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16049 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16050 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16051 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16052 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16053 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16054 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16055 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16056 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16057 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16058 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16059 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16060 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16061 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16062 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16063 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16064 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16065 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16066 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16067 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16068 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16069 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16070 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_16071 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_16072 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_16073 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_16074 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_16075 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_16076 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_16077 = add(_T_16045, _T_16046) @[exu_mul_ctl.scala 137:112] + node _T_16078 = add(_T_16077, _T_16047) @[exu_mul_ctl.scala 137:112] + node _T_16079 = add(_T_16078, _T_16048) @[exu_mul_ctl.scala 137:112] + node _T_16080 = add(_T_16079, _T_16049) @[exu_mul_ctl.scala 137:112] + node _T_16081 = add(_T_16080, _T_16050) @[exu_mul_ctl.scala 137:112] + node _T_16082 = add(_T_16081, _T_16051) @[exu_mul_ctl.scala 137:112] + node _T_16083 = add(_T_16082, _T_16052) @[exu_mul_ctl.scala 137:112] + node _T_16084 = add(_T_16083, _T_16053) @[exu_mul_ctl.scala 137:112] + node _T_16085 = add(_T_16084, _T_16054) @[exu_mul_ctl.scala 137:112] + node _T_16086 = add(_T_16085, _T_16055) @[exu_mul_ctl.scala 137:112] + node _T_16087 = add(_T_16086, _T_16056) @[exu_mul_ctl.scala 137:112] + node _T_16088 = add(_T_16087, _T_16057) @[exu_mul_ctl.scala 137:112] + node _T_16089 = add(_T_16088, _T_16058) @[exu_mul_ctl.scala 137:112] + node _T_16090 = add(_T_16089, _T_16059) @[exu_mul_ctl.scala 137:112] + node _T_16091 = add(_T_16090, _T_16060) @[exu_mul_ctl.scala 137:112] + node _T_16092 = add(_T_16091, _T_16061) @[exu_mul_ctl.scala 137:112] + node _T_16093 = add(_T_16092, _T_16062) @[exu_mul_ctl.scala 137:112] + node _T_16094 = add(_T_16093, _T_16063) @[exu_mul_ctl.scala 137:112] + node _T_16095 = add(_T_16094, _T_16064) @[exu_mul_ctl.scala 137:112] + node _T_16096 = add(_T_16095, _T_16065) @[exu_mul_ctl.scala 137:112] + node _T_16097 = add(_T_16096, _T_16066) @[exu_mul_ctl.scala 137:112] + node _T_16098 = add(_T_16097, _T_16067) @[exu_mul_ctl.scala 137:112] + node _T_16099 = add(_T_16098, _T_16068) @[exu_mul_ctl.scala 137:112] + node _T_16100 = add(_T_16099, _T_16069) @[exu_mul_ctl.scala 137:112] + node _T_16101 = add(_T_16100, _T_16070) @[exu_mul_ctl.scala 137:112] + node _T_16102 = add(_T_16101, _T_16071) @[exu_mul_ctl.scala 137:112] + node _T_16103 = add(_T_16102, _T_16072) @[exu_mul_ctl.scala 137:112] + node _T_16104 = add(_T_16103, _T_16073) @[exu_mul_ctl.scala 137:112] + node _T_16105 = add(_T_16104, _T_16074) @[exu_mul_ctl.scala 137:112] + node _T_16106 = add(_T_16105, _T_16075) @[exu_mul_ctl.scala 137:112] + node _T_16107 = add(_T_16106, _T_16076) @[exu_mul_ctl.scala 137:112] + node _T_16108 = eq(_T_16107, UInt<4>("h0e")) @[exu_mul_ctl.scala 138:87] + node _T_16109 = bits(_T_16108, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16110 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_16111 = mux(_T_16109, _T_16110, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_16112 = mux(_T_16043, _T_16044, _T_16111) @[Mux.scala 98:16] + node _T_16113 = mux(_T_15979, _T_15980, _T_16112) @[Mux.scala 98:16] + node _T_16114 = mux(_T_15917, _T_15918, _T_16113) @[Mux.scala 98:16] + node _T_16115 = mux(_T_15857, _T_15858, _T_16114) @[Mux.scala 98:16] + node _T_16116 = mux(_T_15799, _T_15800, _T_16115) @[Mux.scala 98:16] + node _T_16117 = mux(_T_15743, _T_15744, _T_16116) @[Mux.scala 98:16] + node _T_16118 = mux(_T_15689, _T_15690, _T_16117) @[Mux.scala 98:16] + node _T_16119 = mux(_T_15637, _T_15638, _T_16118) @[Mux.scala 98:16] + node _T_16120 = mux(_T_15587, _T_15588, _T_16119) @[Mux.scala 98:16] + node _T_16121 = mux(_T_15539, _T_15540, _T_16120) @[Mux.scala 98:16] + node _T_16122 = mux(_T_15493, _T_15494, _T_16121) @[Mux.scala 98:16] + node _T_16123 = mux(_T_15449, _T_15450, _T_16122) @[Mux.scala 98:16] + node _T_16124 = mux(_T_15407, _T_15408, _T_16123) @[Mux.scala 98:16] + node _T_16125 = mux(_T_15367, _T_15368, _T_16124) @[Mux.scala 98:16] + node _T_16126 = mux(_T_15329, _T_15330, _T_16125) @[Mux.scala 98:16] + node _T_16127 = mux(_T_15293, _T_15294, _T_16126) @[Mux.scala 98:16] + node _T_16128 = mux(_T_15259, _T_15260, _T_16127) @[Mux.scala 98:16] + node _T_16129 = mux(_T_15227, _T_15228, _T_16128) @[Mux.scala 98:16] + node _T_16130 = mux(_T_15197, _T_15198, _T_16129) @[Mux.scala 98:16] + node _T_16131 = mux(_T_15169, _T_15170, _T_16130) @[Mux.scala 98:16] + node _T_16132 = mux(_T_15143, _T_15144, _T_16131) @[Mux.scala 98:16] + node _T_16133 = mux(_T_15119, _T_15120, _T_16132) @[Mux.scala 98:16] + node _T_16134 = mux(_T_15097, _T_15098, _T_16133) @[Mux.scala 98:16] + node _T_16135 = mux(_T_15077, _T_15078, _T_16134) @[Mux.scala 98:16] + node _T_16136 = mux(_T_15059, _T_15060, _T_16135) @[Mux.scala 98:16] + node _T_16137 = mux(_T_15043, _T_15044, _T_16136) @[Mux.scala 98:16] + node _T_16138 = mux(_T_15029, _T_15030, _T_16137) @[Mux.scala 98:16] + node _T_16139 = mux(_T_15017, _T_15018, _T_16138) @[Mux.scala 98:16] + node _T_16140 = mux(_T_15007, _T_15008, _T_16139) @[Mux.scala 98:16] + node _T_16141 = mux(_T_14999, _T_15000, _T_16140) @[Mux.scala 98:16] + node _T_16142 = mux(_T_14993, _T_14994, _T_16141) @[Mux.scala 98:16] + node _T_16143 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_16144 = eq(_T_16143, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16145 = bits(_T_16144, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16146 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_16147 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16148 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16149 = add(_T_16147, _T_16148) @[exu_mul_ctl.scala 137:112] + node _T_16150 = eq(_T_16149, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16151 = bits(_T_16150, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16152 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_16153 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16154 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16155 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16156 = add(_T_16153, _T_16154) @[exu_mul_ctl.scala 137:112] + node _T_16157 = add(_T_16156, _T_16155) @[exu_mul_ctl.scala 137:112] + node _T_16158 = eq(_T_16157, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16159 = bits(_T_16158, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16160 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_16161 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16162 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16163 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16164 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16165 = add(_T_16161, _T_16162) @[exu_mul_ctl.scala 137:112] + node _T_16166 = add(_T_16165, _T_16163) @[exu_mul_ctl.scala 137:112] + node _T_16167 = add(_T_16166, _T_16164) @[exu_mul_ctl.scala 137:112] + node _T_16168 = eq(_T_16167, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16169 = bits(_T_16168, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16170 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_16171 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16172 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16173 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16174 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16175 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16176 = add(_T_16171, _T_16172) @[exu_mul_ctl.scala 137:112] + node _T_16177 = add(_T_16176, _T_16173) @[exu_mul_ctl.scala 137:112] + node _T_16178 = add(_T_16177, _T_16174) @[exu_mul_ctl.scala 137:112] + node _T_16179 = add(_T_16178, _T_16175) @[exu_mul_ctl.scala 137:112] + node _T_16180 = eq(_T_16179, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16181 = bits(_T_16180, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16182 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_16183 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16184 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16185 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16186 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16187 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16188 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16189 = add(_T_16183, _T_16184) @[exu_mul_ctl.scala 137:112] + node _T_16190 = add(_T_16189, _T_16185) @[exu_mul_ctl.scala 137:112] + node _T_16191 = add(_T_16190, _T_16186) @[exu_mul_ctl.scala 137:112] + node _T_16192 = add(_T_16191, _T_16187) @[exu_mul_ctl.scala 137:112] + node _T_16193 = add(_T_16192, _T_16188) @[exu_mul_ctl.scala 137:112] + node _T_16194 = eq(_T_16193, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16195 = bits(_T_16194, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16196 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_16197 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16198 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16199 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16200 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16201 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16202 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16203 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16204 = add(_T_16197, _T_16198) @[exu_mul_ctl.scala 137:112] + node _T_16205 = add(_T_16204, _T_16199) @[exu_mul_ctl.scala 137:112] + node _T_16206 = add(_T_16205, _T_16200) @[exu_mul_ctl.scala 137:112] + node _T_16207 = add(_T_16206, _T_16201) @[exu_mul_ctl.scala 137:112] + node _T_16208 = add(_T_16207, _T_16202) @[exu_mul_ctl.scala 137:112] + node _T_16209 = add(_T_16208, _T_16203) @[exu_mul_ctl.scala 137:112] + node _T_16210 = eq(_T_16209, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16211 = bits(_T_16210, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16212 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_16213 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16214 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16215 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16216 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16217 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16218 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16219 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16220 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16221 = add(_T_16213, _T_16214) @[exu_mul_ctl.scala 137:112] + node _T_16222 = add(_T_16221, _T_16215) @[exu_mul_ctl.scala 137:112] + node _T_16223 = add(_T_16222, _T_16216) @[exu_mul_ctl.scala 137:112] + node _T_16224 = add(_T_16223, _T_16217) @[exu_mul_ctl.scala 137:112] + node _T_16225 = add(_T_16224, _T_16218) @[exu_mul_ctl.scala 137:112] + node _T_16226 = add(_T_16225, _T_16219) @[exu_mul_ctl.scala 137:112] + node _T_16227 = add(_T_16226, _T_16220) @[exu_mul_ctl.scala 137:112] + node _T_16228 = eq(_T_16227, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16229 = bits(_T_16228, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16230 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_16231 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16232 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16233 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16234 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16235 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16236 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16237 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16238 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16239 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16240 = add(_T_16231, _T_16232) @[exu_mul_ctl.scala 137:112] + node _T_16241 = add(_T_16240, _T_16233) @[exu_mul_ctl.scala 137:112] + node _T_16242 = add(_T_16241, _T_16234) @[exu_mul_ctl.scala 137:112] + node _T_16243 = add(_T_16242, _T_16235) @[exu_mul_ctl.scala 137:112] + node _T_16244 = add(_T_16243, _T_16236) @[exu_mul_ctl.scala 137:112] + node _T_16245 = add(_T_16244, _T_16237) @[exu_mul_ctl.scala 137:112] + node _T_16246 = add(_T_16245, _T_16238) @[exu_mul_ctl.scala 137:112] + node _T_16247 = add(_T_16246, _T_16239) @[exu_mul_ctl.scala 137:112] + node _T_16248 = eq(_T_16247, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16249 = bits(_T_16248, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16250 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_16251 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16252 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16253 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16254 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16255 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16256 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16257 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16258 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16259 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16260 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16261 = add(_T_16251, _T_16252) @[exu_mul_ctl.scala 137:112] + node _T_16262 = add(_T_16261, _T_16253) @[exu_mul_ctl.scala 137:112] + node _T_16263 = add(_T_16262, _T_16254) @[exu_mul_ctl.scala 137:112] + node _T_16264 = add(_T_16263, _T_16255) @[exu_mul_ctl.scala 137:112] + node _T_16265 = add(_T_16264, _T_16256) @[exu_mul_ctl.scala 137:112] + node _T_16266 = add(_T_16265, _T_16257) @[exu_mul_ctl.scala 137:112] + node _T_16267 = add(_T_16266, _T_16258) @[exu_mul_ctl.scala 137:112] + node _T_16268 = add(_T_16267, _T_16259) @[exu_mul_ctl.scala 137:112] + node _T_16269 = add(_T_16268, _T_16260) @[exu_mul_ctl.scala 137:112] + node _T_16270 = eq(_T_16269, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16271 = bits(_T_16270, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16272 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_16273 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16274 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16275 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16276 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16277 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16278 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16279 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16280 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16281 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16282 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16283 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16284 = add(_T_16273, _T_16274) @[exu_mul_ctl.scala 137:112] + node _T_16285 = add(_T_16284, _T_16275) @[exu_mul_ctl.scala 137:112] + node _T_16286 = add(_T_16285, _T_16276) @[exu_mul_ctl.scala 137:112] + node _T_16287 = add(_T_16286, _T_16277) @[exu_mul_ctl.scala 137:112] + node _T_16288 = add(_T_16287, _T_16278) @[exu_mul_ctl.scala 137:112] + node _T_16289 = add(_T_16288, _T_16279) @[exu_mul_ctl.scala 137:112] + node _T_16290 = add(_T_16289, _T_16280) @[exu_mul_ctl.scala 137:112] + node _T_16291 = add(_T_16290, _T_16281) @[exu_mul_ctl.scala 137:112] + node _T_16292 = add(_T_16291, _T_16282) @[exu_mul_ctl.scala 137:112] + node _T_16293 = add(_T_16292, _T_16283) @[exu_mul_ctl.scala 137:112] + node _T_16294 = eq(_T_16293, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16295 = bits(_T_16294, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16296 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_16297 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16298 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16299 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16300 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16301 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16302 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16303 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16304 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16305 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16306 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16307 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16308 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16309 = add(_T_16297, _T_16298) @[exu_mul_ctl.scala 137:112] + node _T_16310 = add(_T_16309, _T_16299) @[exu_mul_ctl.scala 137:112] + node _T_16311 = add(_T_16310, _T_16300) @[exu_mul_ctl.scala 137:112] + node _T_16312 = add(_T_16311, _T_16301) @[exu_mul_ctl.scala 137:112] + node _T_16313 = add(_T_16312, _T_16302) @[exu_mul_ctl.scala 137:112] + node _T_16314 = add(_T_16313, _T_16303) @[exu_mul_ctl.scala 137:112] + node _T_16315 = add(_T_16314, _T_16304) @[exu_mul_ctl.scala 137:112] + node _T_16316 = add(_T_16315, _T_16305) @[exu_mul_ctl.scala 137:112] + node _T_16317 = add(_T_16316, _T_16306) @[exu_mul_ctl.scala 137:112] + node _T_16318 = add(_T_16317, _T_16307) @[exu_mul_ctl.scala 137:112] + node _T_16319 = add(_T_16318, _T_16308) @[exu_mul_ctl.scala 137:112] + node _T_16320 = eq(_T_16319, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16321 = bits(_T_16320, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16322 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_16323 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16324 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16325 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16326 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16327 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16328 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16329 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16330 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16331 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16332 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16333 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16334 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16335 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16336 = add(_T_16323, _T_16324) @[exu_mul_ctl.scala 137:112] + node _T_16337 = add(_T_16336, _T_16325) @[exu_mul_ctl.scala 137:112] + node _T_16338 = add(_T_16337, _T_16326) @[exu_mul_ctl.scala 137:112] + node _T_16339 = add(_T_16338, _T_16327) @[exu_mul_ctl.scala 137:112] + node _T_16340 = add(_T_16339, _T_16328) @[exu_mul_ctl.scala 137:112] + node _T_16341 = add(_T_16340, _T_16329) @[exu_mul_ctl.scala 137:112] + node _T_16342 = add(_T_16341, _T_16330) @[exu_mul_ctl.scala 137:112] + node _T_16343 = add(_T_16342, _T_16331) @[exu_mul_ctl.scala 137:112] + node _T_16344 = add(_T_16343, _T_16332) @[exu_mul_ctl.scala 137:112] + node _T_16345 = add(_T_16344, _T_16333) @[exu_mul_ctl.scala 137:112] + node _T_16346 = add(_T_16345, _T_16334) @[exu_mul_ctl.scala 137:112] + node _T_16347 = add(_T_16346, _T_16335) @[exu_mul_ctl.scala 137:112] + node _T_16348 = eq(_T_16347, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16349 = bits(_T_16348, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16350 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_16351 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16352 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16353 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16354 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16355 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16356 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16357 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16358 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16359 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16360 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16361 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16362 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16363 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16364 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16365 = add(_T_16351, _T_16352) @[exu_mul_ctl.scala 137:112] + node _T_16366 = add(_T_16365, _T_16353) @[exu_mul_ctl.scala 137:112] + node _T_16367 = add(_T_16366, _T_16354) @[exu_mul_ctl.scala 137:112] + node _T_16368 = add(_T_16367, _T_16355) @[exu_mul_ctl.scala 137:112] + node _T_16369 = add(_T_16368, _T_16356) @[exu_mul_ctl.scala 137:112] + node _T_16370 = add(_T_16369, _T_16357) @[exu_mul_ctl.scala 137:112] + node _T_16371 = add(_T_16370, _T_16358) @[exu_mul_ctl.scala 137:112] + node _T_16372 = add(_T_16371, _T_16359) @[exu_mul_ctl.scala 137:112] + node _T_16373 = add(_T_16372, _T_16360) @[exu_mul_ctl.scala 137:112] + node _T_16374 = add(_T_16373, _T_16361) @[exu_mul_ctl.scala 137:112] + node _T_16375 = add(_T_16374, _T_16362) @[exu_mul_ctl.scala 137:112] + node _T_16376 = add(_T_16375, _T_16363) @[exu_mul_ctl.scala 137:112] + node _T_16377 = add(_T_16376, _T_16364) @[exu_mul_ctl.scala 137:112] + node _T_16378 = eq(_T_16377, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16379 = bits(_T_16378, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16380 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_16381 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16382 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16383 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16384 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16385 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16386 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16387 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16388 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16389 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16390 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16391 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16392 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16393 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16394 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16395 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16396 = add(_T_16381, _T_16382) @[exu_mul_ctl.scala 137:112] + node _T_16397 = add(_T_16396, _T_16383) @[exu_mul_ctl.scala 137:112] + node _T_16398 = add(_T_16397, _T_16384) @[exu_mul_ctl.scala 137:112] + node _T_16399 = add(_T_16398, _T_16385) @[exu_mul_ctl.scala 137:112] + node _T_16400 = add(_T_16399, _T_16386) @[exu_mul_ctl.scala 137:112] + node _T_16401 = add(_T_16400, _T_16387) @[exu_mul_ctl.scala 137:112] + node _T_16402 = add(_T_16401, _T_16388) @[exu_mul_ctl.scala 137:112] + node _T_16403 = add(_T_16402, _T_16389) @[exu_mul_ctl.scala 137:112] + node _T_16404 = add(_T_16403, _T_16390) @[exu_mul_ctl.scala 137:112] + node _T_16405 = add(_T_16404, _T_16391) @[exu_mul_ctl.scala 137:112] + node _T_16406 = add(_T_16405, _T_16392) @[exu_mul_ctl.scala 137:112] + node _T_16407 = add(_T_16406, _T_16393) @[exu_mul_ctl.scala 137:112] + node _T_16408 = add(_T_16407, _T_16394) @[exu_mul_ctl.scala 137:112] + node _T_16409 = add(_T_16408, _T_16395) @[exu_mul_ctl.scala 137:112] + node _T_16410 = eq(_T_16409, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16411 = bits(_T_16410, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16412 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_16413 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16414 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16415 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16416 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16417 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16418 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16419 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16420 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16421 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16422 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16423 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16424 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16425 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16426 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16427 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16428 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16429 = add(_T_16413, _T_16414) @[exu_mul_ctl.scala 137:112] + node _T_16430 = add(_T_16429, _T_16415) @[exu_mul_ctl.scala 137:112] + node _T_16431 = add(_T_16430, _T_16416) @[exu_mul_ctl.scala 137:112] + node _T_16432 = add(_T_16431, _T_16417) @[exu_mul_ctl.scala 137:112] + node _T_16433 = add(_T_16432, _T_16418) @[exu_mul_ctl.scala 137:112] + node _T_16434 = add(_T_16433, _T_16419) @[exu_mul_ctl.scala 137:112] + node _T_16435 = add(_T_16434, _T_16420) @[exu_mul_ctl.scala 137:112] + node _T_16436 = add(_T_16435, _T_16421) @[exu_mul_ctl.scala 137:112] + node _T_16437 = add(_T_16436, _T_16422) @[exu_mul_ctl.scala 137:112] + node _T_16438 = add(_T_16437, _T_16423) @[exu_mul_ctl.scala 137:112] + node _T_16439 = add(_T_16438, _T_16424) @[exu_mul_ctl.scala 137:112] + node _T_16440 = add(_T_16439, _T_16425) @[exu_mul_ctl.scala 137:112] + node _T_16441 = add(_T_16440, _T_16426) @[exu_mul_ctl.scala 137:112] + node _T_16442 = add(_T_16441, _T_16427) @[exu_mul_ctl.scala 137:112] + node _T_16443 = add(_T_16442, _T_16428) @[exu_mul_ctl.scala 137:112] + node _T_16444 = eq(_T_16443, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16445 = bits(_T_16444, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16446 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_16447 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16448 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16449 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16450 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16451 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16452 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16453 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16454 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16455 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16456 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16457 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16458 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16459 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16460 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16461 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16462 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16463 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16464 = add(_T_16447, _T_16448) @[exu_mul_ctl.scala 137:112] + node _T_16465 = add(_T_16464, _T_16449) @[exu_mul_ctl.scala 137:112] + node _T_16466 = add(_T_16465, _T_16450) @[exu_mul_ctl.scala 137:112] + node _T_16467 = add(_T_16466, _T_16451) @[exu_mul_ctl.scala 137:112] + node _T_16468 = add(_T_16467, _T_16452) @[exu_mul_ctl.scala 137:112] + node _T_16469 = add(_T_16468, _T_16453) @[exu_mul_ctl.scala 137:112] + node _T_16470 = add(_T_16469, _T_16454) @[exu_mul_ctl.scala 137:112] + node _T_16471 = add(_T_16470, _T_16455) @[exu_mul_ctl.scala 137:112] + node _T_16472 = add(_T_16471, _T_16456) @[exu_mul_ctl.scala 137:112] + node _T_16473 = add(_T_16472, _T_16457) @[exu_mul_ctl.scala 137:112] + node _T_16474 = add(_T_16473, _T_16458) @[exu_mul_ctl.scala 137:112] + node _T_16475 = add(_T_16474, _T_16459) @[exu_mul_ctl.scala 137:112] + node _T_16476 = add(_T_16475, _T_16460) @[exu_mul_ctl.scala 137:112] + node _T_16477 = add(_T_16476, _T_16461) @[exu_mul_ctl.scala 137:112] + node _T_16478 = add(_T_16477, _T_16462) @[exu_mul_ctl.scala 137:112] + node _T_16479 = add(_T_16478, _T_16463) @[exu_mul_ctl.scala 137:112] + node _T_16480 = eq(_T_16479, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16481 = bits(_T_16480, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16482 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_16483 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16484 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16485 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16486 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16487 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16488 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16489 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16490 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16491 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16492 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16493 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16494 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16495 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16496 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16497 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16498 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16499 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16500 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16501 = add(_T_16483, _T_16484) @[exu_mul_ctl.scala 137:112] + node _T_16502 = add(_T_16501, _T_16485) @[exu_mul_ctl.scala 137:112] + node _T_16503 = add(_T_16502, _T_16486) @[exu_mul_ctl.scala 137:112] + node _T_16504 = add(_T_16503, _T_16487) @[exu_mul_ctl.scala 137:112] + node _T_16505 = add(_T_16504, _T_16488) @[exu_mul_ctl.scala 137:112] + node _T_16506 = add(_T_16505, _T_16489) @[exu_mul_ctl.scala 137:112] + node _T_16507 = add(_T_16506, _T_16490) @[exu_mul_ctl.scala 137:112] + node _T_16508 = add(_T_16507, _T_16491) @[exu_mul_ctl.scala 137:112] + node _T_16509 = add(_T_16508, _T_16492) @[exu_mul_ctl.scala 137:112] + node _T_16510 = add(_T_16509, _T_16493) @[exu_mul_ctl.scala 137:112] + node _T_16511 = add(_T_16510, _T_16494) @[exu_mul_ctl.scala 137:112] + node _T_16512 = add(_T_16511, _T_16495) @[exu_mul_ctl.scala 137:112] + node _T_16513 = add(_T_16512, _T_16496) @[exu_mul_ctl.scala 137:112] + node _T_16514 = add(_T_16513, _T_16497) @[exu_mul_ctl.scala 137:112] + node _T_16515 = add(_T_16514, _T_16498) @[exu_mul_ctl.scala 137:112] + node _T_16516 = add(_T_16515, _T_16499) @[exu_mul_ctl.scala 137:112] + node _T_16517 = add(_T_16516, _T_16500) @[exu_mul_ctl.scala 137:112] + node _T_16518 = eq(_T_16517, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16519 = bits(_T_16518, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16520 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_16521 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16522 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16523 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16524 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16525 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16526 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16527 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16528 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16529 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16530 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16531 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16532 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16533 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16534 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16535 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16536 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16537 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16538 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16539 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16540 = add(_T_16521, _T_16522) @[exu_mul_ctl.scala 137:112] + node _T_16541 = add(_T_16540, _T_16523) @[exu_mul_ctl.scala 137:112] + node _T_16542 = add(_T_16541, _T_16524) @[exu_mul_ctl.scala 137:112] + node _T_16543 = add(_T_16542, _T_16525) @[exu_mul_ctl.scala 137:112] + node _T_16544 = add(_T_16543, _T_16526) @[exu_mul_ctl.scala 137:112] + node _T_16545 = add(_T_16544, _T_16527) @[exu_mul_ctl.scala 137:112] + node _T_16546 = add(_T_16545, _T_16528) @[exu_mul_ctl.scala 137:112] + node _T_16547 = add(_T_16546, _T_16529) @[exu_mul_ctl.scala 137:112] + node _T_16548 = add(_T_16547, _T_16530) @[exu_mul_ctl.scala 137:112] + node _T_16549 = add(_T_16548, _T_16531) @[exu_mul_ctl.scala 137:112] + node _T_16550 = add(_T_16549, _T_16532) @[exu_mul_ctl.scala 137:112] + node _T_16551 = add(_T_16550, _T_16533) @[exu_mul_ctl.scala 137:112] + node _T_16552 = add(_T_16551, _T_16534) @[exu_mul_ctl.scala 137:112] + node _T_16553 = add(_T_16552, _T_16535) @[exu_mul_ctl.scala 137:112] + node _T_16554 = add(_T_16553, _T_16536) @[exu_mul_ctl.scala 137:112] + node _T_16555 = add(_T_16554, _T_16537) @[exu_mul_ctl.scala 137:112] + node _T_16556 = add(_T_16555, _T_16538) @[exu_mul_ctl.scala 137:112] + node _T_16557 = add(_T_16556, _T_16539) @[exu_mul_ctl.scala 137:112] + node _T_16558 = eq(_T_16557, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16559 = bits(_T_16558, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16560 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_16561 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16562 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16563 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16564 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16565 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16566 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16567 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16568 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16569 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16570 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16571 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16572 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16573 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16574 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16575 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16576 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16577 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16578 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16579 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16580 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16581 = add(_T_16561, _T_16562) @[exu_mul_ctl.scala 137:112] + node _T_16582 = add(_T_16581, _T_16563) @[exu_mul_ctl.scala 137:112] + node _T_16583 = add(_T_16582, _T_16564) @[exu_mul_ctl.scala 137:112] + node _T_16584 = add(_T_16583, _T_16565) @[exu_mul_ctl.scala 137:112] + node _T_16585 = add(_T_16584, _T_16566) @[exu_mul_ctl.scala 137:112] + node _T_16586 = add(_T_16585, _T_16567) @[exu_mul_ctl.scala 137:112] + node _T_16587 = add(_T_16586, _T_16568) @[exu_mul_ctl.scala 137:112] + node _T_16588 = add(_T_16587, _T_16569) @[exu_mul_ctl.scala 137:112] + node _T_16589 = add(_T_16588, _T_16570) @[exu_mul_ctl.scala 137:112] + node _T_16590 = add(_T_16589, _T_16571) @[exu_mul_ctl.scala 137:112] + node _T_16591 = add(_T_16590, _T_16572) @[exu_mul_ctl.scala 137:112] + node _T_16592 = add(_T_16591, _T_16573) @[exu_mul_ctl.scala 137:112] + node _T_16593 = add(_T_16592, _T_16574) @[exu_mul_ctl.scala 137:112] + node _T_16594 = add(_T_16593, _T_16575) @[exu_mul_ctl.scala 137:112] + node _T_16595 = add(_T_16594, _T_16576) @[exu_mul_ctl.scala 137:112] + node _T_16596 = add(_T_16595, _T_16577) @[exu_mul_ctl.scala 137:112] + node _T_16597 = add(_T_16596, _T_16578) @[exu_mul_ctl.scala 137:112] + node _T_16598 = add(_T_16597, _T_16579) @[exu_mul_ctl.scala 137:112] + node _T_16599 = add(_T_16598, _T_16580) @[exu_mul_ctl.scala 137:112] + node _T_16600 = eq(_T_16599, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16601 = bits(_T_16600, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16602 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_16603 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16604 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16605 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16606 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16607 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16608 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16609 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16610 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16611 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16612 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16613 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16614 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16615 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16616 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16617 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16618 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16619 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16620 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16621 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16622 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16623 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16624 = add(_T_16603, _T_16604) @[exu_mul_ctl.scala 137:112] + node _T_16625 = add(_T_16624, _T_16605) @[exu_mul_ctl.scala 137:112] + node _T_16626 = add(_T_16625, _T_16606) @[exu_mul_ctl.scala 137:112] + node _T_16627 = add(_T_16626, _T_16607) @[exu_mul_ctl.scala 137:112] + node _T_16628 = add(_T_16627, _T_16608) @[exu_mul_ctl.scala 137:112] + node _T_16629 = add(_T_16628, _T_16609) @[exu_mul_ctl.scala 137:112] + node _T_16630 = add(_T_16629, _T_16610) @[exu_mul_ctl.scala 137:112] + node _T_16631 = add(_T_16630, _T_16611) @[exu_mul_ctl.scala 137:112] + node _T_16632 = add(_T_16631, _T_16612) @[exu_mul_ctl.scala 137:112] + node _T_16633 = add(_T_16632, _T_16613) @[exu_mul_ctl.scala 137:112] + node _T_16634 = add(_T_16633, _T_16614) @[exu_mul_ctl.scala 137:112] + node _T_16635 = add(_T_16634, _T_16615) @[exu_mul_ctl.scala 137:112] + node _T_16636 = add(_T_16635, _T_16616) @[exu_mul_ctl.scala 137:112] + node _T_16637 = add(_T_16636, _T_16617) @[exu_mul_ctl.scala 137:112] + node _T_16638 = add(_T_16637, _T_16618) @[exu_mul_ctl.scala 137:112] + node _T_16639 = add(_T_16638, _T_16619) @[exu_mul_ctl.scala 137:112] + node _T_16640 = add(_T_16639, _T_16620) @[exu_mul_ctl.scala 137:112] + node _T_16641 = add(_T_16640, _T_16621) @[exu_mul_ctl.scala 137:112] + node _T_16642 = add(_T_16641, _T_16622) @[exu_mul_ctl.scala 137:112] + node _T_16643 = add(_T_16642, _T_16623) @[exu_mul_ctl.scala 137:112] + node _T_16644 = eq(_T_16643, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16645 = bits(_T_16644, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16646 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_16647 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16648 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16649 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16650 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16651 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16652 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16653 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16654 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16655 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16656 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16657 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16658 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16659 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16660 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16661 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16662 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16663 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16664 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16665 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16666 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16667 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16668 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16669 = add(_T_16647, _T_16648) @[exu_mul_ctl.scala 137:112] + node _T_16670 = add(_T_16669, _T_16649) @[exu_mul_ctl.scala 137:112] + node _T_16671 = add(_T_16670, _T_16650) @[exu_mul_ctl.scala 137:112] + node _T_16672 = add(_T_16671, _T_16651) @[exu_mul_ctl.scala 137:112] + node _T_16673 = add(_T_16672, _T_16652) @[exu_mul_ctl.scala 137:112] + node _T_16674 = add(_T_16673, _T_16653) @[exu_mul_ctl.scala 137:112] + node _T_16675 = add(_T_16674, _T_16654) @[exu_mul_ctl.scala 137:112] + node _T_16676 = add(_T_16675, _T_16655) @[exu_mul_ctl.scala 137:112] + node _T_16677 = add(_T_16676, _T_16656) @[exu_mul_ctl.scala 137:112] + node _T_16678 = add(_T_16677, _T_16657) @[exu_mul_ctl.scala 137:112] + node _T_16679 = add(_T_16678, _T_16658) @[exu_mul_ctl.scala 137:112] + node _T_16680 = add(_T_16679, _T_16659) @[exu_mul_ctl.scala 137:112] + node _T_16681 = add(_T_16680, _T_16660) @[exu_mul_ctl.scala 137:112] + node _T_16682 = add(_T_16681, _T_16661) @[exu_mul_ctl.scala 137:112] + node _T_16683 = add(_T_16682, _T_16662) @[exu_mul_ctl.scala 137:112] + node _T_16684 = add(_T_16683, _T_16663) @[exu_mul_ctl.scala 137:112] + node _T_16685 = add(_T_16684, _T_16664) @[exu_mul_ctl.scala 137:112] + node _T_16686 = add(_T_16685, _T_16665) @[exu_mul_ctl.scala 137:112] + node _T_16687 = add(_T_16686, _T_16666) @[exu_mul_ctl.scala 137:112] + node _T_16688 = add(_T_16687, _T_16667) @[exu_mul_ctl.scala 137:112] + node _T_16689 = add(_T_16688, _T_16668) @[exu_mul_ctl.scala 137:112] + node _T_16690 = eq(_T_16689, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16691 = bits(_T_16690, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16692 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_16693 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16694 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16695 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16696 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16697 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16698 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16699 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16700 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16701 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16702 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16703 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16704 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16705 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16706 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16707 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16708 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16709 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16710 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16711 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16712 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16713 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16714 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16715 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16716 = add(_T_16693, _T_16694) @[exu_mul_ctl.scala 137:112] + node _T_16717 = add(_T_16716, _T_16695) @[exu_mul_ctl.scala 137:112] + node _T_16718 = add(_T_16717, _T_16696) @[exu_mul_ctl.scala 137:112] + node _T_16719 = add(_T_16718, _T_16697) @[exu_mul_ctl.scala 137:112] + node _T_16720 = add(_T_16719, _T_16698) @[exu_mul_ctl.scala 137:112] + node _T_16721 = add(_T_16720, _T_16699) @[exu_mul_ctl.scala 137:112] + node _T_16722 = add(_T_16721, _T_16700) @[exu_mul_ctl.scala 137:112] + node _T_16723 = add(_T_16722, _T_16701) @[exu_mul_ctl.scala 137:112] + node _T_16724 = add(_T_16723, _T_16702) @[exu_mul_ctl.scala 137:112] + node _T_16725 = add(_T_16724, _T_16703) @[exu_mul_ctl.scala 137:112] + node _T_16726 = add(_T_16725, _T_16704) @[exu_mul_ctl.scala 137:112] + node _T_16727 = add(_T_16726, _T_16705) @[exu_mul_ctl.scala 137:112] + node _T_16728 = add(_T_16727, _T_16706) @[exu_mul_ctl.scala 137:112] + node _T_16729 = add(_T_16728, _T_16707) @[exu_mul_ctl.scala 137:112] + node _T_16730 = add(_T_16729, _T_16708) @[exu_mul_ctl.scala 137:112] + node _T_16731 = add(_T_16730, _T_16709) @[exu_mul_ctl.scala 137:112] + node _T_16732 = add(_T_16731, _T_16710) @[exu_mul_ctl.scala 137:112] + node _T_16733 = add(_T_16732, _T_16711) @[exu_mul_ctl.scala 137:112] + node _T_16734 = add(_T_16733, _T_16712) @[exu_mul_ctl.scala 137:112] + node _T_16735 = add(_T_16734, _T_16713) @[exu_mul_ctl.scala 137:112] + node _T_16736 = add(_T_16735, _T_16714) @[exu_mul_ctl.scala 137:112] + node _T_16737 = add(_T_16736, _T_16715) @[exu_mul_ctl.scala 137:112] + node _T_16738 = eq(_T_16737, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16739 = bits(_T_16738, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16740 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_16741 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16742 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16743 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16744 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16745 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16746 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16747 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16748 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16749 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16750 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16751 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16752 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16753 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16754 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16755 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16756 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16757 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16758 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16759 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16760 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16761 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16762 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16763 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16764 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16765 = add(_T_16741, _T_16742) @[exu_mul_ctl.scala 137:112] + node _T_16766 = add(_T_16765, _T_16743) @[exu_mul_ctl.scala 137:112] + node _T_16767 = add(_T_16766, _T_16744) @[exu_mul_ctl.scala 137:112] + node _T_16768 = add(_T_16767, _T_16745) @[exu_mul_ctl.scala 137:112] + node _T_16769 = add(_T_16768, _T_16746) @[exu_mul_ctl.scala 137:112] + node _T_16770 = add(_T_16769, _T_16747) @[exu_mul_ctl.scala 137:112] + node _T_16771 = add(_T_16770, _T_16748) @[exu_mul_ctl.scala 137:112] + node _T_16772 = add(_T_16771, _T_16749) @[exu_mul_ctl.scala 137:112] + node _T_16773 = add(_T_16772, _T_16750) @[exu_mul_ctl.scala 137:112] + node _T_16774 = add(_T_16773, _T_16751) @[exu_mul_ctl.scala 137:112] + node _T_16775 = add(_T_16774, _T_16752) @[exu_mul_ctl.scala 137:112] + node _T_16776 = add(_T_16775, _T_16753) @[exu_mul_ctl.scala 137:112] + node _T_16777 = add(_T_16776, _T_16754) @[exu_mul_ctl.scala 137:112] + node _T_16778 = add(_T_16777, _T_16755) @[exu_mul_ctl.scala 137:112] + node _T_16779 = add(_T_16778, _T_16756) @[exu_mul_ctl.scala 137:112] + node _T_16780 = add(_T_16779, _T_16757) @[exu_mul_ctl.scala 137:112] + node _T_16781 = add(_T_16780, _T_16758) @[exu_mul_ctl.scala 137:112] + node _T_16782 = add(_T_16781, _T_16759) @[exu_mul_ctl.scala 137:112] + node _T_16783 = add(_T_16782, _T_16760) @[exu_mul_ctl.scala 137:112] + node _T_16784 = add(_T_16783, _T_16761) @[exu_mul_ctl.scala 137:112] + node _T_16785 = add(_T_16784, _T_16762) @[exu_mul_ctl.scala 137:112] + node _T_16786 = add(_T_16785, _T_16763) @[exu_mul_ctl.scala 137:112] + node _T_16787 = add(_T_16786, _T_16764) @[exu_mul_ctl.scala 137:112] + node _T_16788 = eq(_T_16787, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16789 = bits(_T_16788, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16790 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_16791 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16792 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16793 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16794 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16795 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16796 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16797 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16798 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16799 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16800 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16801 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16802 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16803 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16804 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16805 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16806 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16807 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16808 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16809 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16810 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16811 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16812 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16813 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16814 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16815 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16816 = add(_T_16791, _T_16792) @[exu_mul_ctl.scala 137:112] + node _T_16817 = add(_T_16816, _T_16793) @[exu_mul_ctl.scala 137:112] + node _T_16818 = add(_T_16817, _T_16794) @[exu_mul_ctl.scala 137:112] + node _T_16819 = add(_T_16818, _T_16795) @[exu_mul_ctl.scala 137:112] + node _T_16820 = add(_T_16819, _T_16796) @[exu_mul_ctl.scala 137:112] + node _T_16821 = add(_T_16820, _T_16797) @[exu_mul_ctl.scala 137:112] + node _T_16822 = add(_T_16821, _T_16798) @[exu_mul_ctl.scala 137:112] + node _T_16823 = add(_T_16822, _T_16799) @[exu_mul_ctl.scala 137:112] + node _T_16824 = add(_T_16823, _T_16800) @[exu_mul_ctl.scala 137:112] + node _T_16825 = add(_T_16824, _T_16801) @[exu_mul_ctl.scala 137:112] + node _T_16826 = add(_T_16825, _T_16802) @[exu_mul_ctl.scala 137:112] + node _T_16827 = add(_T_16826, _T_16803) @[exu_mul_ctl.scala 137:112] + node _T_16828 = add(_T_16827, _T_16804) @[exu_mul_ctl.scala 137:112] + node _T_16829 = add(_T_16828, _T_16805) @[exu_mul_ctl.scala 137:112] + node _T_16830 = add(_T_16829, _T_16806) @[exu_mul_ctl.scala 137:112] + node _T_16831 = add(_T_16830, _T_16807) @[exu_mul_ctl.scala 137:112] + node _T_16832 = add(_T_16831, _T_16808) @[exu_mul_ctl.scala 137:112] + node _T_16833 = add(_T_16832, _T_16809) @[exu_mul_ctl.scala 137:112] + node _T_16834 = add(_T_16833, _T_16810) @[exu_mul_ctl.scala 137:112] + node _T_16835 = add(_T_16834, _T_16811) @[exu_mul_ctl.scala 137:112] + node _T_16836 = add(_T_16835, _T_16812) @[exu_mul_ctl.scala 137:112] + node _T_16837 = add(_T_16836, _T_16813) @[exu_mul_ctl.scala 137:112] + node _T_16838 = add(_T_16837, _T_16814) @[exu_mul_ctl.scala 137:112] + node _T_16839 = add(_T_16838, _T_16815) @[exu_mul_ctl.scala 137:112] + node _T_16840 = eq(_T_16839, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16841 = bits(_T_16840, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16842 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_16843 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16844 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16845 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16846 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16847 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16848 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16849 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16850 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16851 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16852 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16853 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16854 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16855 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16856 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16857 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16858 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16859 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16860 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16861 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16862 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16863 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16864 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16865 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16866 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16867 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16868 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_16869 = add(_T_16843, _T_16844) @[exu_mul_ctl.scala 137:112] + node _T_16870 = add(_T_16869, _T_16845) @[exu_mul_ctl.scala 137:112] + node _T_16871 = add(_T_16870, _T_16846) @[exu_mul_ctl.scala 137:112] + node _T_16872 = add(_T_16871, _T_16847) @[exu_mul_ctl.scala 137:112] + node _T_16873 = add(_T_16872, _T_16848) @[exu_mul_ctl.scala 137:112] + node _T_16874 = add(_T_16873, _T_16849) @[exu_mul_ctl.scala 137:112] + node _T_16875 = add(_T_16874, _T_16850) @[exu_mul_ctl.scala 137:112] + node _T_16876 = add(_T_16875, _T_16851) @[exu_mul_ctl.scala 137:112] + node _T_16877 = add(_T_16876, _T_16852) @[exu_mul_ctl.scala 137:112] + node _T_16878 = add(_T_16877, _T_16853) @[exu_mul_ctl.scala 137:112] + node _T_16879 = add(_T_16878, _T_16854) @[exu_mul_ctl.scala 137:112] + node _T_16880 = add(_T_16879, _T_16855) @[exu_mul_ctl.scala 137:112] + node _T_16881 = add(_T_16880, _T_16856) @[exu_mul_ctl.scala 137:112] + node _T_16882 = add(_T_16881, _T_16857) @[exu_mul_ctl.scala 137:112] + node _T_16883 = add(_T_16882, _T_16858) @[exu_mul_ctl.scala 137:112] + node _T_16884 = add(_T_16883, _T_16859) @[exu_mul_ctl.scala 137:112] + node _T_16885 = add(_T_16884, _T_16860) @[exu_mul_ctl.scala 137:112] + node _T_16886 = add(_T_16885, _T_16861) @[exu_mul_ctl.scala 137:112] + node _T_16887 = add(_T_16886, _T_16862) @[exu_mul_ctl.scala 137:112] + node _T_16888 = add(_T_16887, _T_16863) @[exu_mul_ctl.scala 137:112] + node _T_16889 = add(_T_16888, _T_16864) @[exu_mul_ctl.scala 137:112] + node _T_16890 = add(_T_16889, _T_16865) @[exu_mul_ctl.scala 137:112] + node _T_16891 = add(_T_16890, _T_16866) @[exu_mul_ctl.scala 137:112] + node _T_16892 = add(_T_16891, _T_16867) @[exu_mul_ctl.scala 137:112] + node _T_16893 = add(_T_16892, _T_16868) @[exu_mul_ctl.scala 137:112] + node _T_16894 = eq(_T_16893, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16895 = bits(_T_16894, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16896 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_16897 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16898 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16899 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16900 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16901 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16902 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16903 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16904 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16905 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16906 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16907 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16908 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16909 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16910 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16911 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16912 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16913 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16914 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16915 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16916 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16917 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16918 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16919 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16920 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16921 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16922 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_16923 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_16924 = add(_T_16897, _T_16898) @[exu_mul_ctl.scala 137:112] + node _T_16925 = add(_T_16924, _T_16899) @[exu_mul_ctl.scala 137:112] + node _T_16926 = add(_T_16925, _T_16900) @[exu_mul_ctl.scala 137:112] + node _T_16927 = add(_T_16926, _T_16901) @[exu_mul_ctl.scala 137:112] + node _T_16928 = add(_T_16927, _T_16902) @[exu_mul_ctl.scala 137:112] + node _T_16929 = add(_T_16928, _T_16903) @[exu_mul_ctl.scala 137:112] + node _T_16930 = add(_T_16929, _T_16904) @[exu_mul_ctl.scala 137:112] + node _T_16931 = add(_T_16930, _T_16905) @[exu_mul_ctl.scala 137:112] + node _T_16932 = add(_T_16931, _T_16906) @[exu_mul_ctl.scala 137:112] + node _T_16933 = add(_T_16932, _T_16907) @[exu_mul_ctl.scala 137:112] + node _T_16934 = add(_T_16933, _T_16908) @[exu_mul_ctl.scala 137:112] + node _T_16935 = add(_T_16934, _T_16909) @[exu_mul_ctl.scala 137:112] + node _T_16936 = add(_T_16935, _T_16910) @[exu_mul_ctl.scala 137:112] + node _T_16937 = add(_T_16936, _T_16911) @[exu_mul_ctl.scala 137:112] + node _T_16938 = add(_T_16937, _T_16912) @[exu_mul_ctl.scala 137:112] + node _T_16939 = add(_T_16938, _T_16913) @[exu_mul_ctl.scala 137:112] + node _T_16940 = add(_T_16939, _T_16914) @[exu_mul_ctl.scala 137:112] + node _T_16941 = add(_T_16940, _T_16915) @[exu_mul_ctl.scala 137:112] + node _T_16942 = add(_T_16941, _T_16916) @[exu_mul_ctl.scala 137:112] + node _T_16943 = add(_T_16942, _T_16917) @[exu_mul_ctl.scala 137:112] + node _T_16944 = add(_T_16943, _T_16918) @[exu_mul_ctl.scala 137:112] + node _T_16945 = add(_T_16944, _T_16919) @[exu_mul_ctl.scala 137:112] + node _T_16946 = add(_T_16945, _T_16920) @[exu_mul_ctl.scala 137:112] + node _T_16947 = add(_T_16946, _T_16921) @[exu_mul_ctl.scala 137:112] + node _T_16948 = add(_T_16947, _T_16922) @[exu_mul_ctl.scala 137:112] + node _T_16949 = add(_T_16948, _T_16923) @[exu_mul_ctl.scala 137:112] + node _T_16950 = eq(_T_16949, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_16951 = bits(_T_16950, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_16952 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_16953 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_16954 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_16955 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_16956 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_16957 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_16958 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_16959 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_16960 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_16961 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_16962 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_16963 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_16964 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_16965 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_16966 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_16967 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_16968 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_16969 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_16970 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_16971 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_16972 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_16973 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_16974 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_16975 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_16976 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_16977 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_16978 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_16979 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_16980 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_16981 = add(_T_16953, _T_16954) @[exu_mul_ctl.scala 137:112] + node _T_16982 = add(_T_16981, _T_16955) @[exu_mul_ctl.scala 137:112] + node _T_16983 = add(_T_16982, _T_16956) @[exu_mul_ctl.scala 137:112] + node _T_16984 = add(_T_16983, _T_16957) @[exu_mul_ctl.scala 137:112] + node _T_16985 = add(_T_16984, _T_16958) @[exu_mul_ctl.scala 137:112] + node _T_16986 = add(_T_16985, _T_16959) @[exu_mul_ctl.scala 137:112] + node _T_16987 = add(_T_16986, _T_16960) @[exu_mul_ctl.scala 137:112] + node _T_16988 = add(_T_16987, _T_16961) @[exu_mul_ctl.scala 137:112] + node _T_16989 = add(_T_16988, _T_16962) @[exu_mul_ctl.scala 137:112] + node _T_16990 = add(_T_16989, _T_16963) @[exu_mul_ctl.scala 137:112] + node _T_16991 = add(_T_16990, _T_16964) @[exu_mul_ctl.scala 137:112] + node _T_16992 = add(_T_16991, _T_16965) @[exu_mul_ctl.scala 137:112] + node _T_16993 = add(_T_16992, _T_16966) @[exu_mul_ctl.scala 137:112] + node _T_16994 = add(_T_16993, _T_16967) @[exu_mul_ctl.scala 137:112] + node _T_16995 = add(_T_16994, _T_16968) @[exu_mul_ctl.scala 137:112] + node _T_16996 = add(_T_16995, _T_16969) @[exu_mul_ctl.scala 137:112] + node _T_16997 = add(_T_16996, _T_16970) @[exu_mul_ctl.scala 137:112] + node _T_16998 = add(_T_16997, _T_16971) @[exu_mul_ctl.scala 137:112] + node _T_16999 = add(_T_16998, _T_16972) @[exu_mul_ctl.scala 137:112] + node _T_17000 = add(_T_16999, _T_16973) @[exu_mul_ctl.scala 137:112] + node _T_17001 = add(_T_17000, _T_16974) @[exu_mul_ctl.scala 137:112] + node _T_17002 = add(_T_17001, _T_16975) @[exu_mul_ctl.scala 137:112] + node _T_17003 = add(_T_17002, _T_16976) @[exu_mul_ctl.scala 137:112] + node _T_17004 = add(_T_17003, _T_16977) @[exu_mul_ctl.scala 137:112] + node _T_17005 = add(_T_17004, _T_16978) @[exu_mul_ctl.scala 137:112] + node _T_17006 = add(_T_17005, _T_16979) @[exu_mul_ctl.scala 137:112] + node _T_17007 = add(_T_17006, _T_16980) @[exu_mul_ctl.scala 137:112] + node _T_17008 = eq(_T_17007, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_17009 = bits(_T_17008, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17010 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_17011 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17012 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17013 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17014 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17015 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17016 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17017 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17018 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17019 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17020 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17021 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17022 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17023 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17024 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17025 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17026 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17027 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17028 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17029 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17030 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17031 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17032 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17033 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17034 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17035 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_17036 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_17037 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_17038 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_17039 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_17040 = add(_T_17011, _T_17012) @[exu_mul_ctl.scala 137:112] + node _T_17041 = add(_T_17040, _T_17013) @[exu_mul_ctl.scala 137:112] + node _T_17042 = add(_T_17041, _T_17014) @[exu_mul_ctl.scala 137:112] + node _T_17043 = add(_T_17042, _T_17015) @[exu_mul_ctl.scala 137:112] + node _T_17044 = add(_T_17043, _T_17016) @[exu_mul_ctl.scala 137:112] + node _T_17045 = add(_T_17044, _T_17017) @[exu_mul_ctl.scala 137:112] + node _T_17046 = add(_T_17045, _T_17018) @[exu_mul_ctl.scala 137:112] + node _T_17047 = add(_T_17046, _T_17019) @[exu_mul_ctl.scala 137:112] + node _T_17048 = add(_T_17047, _T_17020) @[exu_mul_ctl.scala 137:112] + node _T_17049 = add(_T_17048, _T_17021) @[exu_mul_ctl.scala 137:112] + node _T_17050 = add(_T_17049, _T_17022) @[exu_mul_ctl.scala 137:112] + node _T_17051 = add(_T_17050, _T_17023) @[exu_mul_ctl.scala 137:112] + node _T_17052 = add(_T_17051, _T_17024) @[exu_mul_ctl.scala 137:112] + node _T_17053 = add(_T_17052, _T_17025) @[exu_mul_ctl.scala 137:112] + node _T_17054 = add(_T_17053, _T_17026) @[exu_mul_ctl.scala 137:112] + node _T_17055 = add(_T_17054, _T_17027) @[exu_mul_ctl.scala 137:112] + node _T_17056 = add(_T_17055, _T_17028) @[exu_mul_ctl.scala 137:112] + node _T_17057 = add(_T_17056, _T_17029) @[exu_mul_ctl.scala 137:112] + node _T_17058 = add(_T_17057, _T_17030) @[exu_mul_ctl.scala 137:112] + node _T_17059 = add(_T_17058, _T_17031) @[exu_mul_ctl.scala 137:112] + node _T_17060 = add(_T_17059, _T_17032) @[exu_mul_ctl.scala 137:112] + node _T_17061 = add(_T_17060, _T_17033) @[exu_mul_ctl.scala 137:112] + node _T_17062 = add(_T_17061, _T_17034) @[exu_mul_ctl.scala 137:112] + node _T_17063 = add(_T_17062, _T_17035) @[exu_mul_ctl.scala 137:112] + node _T_17064 = add(_T_17063, _T_17036) @[exu_mul_ctl.scala 137:112] + node _T_17065 = add(_T_17064, _T_17037) @[exu_mul_ctl.scala 137:112] + node _T_17066 = add(_T_17065, _T_17038) @[exu_mul_ctl.scala 137:112] + node _T_17067 = add(_T_17066, _T_17039) @[exu_mul_ctl.scala 137:112] + node _T_17068 = eq(_T_17067, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_17069 = bits(_T_17068, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17070 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_17071 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17072 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17073 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17074 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17075 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17076 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17077 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17078 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17079 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17080 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17081 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17082 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17083 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17084 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17085 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17086 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17087 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17088 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17089 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17090 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17091 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17092 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17093 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17094 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17095 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_17096 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_17097 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_17098 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_17099 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_17100 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_17101 = add(_T_17071, _T_17072) @[exu_mul_ctl.scala 137:112] + node _T_17102 = add(_T_17101, _T_17073) @[exu_mul_ctl.scala 137:112] + node _T_17103 = add(_T_17102, _T_17074) @[exu_mul_ctl.scala 137:112] + node _T_17104 = add(_T_17103, _T_17075) @[exu_mul_ctl.scala 137:112] + node _T_17105 = add(_T_17104, _T_17076) @[exu_mul_ctl.scala 137:112] + node _T_17106 = add(_T_17105, _T_17077) @[exu_mul_ctl.scala 137:112] + node _T_17107 = add(_T_17106, _T_17078) @[exu_mul_ctl.scala 137:112] + node _T_17108 = add(_T_17107, _T_17079) @[exu_mul_ctl.scala 137:112] + node _T_17109 = add(_T_17108, _T_17080) @[exu_mul_ctl.scala 137:112] + node _T_17110 = add(_T_17109, _T_17081) @[exu_mul_ctl.scala 137:112] + node _T_17111 = add(_T_17110, _T_17082) @[exu_mul_ctl.scala 137:112] + node _T_17112 = add(_T_17111, _T_17083) @[exu_mul_ctl.scala 137:112] + node _T_17113 = add(_T_17112, _T_17084) @[exu_mul_ctl.scala 137:112] + node _T_17114 = add(_T_17113, _T_17085) @[exu_mul_ctl.scala 137:112] + node _T_17115 = add(_T_17114, _T_17086) @[exu_mul_ctl.scala 137:112] + node _T_17116 = add(_T_17115, _T_17087) @[exu_mul_ctl.scala 137:112] + node _T_17117 = add(_T_17116, _T_17088) @[exu_mul_ctl.scala 137:112] + node _T_17118 = add(_T_17117, _T_17089) @[exu_mul_ctl.scala 137:112] + node _T_17119 = add(_T_17118, _T_17090) @[exu_mul_ctl.scala 137:112] + node _T_17120 = add(_T_17119, _T_17091) @[exu_mul_ctl.scala 137:112] + node _T_17121 = add(_T_17120, _T_17092) @[exu_mul_ctl.scala 137:112] + node _T_17122 = add(_T_17121, _T_17093) @[exu_mul_ctl.scala 137:112] + node _T_17123 = add(_T_17122, _T_17094) @[exu_mul_ctl.scala 137:112] + node _T_17124 = add(_T_17123, _T_17095) @[exu_mul_ctl.scala 137:112] + node _T_17125 = add(_T_17124, _T_17096) @[exu_mul_ctl.scala 137:112] + node _T_17126 = add(_T_17125, _T_17097) @[exu_mul_ctl.scala 137:112] + node _T_17127 = add(_T_17126, _T_17098) @[exu_mul_ctl.scala 137:112] + node _T_17128 = add(_T_17127, _T_17099) @[exu_mul_ctl.scala 137:112] + node _T_17129 = add(_T_17128, _T_17100) @[exu_mul_ctl.scala 137:112] + node _T_17130 = eq(_T_17129, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_17131 = bits(_T_17130, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17132 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_17133 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17134 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17135 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17136 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17137 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17138 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17139 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17140 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17141 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17142 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17143 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17144 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17145 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17146 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17147 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17148 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17149 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17150 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17151 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17152 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17153 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17154 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17155 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17156 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17157 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_17158 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_17159 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_17160 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_17161 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_17162 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_17163 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_17164 = add(_T_17133, _T_17134) @[exu_mul_ctl.scala 137:112] + node _T_17165 = add(_T_17164, _T_17135) @[exu_mul_ctl.scala 137:112] + node _T_17166 = add(_T_17165, _T_17136) @[exu_mul_ctl.scala 137:112] + node _T_17167 = add(_T_17166, _T_17137) @[exu_mul_ctl.scala 137:112] + node _T_17168 = add(_T_17167, _T_17138) @[exu_mul_ctl.scala 137:112] + node _T_17169 = add(_T_17168, _T_17139) @[exu_mul_ctl.scala 137:112] + node _T_17170 = add(_T_17169, _T_17140) @[exu_mul_ctl.scala 137:112] + node _T_17171 = add(_T_17170, _T_17141) @[exu_mul_ctl.scala 137:112] + node _T_17172 = add(_T_17171, _T_17142) @[exu_mul_ctl.scala 137:112] + node _T_17173 = add(_T_17172, _T_17143) @[exu_mul_ctl.scala 137:112] + node _T_17174 = add(_T_17173, _T_17144) @[exu_mul_ctl.scala 137:112] + node _T_17175 = add(_T_17174, _T_17145) @[exu_mul_ctl.scala 137:112] + node _T_17176 = add(_T_17175, _T_17146) @[exu_mul_ctl.scala 137:112] + node _T_17177 = add(_T_17176, _T_17147) @[exu_mul_ctl.scala 137:112] + node _T_17178 = add(_T_17177, _T_17148) @[exu_mul_ctl.scala 137:112] + node _T_17179 = add(_T_17178, _T_17149) @[exu_mul_ctl.scala 137:112] + node _T_17180 = add(_T_17179, _T_17150) @[exu_mul_ctl.scala 137:112] + node _T_17181 = add(_T_17180, _T_17151) @[exu_mul_ctl.scala 137:112] + node _T_17182 = add(_T_17181, _T_17152) @[exu_mul_ctl.scala 137:112] + node _T_17183 = add(_T_17182, _T_17153) @[exu_mul_ctl.scala 137:112] + node _T_17184 = add(_T_17183, _T_17154) @[exu_mul_ctl.scala 137:112] + node _T_17185 = add(_T_17184, _T_17155) @[exu_mul_ctl.scala 137:112] + node _T_17186 = add(_T_17185, _T_17156) @[exu_mul_ctl.scala 137:112] + node _T_17187 = add(_T_17186, _T_17157) @[exu_mul_ctl.scala 137:112] + node _T_17188 = add(_T_17187, _T_17158) @[exu_mul_ctl.scala 137:112] + node _T_17189 = add(_T_17188, _T_17159) @[exu_mul_ctl.scala 137:112] + node _T_17190 = add(_T_17189, _T_17160) @[exu_mul_ctl.scala 137:112] + node _T_17191 = add(_T_17190, _T_17161) @[exu_mul_ctl.scala 137:112] + node _T_17192 = add(_T_17191, _T_17162) @[exu_mul_ctl.scala 137:112] + node _T_17193 = add(_T_17192, _T_17163) @[exu_mul_ctl.scala 137:112] + node _T_17194 = eq(_T_17193, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_17195 = bits(_T_17194, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17196 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_17197 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17198 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17199 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17200 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17201 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17202 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17203 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17204 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17205 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17206 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17207 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17208 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17209 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17210 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17211 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17212 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17213 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17214 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17215 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17216 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17217 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17218 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17219 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17220 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17221 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_17222 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_17223 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_17224 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_17225 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_17226 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_17227 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_17228 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_17229 = add(_T_17197, _T_17198) @[exu_mul_ctl.scala 137:112] + node _T_17230 = add(_T_17229, _T_17199) @[exu_mul_ctl.scala 137:112] + node _T_17231 = add(_T_17230, _T_17200) @[exu_mul_ctl.scala 137:112] + node _T_17232 = add(_T_17231, _T_17201) @[exu_mul_ctl.scala 137:112] + node _T_17233 = add(_T_17232, _T_17202) @[exu_mul_ctl.scala 137:112] + node _T_17234 = add(_T_17233, _T_17203) @[exu_mul_ctl.scala 137:112] + node _T_17235 = add(_T_17234, _T_17204) @[exu_mul_ctl.scala 137:112] + node _T_17236 = add(_T_17235, _T_17205) @[exu_mul_ctl.scala 137:112] + node _T_17237 = add(_T_17236, _T_17206) @[exu_mul_ctl.scala 137:112] + node _T_17238 = add(_T_17237, _T_17207) @[exu_mul_ctl.scala 137:112] + node _T_17239 = add(_T_17238, _T_17208) @[exu_mul_ctl.scala 137:112] + node _T_17240 = add(_T_17239, _T_17209) @[exu_mul_ctl.scala 137:112] + node _T_17241 = add(_T_17240, _T_17210) @[exu_mul_ctl.scala 137:112] + node _T_17242 = add(_T_17241, _T_17211) @[exu_mul_ctl.scala 137:112] + node _T_17243 = add(_T_17242, _T_17212) @[exu_mul_ctl.scala 137:112] + node _T_17244 = add(_T_17243, _T_17213) @[exu_mul_ctl.scala 137:112] + node _T_17245 = add(_T_17244, _T_17214) @[exu_mul_ctl.scala 137:112] + node _T_17246 = add(_T_17245, _T_17215) @[exu_mul_ctl.scala 137:112] + node _T_17247 = add(_T_17246, _T_17216) @[exu_mul_ctl.scala 137:112] + node _T_17248 = add(_T_17247, _T_17217) @[exu_mul_ctl.scala 137:112] + node _T_17249 = add(_T_17248, _T_17218) @[exu_mul_ctl.scala 137:112] + node _T_17250 = add(_T_17249, _T_17219) @[exu_mul_ctl.scala 137:112] + node _T_17251 = add(_T_17250, _T_17220) @[exu_mul_ctl.scala 137:112] + node _T_17252 = add(_T_17251, _T_17221) @[exu_mul_ctl.scala 137:112] + node _T_17253 = add(_T_17252, _T_17222) @[exu_mul_ctl.scala 137:112] + node _T_17254 = add(_T_17253, _T_17223) @[exu_mul_ctl.scala 137:112] + node _T_17255 = add(_T_17254, _T_17224) @[exu_mul_ctl.scala 137:112] + node _T_17256 = add(_T_17255, _T_17225) @[exu_mul_ctl.scala 137:112] + node _T_17257 = add(_T_17256, _T_17226) @[exu_mul_ctl.scala 137:112] + node _T_17258 = add(_T_17257, _T_17227) @[exu_mul_ctl.scala 137:112] + node _T_17259 = add(_T_17258, _T_17228) @[exu_mul_ctl.scala 137:112] + node _T_17260 = eq(_T_17259, UInt<4>("h0f")) @[exu_mul_ctl.scala 138:87] + node _T_17261 = bits(_T_17260, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17262 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_17263 = mux(_T_17261, _T_17262, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_17264 = mux(_T_17195, _T_17196, _T_17263) @[Mux.scala 98:16] + node _T_17265 = mux(_T_17131, _T_17132, _T_17264) @[Mux.scala 98:16] + node _T_17266 = mux(_T_17069, _T_17070, _T_17265) @[Mux.scala 98:16] + node _T_17267 = mux(_T_17009, _T_17010, _T_17266) @[Mux.scala 98:16] + node _T_17268 = mux(_T_16951, _T_16952, _T_17267) @[Mux.scala 98:16] + node _T_17269 = mux(_T_16895, _T_16896, _T_17268) @[Mux.scala 98:16] + node _T_17270 = mux(_T_16841, _T_16842, _T_17269) @[Mux.scala 98:16] + node _T_17271 = mux(_T_16789, _T_16790, _T_17270) @[Mux.scala 98:16] + node _T_17272 = mux(_T_16739, _T_16740, _T_17271) @[Mux.scala 98:16] + node _T_17273 = mux(_T_16691, _T_16692, _T_17272) @[Mux.scala 98:16] + node _T_17274 = mux(_T_16645, _T_16646, _T_17273) @[Mux.scala 98:16] + node _T_17275 = mux(_T_16601, _T_16602, _T_17274) @[Mux.scala 98:16] + node _T_17276 = mux(_T_16559, _T_16560, _T_17275) @[Mux.scala 98:16] + node _T_17277 = mux(_T_16519, _T_16520, _T_17276) @[Mux.scala 98:16] + node _T_17278 = mux(_T_16481, _T_16482, _T_17277) @[Mux.scala 98:16] + node _T_17279 = mux(_T_16445, _T_16446, _T_17278) @[Mux.scala 98:16] + node _T_17280 = mux(_T_16411, _T_16412, _T_17279) @[Mux.scala 98:16] + node _T_17281 = mux(_T_16379, _T_16380, _T_17280) @[Mux.scala 98:16] + node _T_17282 = mux(_T_16349, _T_16350, _T_17281) @[Mux.scala 98:16] + node _T_17283 = mux(_T_16321, _T_16322, _T_17282) @[Mux.scala 98:16] + node _T_17284 = mux(_T_16295, _T_16296, _T_17283) @[Mux.scala 98:16] + node _T_17285 = mux(_T_16271, _T_16272, _T_17284) @[Mux.scala 98:16] + node _T_17286 = mux(_T_16249, _T_16250, _T_17285) @[Mux.scala 98:16] + node _T_17287 = mux(_T_16229, _T_16230, _T_17286) @[Mux.scala 98:16] + node _T_17288 = mux(_T_16211, _T_16212, _T_17287) @[Mux.scala 98:16] + node _T_17289 = mux(_T_16195, _T_16196, _T_17288) @[Mux.scala 98:16] + node _T_17290 = mux(_T_16181, _T_16182, _T_17289) @[Mux.scala 98:16] + node _T_17291 = mux(_T_16169, _T_16170, _T_17290) @[Mux.scala 98:16] + node _T_17292 = mux(_T_16159, _T_16160, _T_17291) @[Mux.scala 98:16] + node _T_17293 = mux(_T_16151, _T_16152, _T_17292) @[Mux.scala 98:16] + node _T_17294 = mux(_T_16145, _T_16146, _T_17293) @[Mux.scala 98:16] + node _T_17295 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_17296 = eq(_T_17295, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17297 = bits(_T_17296, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17298 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_17299 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17300 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17301 = add(_T_17299, _T_17300) @[exu_mul_ctl.scala 137:112] + node _T_17302 = eq(_T_17301, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17303 = bits(_T_17302, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17304 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_17305 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17306 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17307 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17308 = add(_T_17305, _T_17306) @[exu_mul_ctl.scala 137:112] + node _T_17309 = add(_T_17308, _T_17307) @[exu_mul_ctl.scala 137:112] + node _T_17310 = eq(_T_17309, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17311 = bits(_T_17310, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17312 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_17313 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17314 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17315 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17316 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17317 = add(_T_17313, _T_17314) @[exu_mul_ctl.scala 137:112] + node _T_17318 = add(_T_17317, _T_17315) @[exu_mul_ctl.scala 137:112] + node _T_17319 = add(_T_17318, _T_17316) @[exu_mul_ctl.scala 137:112] + node _T_17320 = eq(_T_17319, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17321 = bits(_T_17320, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17322 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_17323 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17324 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17325 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17326 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17327 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17328 = add(_T_17323, _T_17324) @[exu_mul_ctl.scala 137:112] + node _T_17329 = add(_T_17328, _T_17325) @[exu_mul_ctl.scala 137:112] + node _T_17330 = add(_T_17329, _T_17326) @[exu_mul_ctl.scala 137:112] + node _T_17331 = add(_T_17330, _T_17327) @[exu_mul_ctl.scala 137:112] + node _T_17332 = eq(_T_17331, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17333 = bits(_T_17332, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17334 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_17335 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17336 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17337 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17338 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17339 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17340 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17341 = add(_T_17335, _T_17336) @[exu_mul_ctl.scala 137:112] + node _T_17342 = add(_T_17341, _T_17337) @[exu_mul_ctl.scala 137:112] + node _T_17343 = add(_T_17342, _T_17338) @[exu_mul_ctl.scala 137:112] + node _T_17344 = add(_T_17343, _T_17339) @[exu_mul_ctl.scala 137:112] + node _T_17345 = add(_T_17344, _T_17340) @[exu_mul_ctl.scala 137:112] + node _T_17346 = eq(_T_17345, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17347 = bits(_T_17346, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17348 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_17349 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17350 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17351 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17352 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17353 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17354 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17355 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17356 = add(_T_17349, _T_17350) @[exu_mul_ctl.scala 137:112] + node _T_17357 = add(_T_17356, _T_17351) @[exu_mul_ctl.scala 137:112] + node _T_17358 = add(_T_17357, _T_17352) @[exu_mul_ctl.scala 137:112] + node _T_17359 = add(_T_17358, _T_17353) @[exu_mul_ctl.scala 137:112] + node _T_17360 = add(_T_17359, _T_17354) @[exu_mul_ctl.scala 137:112] + node _T_17361 = add(_T_17360, _T_17355) @[exu_mul_ctl.scala 137:112] + node _T_17362 = eq(_T_17361, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17363 = bits(_T_17362, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17364 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_17365 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17366 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17367 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17368 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17369 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17370 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17371 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17372 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17373 = add(_T_17365, _T_17366) @[exu_mul_ctl.scala 137:112] + node _T_17374 = add(_T_17373, _T_17367) @[exu_mul_ctl.scala 137:112] + node _T_17375 = add(_T_17374, _T_17368) @[exu_mul_ctl.scala 137:112] + node _T_17376 = add(_T_17375, _T_17369) @[exu_mul_ctl.scala 137:112] + node _T_17377 = add(_T_17376, _T_17370) @[exu_mul_ctl.scala 137:112] + node _T_17378 = add(_T_17377, _T_17371) @[exu_mul_ctl.scala 137:112] + node _T_17379 = add(_T_17378, _T_17372) @[exu_mul_ctl.scala 137:112] + node _T_17380 = eq(_T_17379, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17381 = bits(_T_17380, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17382 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_17383 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17384 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17385 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17386 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17387 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17388 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17389 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17390 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17391 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17392 = add(_T_17383, _T_17384) @[exu_mul_ctl.scala 137:112] + node _T_17393 = add(_T_17392, _T_17385) @[exu_mul_ctl.scala 137:112] + node _T_17394 = add(_T_17393, _T_17386) @[exu_mul_ctl.scala 137:112] + node _T_17395 = add(_T_17394, _T_17387) @[exu_mul_ctl.scala 137:112] + node _T_17396 = add(_T_17395, _T_17388) @[exu_mul_ctl.scala 137:112] + node _T_17397 = add(_T_17396, _T_17389) @[exu_mul_ctl.scala 137:112] + node _T_17398 = add(_T_17397, _T_17390) @[exu_mul_ctl.scala 137:112] + node _T_17399 = add(_T_17398, _T_17391) @[exu_mul_ctl.scala 137:112] + node _T_17400 = eq(_T_17399, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17401 = bits(_T_17400, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17402 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_17403 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17404 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17405 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17406 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17407 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17408 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17409 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17410 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17411 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17412 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17413 = add(_T_17403, _T_17404) @[exu_mul_ctl.scala 137:112] + node _T_17414 = add(_T_17413, _T_17405) @[exu_mul_ctl.scala 137:112] + node _T_17415 = add(_T_17414, _T_17406) @[exu_mul_ctl.scala 137:112] + node _T_17416 = add(_T_17415, _T_17407) @[exu_mul_ctl.scala 137:112] + node _T_17417 = add(_T_17416, _T_17408) @[exu_mul_ctl.scala 137:112] + node _T_17418 = add(_T_17417, _T_17409) @[exu_mul_ctl.scala 137:112] + node _T_17419 = add(_T_17418, _T_17410) @[exu_mul_ctl.scala 137:112] + node _T_17420 = add(_T_17419, _T_17411) @[exu_mul_ctl.scala 137:112] + node _T_17421 = add(_T_17420, _T_17412) @[exu_mul_ctl.scala 137:112] + node _T_17422 = eq(_T_17421, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17423 = bits(_T_17422, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17424 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_17425 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17426 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17427 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17428 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17429 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17430 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17431 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17432 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17433 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17434 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17435 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17436 = add(_T_17425, _T_17426) @[exu_mul_ctl.scala 137:112] + node _T_17437 = add(_T_17436, _T_17427) @[exu_mul_ctl.scala 137:112] + node _T_17438 = add(_T_17437, _T_17428) @[exu_mul_ctl.scala 137:112] + node _T_17439 = add(_T_17438, _T_17429) @[exu_mul_ctl.scala 137:112] + node _T_17440 = add(_T_17439, _T_17430) @[exu_mul_ctl.scala 137:112] + node _T_17441 = add(_T_17440, _T_17431) @[exu_mul_ctl.scala 137:112] + node _T_17442 = add(_T_17441, _T_17432) @[exu_mul_ctl.scala 137:112] + node _T_17443 = add(_T_17442, _T_17433) @[exu_mul_ctl.scala 137:112] + node _T_17444 = add(_T_17443, _T_17434) @[exu_mul_ctl.scala 137:112] + node _T_17445 = add(_T_17444, _T_17435) @[exu_mul_ctl.scala 137:112] + node _T_17446 = eq(_T_17445, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17447 = bits(_T_17446, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17448 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_17449 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17450 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17451 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17452 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17453 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17454 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17455 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17456 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17457 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17458 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17459 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17460 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17461 = add(_T_17449, _T_17450) @[exu_mul_ctl.scala 137:112] + node _T_17462 = add(_T_17461, _T_17451) @[exu_mul_ctl.scala 137:112] + node _T_17463 = add(_T_17462, _T_17452) @[exu_mul_ctl.scala 137:112] + node _T_17464 = add(_T_17463, _T_17453) @[exu_mul_ctl.scala 137:112] + node _T_17465 = add(_T_17464, _T_17454) @[exu_mul_ctl.scala 137:112] + node _T_17466 = add(_T_17465, _T_17455) @[exu_mul_ctl.scala 137:112] + node _T_17467 = add(_T_17466, _T_17456) @[exu_mul_ctl.scala 137:112] + node _T_17468 = add(_T_17467, _T_17457) @[exu_mul_ctl.scala 137:112] + node _T_17469 = add(_T_17468, _T_17458) @[exu_mul_ctl.scala 137:112] + node _T_17470 = add(_T_17469, _T_17459) @[exu_mul_ctl.scala 137:112] + node _T_17471 = add(_T_17470, _T_17460) @[exu_mul_ctl.scala 137:112] + node _T_17472 = eq(_T_17471, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17473 = bits(_T_17472, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17474 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_17475 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17476 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17477 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17478 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17479 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17480 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17481 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17482 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17483 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17484 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17485 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17486 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17487 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17488 = add(_T_17475, _T_17476) @[exu_mul_ctl.scala 137:112] + node _T_17489 = add(_T_17488, _T_17477) @[exu_mul_ctl.scala 137:112] + node _T_17490 = add(_T_17489, _T_17478) @[exu_mul_ctl.scala 137:112] + node _T_17491 = add(_T_17490, _T_17479) @[exu_mul_ctl.scala 137:112] + node _T_17492 = add(_T_17491, _T_17480) @[exu_mul_ctl.scala 137:112] + node _T_17493 = add(_T_17492, _T_17481) @[exu_mul_ctl.scala 137:112] + node _T_17494 = add(_T_17493, _T_17482) @[exu_mul_ctl.scala 137:112] + node _T_17495 = add(_T_17494, _T_17483) @[exu_mul_ctl.scala 137:112] + node _T_17496 = add(_T_17495, _T_17484) @[exu_mul_ctl.scala 137:112] + node _T_17497 = add(_T_17496, _T_17485) @[exu_mul_ctl.scala 137:112] + node _T_17498 = add(_T_17497, _T_17486) @[exu_mul_ctl.scala 137:112] + node _T_17499 = add(_T_17498, _T_17487) @[exu_mul_ctl.scala 137:112] + node _T_17500 = eq(_T_17499, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17501 = bits(_T_17500, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17502 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_17503 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17504 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17505 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17506 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17507 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17508 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17509 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17510 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17511 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17512 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17513 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17514 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17515 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17516 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17517 = add(_T_17503, _T_17504) @[exu_mul_ctl.scala 137:112] + node _T_17518 = add(_T_17517, _T_17505) @[exu_mul_ctl.scala 137:112] + node _T_17519 = add(_T_17518, _T_17506) @[exu_mul_ctl.scala 137:112] + node _T_17520 = add(_T_17519, _T_17507) @[exu_mul_ctl.scala 137:112] + node _T_17521 = add(_T_17520, _T_17508) @[exu_mul_ctl.scala 137:112] + node _T_17522 = add(_T_17521, _T_17509) @[exu_mul_ctl.scala 137:112] + node _T_17523 = add(_T_17522, _T_17510) @[exu_mul_ctl.scala 137:112] + node _T_17524 = add(_T_17523, _T_17511) @[exu_mul_ctl.scala 137:112] + node _T_17525 = add(_T_17524, _T_17512) @[exu_mul_ctl.scala 137:112] + node _T_17526 = add(_T_17525, _T_17513) @[exu_mul_ctl.scala 137:112] + node _T_17527 = add(_T_17526, _T_17514) @[exu_mul_ctl.scala 137:112] + node _T_17528 = add(_T_17527, _T_17515) @[exu_mul_ctl.scala 137:112] + node _T_17529 = add(_T_17528, _T_17516) @[exu_mul_ctl.scala 137:112] + node _T_17530 = eq(_T_17529, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17531 = bits(_T_17530, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17532 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_17533 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17534 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17535 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17536 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17537 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17538 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17539 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17540 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17541 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17542 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17543 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17544 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17545 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17546 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17547 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17548 = add(_T_17533, _T_17534) @[exu_mul_ctl.scala 137:112] + node _T_17549 = add(_T_17548, _T_17535) @[exu_mul_ctl.scala 137:112] + node _T_17550 = add(_T_17549, _T_17536) @[exu_mul_ctl.scala 137:112] + node _T_17551 = add(_T_17550, _T_17537) @[exu_mul_ctl.scala 137:112] + node _T_17552 = add(_T_17551, _T_17538) @[exu_mul_ctl.scala 137:112] + node _T_17553 = add(_T_17552, _T_17539) @[exu_mul_ctl.scala 137:112] + node _T_17554 = add(_T_17553, _T_17540) @[exu_mul_ctl.scala 137:112] + node _T_17555 = add(_T_17554, _T_17541) @[exu_mul_ctl.scala 137:112] + node _T_17556 = add(_T_17555, _T_17542) @[exu_mul_ctl.scala 137:112] + node _T_17557 = add(_T_17556, _T_17543) @[exu_mul_ctl.scala 137:112] + node _T_17558 = add(_T_17557, _T_17544) @[exu_mul_ctl.scala 137:112] + node _T_17559 = add(_T_17558, _T_17545) @[exu_mul_ctl.scala 137:112] + node _T_17560 = add(_T_17559, _T_17546) @[exu_mul_ctl.scala 137:112] + node _T_17561 = add(_T_17560, _T_17547) @[exu_mul_ctl.scala 137:112] + node _T_17562 = eq(_T_17561, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17563 = bits(_T_17562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17564 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_17565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17572 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17573 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17574 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17575 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17576 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17577 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17578 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17579 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17580 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17581 = add(_T_17565, _T_17566) @[exu_mul_ctl.scala 137:112] + node _T_17582 = add(_T_17581, _T_17567) @[exu_mul_ctl.scala 137:112] + node _T_17583 = add(_T_17582, _T_17568) @[exu_mul_ctl.scala 137:112] + node _T_17584 = add(_T_17583, _T_17569) @[exu_mul_ctl.scala 137:112] + node _T_17585 = add(_T_17584, _T_17570) @[exu_mul_ctl.scala 137:112] + node _T_17586 = add(_T_17585, _T_17571) @[exu_mul_ctl.scala 137:112] + node _T_17587 = add(_T_17586, _T_17572) @[exu_mul_ctl.scala 137:112] + node _T_17588 = add(_T_17587, _T_17573) @[exu_mul_ctl.scala 137:112] + node _T_17589 = add(_T_17588, _T_17574) @[exu_mul_ctl.scala 137:112] + node _T_17590 = add(_T_17589, _T_17575) @[exu_mul_ctl.scala 137:112] + node _T_17591 = add(_T_17590, _T_17576) @[exu_mul_ctl.scala 137:112] + node _T_17592 = add(_T_17591, _T_17577) @[exu_mul_ctl.scala 137:112] + node _T_17593 = add(_T_17592, _T_17578) @[exu_mul_ctl.scala 137:112] + node _T_17594 = add(_T_17593, _T_17579) @[exu_mul_ctl.scala 137:112] + node _T_17595 = add(_T_17594, _T_17580) @[exu_mul_ctl.scala 137:112] + node _T_17596 = eq(_T_17595, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17597 = bits(_T_17596, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17598 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_17599 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17600 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17601 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17602 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17603 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17604 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17605 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17606 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17607 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17608 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17609 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17610 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17611 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17612 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17613 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17614 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17615 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17616 = add(_T_17599, _T_17600) @[exu_mul_ctl.scala 137:112] + node _T_17617 = add(_T_17616, _T_17601) @[exu_mul_ctl.scala 137:112] + node _T_17618 = add(_T_17617, _T_17602) @[exu_mul_ctl.scala 137:112] + node _T_17619 = add(_T_17618, _T_17603) @[exu_mul_ctl.scala 137:112] + node _T_17620 = add(_T_17619, _T_17604) @[exu_mul_ctl.scala 137:112] + node _T_17621 = add(_T_17620, _T_17605) @[exu_mul_ctl.scala 137:112] + node _T_17622 = add(_T_17621, _T_17606) @[exu_mul_ctl.scala 137:112] + node _T_17623 = add(_T_17622, _T_17607) @[exu_mul_ctl.scala 137:112] + node _T_17624 = add(_T_17623, _T_17608) @[exu_mul_ctl.scala 137:112] + node _T_17625 = add(_T_17624, _T_17609) @[exu_mul_ctl.scala 137:112] + node _T_17626 = add(_T_17625, _T_17610) @[exu_mul_ctl.scala 137:112] + node _T_17627 = add(_T_17626, _T_17611) @[exu_mul_ctl.scala 137:112] + node _T_17628 = add(_T_17627, _T_17612) @[exu_mul_ctl.scala 137:112] + node _T_17629 = add(_T_17628, _T_17613) @[exu_mul_ctl.scala 137:112] + node _T_17630 = add(_T_17629, _T_17614) @[exu_mul_ctl.scala 137:112] + node _T_17631 = add(_T_17630, _T_17615) @[exu_mul_ctl.scala 137:112] + node _T_17632 = eq(_T_17631, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17633 = bits(_T_17632, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17634 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_17635 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17636 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17637 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17638 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17639 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17640 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17641 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17642 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17643 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17644 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17645 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17646 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17647 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17648 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17649 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17650 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17651 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17652 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17653 = add(_T_17635, _T_17636) @[exu_mul_ctl.scala 137:112] + node _T_17654 = add(_T_17653, _T_17637) @[exu_mul_ctl.scala 137:112] + node _T_17655 = add(_T_17654, _T_17638) @[exu_mul_ctl.scala 137:112] + node _T_17656 = add(_T_17655, _T_17639) @[exu_mul_ctl.scala 137:112] + node _T_17657 = add(_T_17656, _T_17640) @[exu_mul_ctl.scala 137:112] + node _T_17658 = add(_T_17657, _T_17641) @[exu_mul_ctl.scala 137:112] + node _T_17659 = add(_T_17658, _T_17642) @[exu_mul_ctl.scala 137:112] + node _T_17660 = add(_T_17659, _T_17643) @[exu_mul_ctl.scala 137:112] + node _T_17661 = add(_T_17660, _T_17644) @[exu_mul_ctl.scala 137:112] + node _T_17662 = add(_T_17661, _T_17645) @[exu_mul_ctl.scala 137:112] + node _T_17663 = add(_T_17662, _T_17646) @[exu_mul_ctl.scala 137:112] + node _T_17664 = add(_T_17663, _T_17647) @[exu_mul_ctl.scala 137:112] + node _T_17665 = add(_T_17664, _T_17648) @[exu_mul_ctl.scala 137:112] + node _T_17666 = add(_T_17665, _T_17649) @[exu_mul_ctl.scala 137:112] + node _T_17667 = add(_T_17666, _T_17650) @[exu_mul_ctl.scala 137:112] + node _T_17668 = add(_T_17667, _T_17651) @[exu_mul_ctl.scala 137:112] + node _T_17669 = add(_T_17668, _T_17652) @[exu_mul_ctl.scala 137:112] + node _T_17670 = eq(_T_17669, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17671 = bits(_T_17670, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17672 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_17673 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17674 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17675 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17676 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17677 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17678 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17679 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17680 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17681 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17682 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17683 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17684 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17685 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17686 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17687 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17688 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17689 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17690 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17691 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17692 = add(_T_17673, _T_17674) @[exu_mul_ctl.scala 137:112] + node _T_17693 = add(_T_17692, _T_17675) @[exu_mul_ctl.scala 137:112] + node _T_17694 = add(_T_17693, _T_17676) @[exu_mul_ctl.scala 137:112] + node _T_17695 = add(_T_17694, _T_17677) @[exu_mul_ctl.scala 137:112] + node _T_17696 = add(_T_17695, _T_17678) @[exu_mul_ctl.scala 137:112] + node _T_17697 = add(_T_17696, _T_17679) @[exu_mul_ctl.scala 137:112] + node _T_17698 = add(_T_17697, _T_17680) @[exu_mul_ctl.scala 137:112] + node _T_17699 = add(_T_17698, _T_17681) @[exu_mul_ctl.scala 137:112] + node _T_17700 = add(_T_17699, _T_17682) @[exu_mul_ctl.scala 137:112] + node _T_17701 = add(_T_17700, _T_17683) @[exu_mul_ctl.scala 137:112] + node _T_17702 = add(_T_17701, _T_17684) @[exu_mul_ctl.scala 137:112] + node _T_17703 = add(_T_17702, _T_17685) @[exu_mul_ctl.scala 137:112] + node _T_17704 = add(_T_17703, _T_17686) @[exu_mul_ctl.scala 137:112] + node _T_17705 = add(_T_17704, _T_17687) @[exu_mul_ctl.scala 137:112] + node _T_17706 = add(_T_17705, _T_17688) @[exu_mul_ctl.scala 137:112] + node _T_17707 = add(_T_17706, _T_17689) @[exu_mul_ctl.scala 137:112] + node _T_17708 = add(_T_17707, _T_17690) @[exu_mul_ctl.scala 137:112] + node _T_17709 = add(_T_17708, _T_17691) @[exu_mul_ctl.scala 137:112] + node _T_17710 = eq(_T_17709, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17711 = bits(_T_17710, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17712 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_17713 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17714 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17715 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17716 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17717 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17718 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17719 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17720 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17721 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17722 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17723 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17724 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17725 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17726 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17727 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17728 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17729 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17730 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17731 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17732 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17733 = add(_T_17713, _T_17714) @[exu_mul_ctl.scala 137:112] + node _T_17734 = add(_T_17733, _T_17715) @[exu_mul_ctl.scala 137:112] + node _T_17735 = add(_T_17734, _T_17716) @[exu_mul_ctl.scala 137:112] + node _T_17736 = add(_T_17735, _T_17717) @[exu_mul_ctl.scala 137:112] + node _T_17737 = add(_T_17736, _T_17718) @[exu_mul_ctl.scala 137:112] + node _T_17738 = add(_T_17737, _T_17719) @[exu_mul_ctl.scala 137:112] + node _T_17739 = add(_T_17738, _T_17720) @[exu_mul_ctl.scala 137:112] + node _T_17740 = add(_T_17739, _T_17721) @[exu_mul_ctl.scala 137:112] + node _T_17741 = add(_T_17740, _T_17722) @[exu_mul_ctl.scala 137:112] + node _T_17742 = add(_T_17741, _T_17723) @[exu_mul_ctl.scala 137:112] + node _T_17743 = add(_T_17742, _T_17724) @[exu_mul_ctl.scala 137:112] + node _T_17744 = add(_T_17743, _T_17725) @[exu_mul_ctl.scala 137:112] + node _T_17745 = add(_T_17744, _T_17726) @[exu_mul_ctl.scala 137:112] + node _T_17746 = add(_T_17745, _T_17727) @[exu_mul_ctl.scala 137:112] + node _T_17747 = add(_T_17746, _T_17728) @[exu_mul_ctl.scala 137:112] + node _T_17748 = add(_T_17747, _T_17729) @[exu_mul_ctl.scala 137:112] + node _T_17749 = add(_T_17748, _T_17730) @[exu_mul_ctl.scala 137:112] + node _T_17750 = add(_T_17749, _T_17731) @[exu_mul_ctl.scala 137:112] + node _T_17751 = add(_T_17750, _T_17732) @[exu_mul_ctl.scala 137:112] + node _T_17752 = eq(_T_17751, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17753 = bits(_T_17752, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17754 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_17755 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17756 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17757 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17758 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17759 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17760 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17761 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17762 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17763 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17764 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17765 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17766 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17767 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17768 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17769 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17770 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17771 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17772 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17773 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17774 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17775 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17776 = add(_T_17755, _T_17756) @[exu_mul_ctl.scala 137:112] + node _T_17777 = add(_T_17776, _T_17757) @[exu_mul_ctl.scala 137:112] + node _T_17778 = add(_T_17777, _T_17758) @[exu_mul_ctl.scala 137:112] + node _T_17779 = add(_T_17778, _T_17759) @[exu_mul_ctl.scala 137:112] + node _T_17780 = add(_T_17779, _T_17760) @[exu_mul_ctl.scala 137:112] + node _T_17781 = add(_T_17780, _T_17761) @[exu_mul_ctl.scala 137:112] + node _T_17782 = add(_T_17781, _T_17762) @[exu_mul_ctl.scala 137:112] + node _T_17783 = add(_T_17782, _T_17763) @[exu_mul_ctl.scala 137:112] + node _T_17784 = add(_T_17783, _T_17764) @[exu_mul_ctl.scala 137:112] + node _T_17785 = add(_T_17784, _T_17765) @[exu_mul_ctl.scala 137:112] + node _T_17786 = add(_T_17785, _T_17766) @[exu_mul_ctl.scala 137:112] + node _T_17787 = add(_T_17786, _T_17767) @[exu_mul_ctl.scala 137:112] + node _T_17788 = add(_T_17787, _T_17768) @[exu_mul_ctl.scala 137:112] + node _T_17789 = add(_T_17788, _T_17769) @[exu_mul_ctl.scala 137:112] + node _T_17790 = add(_T_17789, _T_17770) @[exu_mul_ctl.scala 137:112] + node _T_17791 = add(_T_17790, _T_17771) @[exu_mul_ctl.scala 137:112] + node _T_17792 = add(_T_17791, _T_17772) @[exu_mul_ctl.scala 137:112] + node _T_17793 = add(_T_17792, _T_17773) @[exu_mul_ctl.scala 137:112] + node _T_17794 = add(_T_17793, _T_17774) @[exu_mul_ctl.scala 137:112] + node _T_17795 = add(_T_17794, _T_17775) @[exu_mul_ctl.scala 137:112] + node _T_17796 = eq(_T_17795, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17797 = bits(_T_17796, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17798 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_17799 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17800 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17801 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17802 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17803 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17804 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17805 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17806 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17807 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17808 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17809 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17810 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17811 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17812 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17813 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17814 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17815 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17816 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17817 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17818 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17819 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17820 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17821 = add(_T_17799, _T_17800) @[exu_mul_ctl.scala 137:112] + node _T_17822 = add(_T_17821, _T_17801) @[exu_mul_ctl.scala 137:112] + node _T_17823 = add(_T_17822, _T_17802) @[exu_mul_ctl.scala 137:112] + node _T_17824 = add(_T_17823, _T_17803) @[exu_mul_ctl.scala 137:112] + node _T_17825 = add(_T_17824, _T_17804) @[exu_mul_ctl.scala 137:112] + node _T_17826 = add(_T_17825, _T_17805) @[exu_mul_ctl.scala 137:112] + node _T_17827 = add(_T_17826, _T_17806) @[exu_mul_ctl.scala 137:112] + node _T_17828 = add(_T_17827, _T_17807) @[exu_mul_ctl.scala 137:112] + node _T_17829 = add(_T_17828, _T_17808) @[exu_mul_ctl.scala 137:112] + node _T_17830 = add(_T_17829, _T_17809) @[exu_mul_ctl.scala 137:112] + node _T_17831 = add(_T_17830, _T_17810) @[exu_mul_ctl.scala 137:112] + node _T_17832 = add(_T_17831, _T_17811) @[exu_mul_ctl.scala 137:112] + node _T_17833 = add(_T_17832, _T_17812) @[exu_mul_ctl.scala 137:112] + node _T_17834 = add(_T_17833, _T_17813) @[exu_mul_ctl.scala 137:112] + node _T_17835 = add(_T_17834, _T_17814) @[exu_mul_ctl.scala 137:112] + node _T_17836 = add(_T_17835, _T_17815) @[exu_mul_ctl.scala 137:112] + node _T_17837 = add(_T_17836, _T_17816) @[exu_mul_ctl.scala 137:112] + node _T_17838 = add(_T_17837, _T_17817) @[exu_mul_ctl.scala 137:112] + node _T_17839 = add(_T_17838, _T_17818) @[exu_mul_ctl.scala 137:112] + node _T_17840 = add(_T_17839, _T_17819) @[exu_mul_ctl.scala 137:112] + node _T_17841 = add(_T_17840, _T_17820) @[exu_mul_ctl.scala 137:112] + node _T_17842 = eq(_T_17841, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17843 = bits(_T_17842, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17844 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_17845 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17846 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17847 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17848 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17849 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17850 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17851 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17852 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17853 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17854 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17855 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17856 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17857 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17858 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17859 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17860 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17861 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17862 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17863 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17864 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17865 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17866 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17867 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17868 = add(_T_17845, _T_17846) @[exu_mul_ctl.scala 137:112] + node _T_17869 = add(_T_17868, _T_17847) @[exu_mul_ctl.scala 137:112] + node _T_17870 = add(_T_17869, _T_17848) @[exu_mul_ctl.scala 137:112] + node _T_17871 = add(_T_17870, _T_17849) @[exu_mul_ctl.scala 137:112] + node _T_17872 = add(_T_17871, _T_17850) @[exu_mul_ctl.scala 137:112] + node _T_17873 = add(_T_17872, _T_17851) @[exu_mul_ctl.scala 137:112] + node _T_17874 = add(_T_17873, _T_17852) @[exu_mul_ctl.scala 137:112] + node _T_17875 = add(_T_17874, _T_17853) @[exu_mul_ctl.scala 137:112] + node _T_17876 = add(_T_17875, _T_17854) @[exu_mul_ctl.scala 137:112] + node _T_17877 = add(_T_17876, _T_17855) @[exu_mul_ctl.scala 137:112] + node _T_17878 = add(_T_17877, _T_17856) @[exu_mul_ctl.scala 137:112] + node _T_17879 = add(_T_17878, _T_17857) @[exu_mul_ctl.scala 137:112] + node _T_17880 = add(_T_17879, _T_17858) @[exu_mul_ctl.scala 137:112] + node _T_17881 = add(_T_17880, _T_17859) @[exu_mul_ctl.scala 137:112] + node _T_17882 = add(_T_17881, _T_17860) @[exu_mul_ctl.scala 137:112] + node _T_17883 = add(_T_17882, _T_17861) @[exu_mul_ctl.scala 137:112] + node _T_17884 = add(_T_17883, _T_17862) @[exu_mul_ctl.scala 137:112] + node _T_17885 = add(_T_17884, _T_17863) @[exu_mul_ctl.scala 137:112] + node _T_17886 = add(_T_17885, _T_17864) @[exu_mul_ctl.scala 137:112] + node _T_17887 = add(_T_17886, _T_17865) @[exu_mul_ctl.scala 137:112] + node _T_17888 = add(_T_17887, _T_17866) @[exu_mul_ctl.scala 137:112] + node _T_17889 = add(_T_17888, _T_17867) @[exu_mul_ctl.scala 137:112] + node _T_17890 = eq(_T_17889, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17891 = bits(_T_17890, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17892 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_17893 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17894 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17895 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17896 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17897 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17898 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17899 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17900 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17901 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17902 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17903 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17904 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17905 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17906 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17907 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17908 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17909 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17910 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17911 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17912 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17913 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17914 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17915 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17916 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17917 = add(_T_17893, _T_17894) @[exu_mul_ctl.scala 137:112] + node _T_17918 = add(_T_17917, _T_17895) @[exu_mul_ctl.scala 137:112] + node _T_17919 = add(_T_17918, _T_17896) @[exu_mul_ctl.scala 137:112] + node _T_17920 = add(_T_17919, _T_17897) @[exu_mul_ctl.scala 137:112] + node _T_17921 = add(_T_17920, _T_17898) @[exu_mul_ctl.scala 137:112] + node _T_17922 = add(_T_17921, _T_17899) @[exu_mul_ctl.scala 137:112] + node _T_17923 = add(_T_17922, _T_17900) @[exu_mul_ctl.scala 137:112] + node _T_17924 = add(_T_17923, _T_17901) @[exu_mul_ctl.scala 137:112] + node _T_17925 = add(_T_17924, _T_17902) @[exu_mul_ctl.scala 137:112] + node _T_17926 = add(_T_17925, _T_17903) @[exu_mul_ctl.scala 137:112] + node _T_17927 = add(_T_17926, _T_17904) @[exu_mul_ctl.scala 137:112] + node _T_17928 = add(_T_17927, _T_17905) @[exu_mul_ctl.scala 137:112] + node _T_17929 = add(_T_17928, _T_17906) @[exu_mul_ctl.scala 137:112] + node _T_17930 = add(_T_17929, _T_17907) @[exu_mul_ctl.scala 137:112] + node _T_17931 = add(_T_17930, _T_17908) @[exu_mul_ctl.scala 137:112] + node _T_17932 = add(_T_17931, _T_17909) @[exu_mul_ctl.scala 137:112] + node _T_17933 = add(_T_17932, _T_17910) @[exu_mul_ctl.scala 137:112] + node _T_17934 = add(_T_17933, _T_17911) @[exu_mul_ctl.scala 137:112] + node _T_17935 = add(_T_17934, _T_17912) @[exu_mul_ctl.scala 137:112] + node _T_17936 = add(_T_17935, _T_17913) @[exu_mul_ctl.scala 137:112] + node _T_17937 = add(_T_17936, _T_17914) @[exu_mul_ctl.scala 137:112] + node _T_17938 = add(_T_17937, _T_17915) @[exu_mul_ctl.scala 137:112] + node _T_17939 = add(_T_17938, _T_17916) @[exu_mul_ctl.scala 137:112] + node _T_17940 = eq(_T_17939, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17941 = bits(_T_17940, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17942 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_17943 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17944 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17945 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17946 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17947 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_17948 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_17949 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_17950 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_17951 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_17952 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_17953 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_17954 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_17955 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_17956 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_17957 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_17958 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_17959 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_17960 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_17961 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_17962 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_17963 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_17964 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_17965 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_17966 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_17967 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_17968 = add(_T_17943, _T_17944) @[exu_mul_ctl.scala 137:112] + node _T_17969 = add(_T_17968, _T_17945) @[exu_mul_ctl.scala 137:112] + node _T_17970 = add(_T_17969, _T_17946) @[exu_mul_ctl.scala 137:112] + node _T_17971 = add(_T_17970, _T_17947) @[exu_mul_ctl.scala 137:112] + node _T_17972 = add(_T_17971, _T_17948) @[exu_mul_ctl.scala 137:112] + node _T_17973 = add(_T_17972, _T_17949) @[exu_mul_ctl.scala 137:112] + node _T_17974 = add(_T_17973, _T_17950) @[exu_mul_ctl.scala 137:112] + node _T_17975 = add(_T_17974, _T_17951) @[exu_mul_ctl.scala 137:112] + node _T_17976 = add(_T_17975, _T_17952) @[exu_mul_ctl.scala 137:112] + node _T_17977 = add(_T_17976, _T_17953) @[exu_mul_ctl.scala 137:112] + node _T_17978 = add(_T_17977, _T_17954) @[exu_mul_ctl.scala 137:112] + node _T_17979 = add(_T_17978, _T_17955) @[exu_mul_ctl.scala 137:112] + node _T_17980 = add(_T_17979, _T_17956) @[exu_mul_ctl.scala 137:112] + node _T_17981 = add(_T_17980, _T_17957) @[exu_mul_ctl.scala 137:112] + node _T_17982 = add(_T_17981, _T_17958) @[exu_mul_ctl.scala 137:112] + node _T_17983 = add(_T_17982, _T_17959) @[exu_mul_ctl.scala 137:112] + node _T_17984 = add(_T_17983, _T_17960) @[exu_mul_ctl.scala 137:112] + node _T_17985 = add(_T_17984, _T_17961) @[exu_mul_ctl.scala 137:112] + node _T_17986 = add(_T_17985, _T_17962) @[exu_mul_ctl.scala 137:112] + node _T_17987 = add(_T_17986, _T_17963) @[exu_mul_ctl.scala 137:112] + node _T_17988 = add(_T_17987, _T_17964) @[exu_mul_ctl.scala 137:112] + node _T_17989 = add(_T_17988, _T_17965) @[exu_mul_ctl.scala 137:112] + node _T_17990 = add(_T_17989, _T_17966) @[exu_mul_ctl.scala 137:112] + node _T_17991 = add(_T_17990, _T_17967) @[exu_mul_ctl.scala 137:112] + node _T_17992 = eq(_T_17991, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_17993 = bits(_T_17992, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_17994 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_17995 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_17996 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_17997 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_17998 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_17999 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18000 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18001 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18002 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18003 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18004 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18005 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18006 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18007 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18008 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18009 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18010 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18011 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18012 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18013 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18014 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18015 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18016 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18017 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18018 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18019 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18020 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18021 = add(_T_17995, _T_17996) @[exu_mul_ctl.scala 137:112] + node _T_18022 = add(_T_18021, _T_17997) @[exu_mul_ctl.scala 137:112] + node _T_18023 = add(_T_18022, _T_17998) @[exu_mul_ctl.scala 137:112] + node _T_18024 = add(_T_18023, _T_17999) @[exu_mul_ctl.scala 137:112] + node _T_18025 = add(_T_18024, _T_18000) @[exu_mul_ctl.scala 137:112] + node _T_18026 = add(_T_18025, _T_18001) @[exu_mul_ctl.scala 137:112] + node _T_18027 = add(_T_18026, _T_18002) @[exu_mul_ctl.scala 137:112] + node _T_18028 = add(_T_18027, _T_18003) @[exu_mul_ctl.scala 137:112] + node _T_18029 = add(_T_18028, _T_18004) @[exu_mul_ctl.scala 137:112] + node _T_18030 = add(_T_18029, _T_18005) @[exu_mul_ctl.scala 137:112] + node _T_18031 = add(_T_18030, _T_18006) @[exu_mul_ctl.scala 137:112] + node _T_18032 = add(_T_18031, _T_18007) @[exu_mul_ctl.scala 137:112] + node _T_18033 = add(_T_18032, _T_18008) @[exu_mul_ctl.scala 137:112] + node _T_18034 = add(_T_18033, _T_18009) @[exu_mul_ctl.scala 137:112] + node _T_18035 = add(_T_18034, _T_18010) @[exu_mul_ctl.scala 137:112] + node _T_18036 = add(_T_18035, _T_18011) @[exu_mul_ctl.scala 137:112] + node _T_18037 = add(_T_18036, _T_18012) @[exu_mul_ctl.scala 137:112] + node _T_18038 = add(_T_18037, _T_18013) @[exu_mul_ctl.scala 137:112] + node _T_18039 = add(_T_18038, _T_18014) @[exu_mul_ctl.scala 137:112] + node _T_18040 = add(_T_18039, _T_18015) @[exu_mul_ctl.scala 137:112] + node _T_18041 = add(_T_18040, _T_18016) @[exu_mul_ctl.scala 137:112] + node _T_18042 = add(_T_18041, _T_18017) @[exu_mul_ctl.scala 137:112] + node _T_18043 = add(_T_18042, _T_18018) @[exu_mul_ctl.scala 137:112] + node _T_18044 = add(_T_18043, _T_18019) @[exu_mul_ctl.scala 137:112] + node _T_18045 = add(_T_18044, _T_18020) @[exu_mul_ctl.scala 137:112] + node _T_18046 = eq(_T_18045, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18047 = bits(_T_18046, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18048 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_18049 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18050 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18051 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18052 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18053 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18054 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18055 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18056 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18057 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18058 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18059 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18060 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18061 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18062 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18063 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18064 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18065 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18066 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18067 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18068 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18069 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18070 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18071 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18072 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18073 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18074 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18075 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18076 = add(_T_18049, _T_18050) @[exu_mul_ctl.scala 137:112] + node _T_18077 = add(_T_18076, _T_18051) @[exu_mul_ctl.scala 137:112] + node _T_18078 = add(_T_18077, _T_18052) @[exu_mul_ctl.scala 137:112] + node _T_18079 = add(_T_18078, _T_18053) @[exu_mul_ctl.scala 137:112] + node _T_18080 = add(_T_18079, _T_18054) @[exu_mul_ctl.scala 137:112] + node _T_18081 = add(_T_18080, _T_18055) @[exu_mul_ctl.scala 137:112] + node _T_18082 = add(_T_18081, _T_18056) @[exu_mul_ctl.scala 137:112] + node _T_18083 = add(_T_18082, _T_18057) @[exu_mul_ctl.scala 137:112] + node _T_18084 = add(_T_18083, _T_18058) @[exu_mul_ctl.scala 137:112] + node _T_18085 = add(_T_18084, _T_18059) @[exu_mul_ctl.scala 137:112] + node _T_18086 = add(_T_18085, _T_18060) @[exu_mul_ctl.scala 137:112] + node _T_18087 = add(_T_18086, _T_18061) @[exu_mul_ctl.scala 137:112] + node _T_18088 = add(_T_18087, _T_18062) @[exu_mul_ctl.scala 137:112] + node _T_18089 = add(_T_18088, _T_18063) @[exu_mul_ctl.scala 137:112] + node _T_18090 = add(_T_18089, _T_18064) @[exu_mul_ctl.scala 137:112] + node _T_18091 = add(_T_18090, _T_18065) @[exu_mul_ctl.scala 137:112] + node _T_18092 = add(_T_18091, _T_18066) @[exu_mul_ctl.scala 137:112] + node _T_18093 = add(_T_18092, _T_18067) @[exu_mul_ctl.scala 137:112] + node _T_18094 = add(_T_18093, _T_18068) @[exu_mul_ctl.scala 137:112] + node _T_18095 = add(_T_18094, _T_18069) @[exu_mul_ctl.scala 137:112] + node _T_18096 = add(_T_18095, _T_18070) @[exu_mul_ctl.scala 137:112] + node _T_18097 = add(_T_18096, _T_18071) @[exu_mul_ctl.scala 137:112] + node _T_18098 = add(_T_18097, _T_18072) @[exu_mul_ctl.scala 137:112] + node _T_18099 = add(_T_18098, _T_18073) @[exu_mul_ctl.scala 137:112] + node _T_18100 = add(_T_18099, _T_18074) @[exu_mul_ctl.scala 137:112] + node _T_18101 = add(_T_18100, _T_18075) @[exu_mul_ctl.scala 137:112] + node _T_18102 = eq(_T_18101, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18103 = bits(_T_18102, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18104 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_18105 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18106 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18107 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18108 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18109 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18110 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18111 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18112 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18113 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18114 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18115 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18116 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18117 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18118 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18119 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18120 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18121 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18122 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18123 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18124 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18125 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18126 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18127 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18128 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18129 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18130 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18131 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18132 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_18133 = add(_T_18105, _T_18106) @[exu_mul_ctl.scala 137:112] + node _T_18134 = add(_T_18133, _T_18107) @[exu_mul_ctl.scala 137:112] + node _T_18135 = add(_T_18134, _T_18108) @[exu_mul_ctl.scala 137:112] + node _T_18136 = add(_T_18135, _T_18109) @[exu_mul_ctl.scala 137:112] + node _T_18137 = add(_T_18136, _T_18110) @[exu_mul_ctl.scala 137:112] + node _T_18138 = add(_T_18137, _T_18111) @[exu_mul_ctl.scala 137:112] + node _T_18139 = add(_T_18138, _T_18112) @[exu_mul_ctl.scala 137:112] + node _T_18140 = add(_T_18139, _T_18113) @[exu_mul_ctl.scala 137:112] + node _T_18141 = add(_T_18140, _T_18114) @[exu_mul_ctl.scala 137:112] + node _T_18142 = add(_T_18141, _T_18115) @[exu_mul_ctl.scala 137:112] + node _T_18143 = add(_T_18142, _T_18116) @[exu_mul_ctl.scala 137:112] + node _T_18144 = add(_T_18143, _T_18117) @[exu_mul_ctl.scala 137:112] + node _T_18145 = add(_T_18144, _T_18118) @[exu_mul_ctl.scala 137:112] + node _T_18146 = add(_T_18145, _T_18119) @[exu_mul_ctl.scala 137:112] + node _T_18147 = add(_T_18146, _T_18120) @[exu_mul_ctl.scala 137:112] + node _T_18148 = add(_T_18147, _T_18121) @[exu_mul_ctl.scala 137:112] + node _T_18149 = add(_T_18148, _T_18122) @[exu_mul_ctl.scala 137:112] + node _T_18150 = add(_T_18149, _T_18123) @[exu_mul_ctl.scala 137:112] + node _T_18151 = add(_T_18150, _T_18124) @[exu_mul_ctl.scala 137:112] + node _T_18152 = add(_T_18151, _T_18125) @[exu_mul_ctl.scala 137:112] + node _T_18153 = add(_T_18152, _T_18126) @[exu_mul_ctl.scala 137:112] + node _T_18154 = add(_T_18153, _T_18127) @[exu_mul_ctl.scala 137:112] + node _T_18155 = add(_T_18154, _T_18128) @[exu_mul_ctl.scala 137:112] + node _T_18156 = add(_T_18155, _T_18129) @[exu_mul_ctl.scala 137:112] + node _T_18157 = add(_T_18156, _T_18130) @[exu_mul_ctl.scala 137:112] + node _T_18158 = add(_T_18157, _T_18131) @[exu_mul_ctl.scala 137:112] + node _T_18159 = add(_T_18158, _T_18132) @[exu_mul_ctl.scala 137:112] + node _T_18160 = eq(_T_18159, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18161 = bits(_T_18160, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18162 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_18163 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18164 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18165 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18166 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18167 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18168 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18169 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18170 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18171 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18172 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18173 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18174 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18175 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18176 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18177 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18178 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18179 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18180 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18181 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18182 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18183 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18184 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18185 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18186 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18187 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18188 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18189 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18190 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_18191 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_18192 = add(_T_18163, _T_18164) @[exu_mul_ctl.scala 137:112] + node _T_18193 = add(_T_18192, _T_18165) @[exu_mul_ctl.scala 137:112] + node _T_18194 = add(_T_18193, _T_18166) @[exu_mul_ctl.scala 137:112] + node _T_18195 = add(_T_18194, _T_18167) @[exu_mul_ctl.scala 137:112] + node _T_18196 = add(_T_18195, _T_18168) @[exu_mul_ctl.scala 137:112] + node _T_18197 = add(_T_18196, _T_18169) @[exu_mul_ctl.scala 137:112] + node _T_18198 = add(_T_18197, _T_18170) @[exu_mul_ctl.scala 137:112] + node _T_18199 = add(_T_18198, _T_18171) @[exu_mul_ctl.scala 137:112] + node _T_18200 = add(_T_18199, _T_18172) @[exu_mul_ctl.scala 137:112] + node _T_18201 = add(_T_18200, _T_18173) @[exu_mul_ctl.scala 137:112] + node _T_18202 = add(_T_18201, _T_18174) @[exu_mul_ctl.scala 137:112] + node _T_18203 = add(_T_18202, _T_18175) @[exu_mul_ctl.scala 137:112] + node _T_18204 = add(_T_18203, _T_18176) @[exu_mul_ctl.scala 137:112] + node _T_18205 = add(_T_18204, _T_18177) @[exu_mul_ctl.scala 137:112] + node _T_18206 = add(_T_18205, _T_18178) @[exu_mul_ctl.scala 137:112] + node _T_18207 = add(_T_18206, _T_18179) @[exu_mul_ctl.scala 137:112] + node _T_18208 = add(_T_18207, _T_18180) @[exu_mul_ctl.scala 137:112] + node _T_18209 = add(_T_18208, _T_18181) @[exu_mul_ctl.scala 137:112] + node _T_18210 = add(_T_18209, _T_18182) @[exu_mul_ctl.scala 137:112] + node _T_18211 = add(_T_18210, _T_18183) @[exu_mul_ctl.scala 137:112] + node _T_18212 = add(_T_18211, _T_18184) @[exu_mul_ctl.scala 137:112] + node _T_18213 = add(_T_18212, _T_18185) @[exu_mul_ctl.scala 137:112] + node _T_18214 = add(_T_18213, _T_18186) @[exu_mul_ctl.scala 137:112] + node _T_18215 = add(_T_18214, _T_18187) @[exu_mul_ctl.scala 137:112] + node _T_18216 = add(_T_18215, _T_18188) @[exu_mul_ctl.scala 137:112] + node _T_18217 = add(_T_18216, _T_18189) @[exu_mul_ctl.scala 137:112] + node _T_18218 = add(_T_18217, _T_18190) @[exu_mul_ctl.scala 137:112] + node _T_18219 = add(_T_18218, _T_18191) @[exu_mul_ctl.scala 137:112] + node _T_18220 = eq(_T_18219, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18221 = bits(_T_18220, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18222 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_18223 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18224 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18225 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18226 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18227 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18228 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18229 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18230 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18231 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18232 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18233 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18234 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18235 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18236 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18237 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18238 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18239 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18240 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18241 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18242 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18243 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18244 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18245 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18246 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18247 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18248 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18249 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18250 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_18251 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_18252 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_18253 = add(_T_18223, _T_18224) @[exu_mul_ctl.scala 137:112] + node _T_18254 = add(_T_18253, _T_18225) @[exu_mul_ctl.scala 137:112] + node _T_18255 = add(_T_18254, _T_18226) @[exu_mul_ctl.scala 137:112] + node _T_18256 = add(_T_18255, _T_18227) @[exu_mul_ctl.scala 137:112] + node _T_18257 = add(_T_18256, _T_18228) @[exu_mul_ctl.scala 137:112] + node _T_18258 = add(_T_18257, _T_18229) @[exu_mul_ctl.scala 137:112] + node _T_18259 = add(_T_18258, _T_18230) @[exu_mul_ctl.scala 137:112] + node _T_18260 = add(_T_18259, _T_18231) @[exu_mul_ctl.scala 137:112] + node _T_18261 = add(_T_18260, _T_18232) @[exu_mul_ctl.scala 137:112] + node _T_18262 = add(_T_18261, _T_18233) @[exu_mul_ctl.scala 137:112] + node _T_18263 = add(_T_18262, _T_18234) @[exu_mul_ctl.scala 137:112] + node _T_18264 = add(_T_18263, _T_18235) @[exu_mul_ctl.scala 137:112] + node _T_18265 = add(_T_18264, _T_18236) @[exu_mul_ctl.scala 137:112] + node _T_18266 = add(_T_18265, _T_18237) @[exu_mul_ctl.scala 137:112] + node _T_18267 = add(_T_18266, _T_18238) @[exu_mul_ctl.scala 137:112] + node _T_18268 = add(_T_18267, _T_18239) @[exu_mul_ctl.scala 137:112] + node _T_18269 = add(_T_18268, _T_18240) @[exu_mul_ctl.scala 137:112] + node _T_18270 = add(_T_18269, _T_18241) @[exu_mul_ctl.scala 137:112] + node _T_18271 = add(_T_18270, _T_18242) @[exu_mul_ctl.scala 137:112] + node _T_18272 = add(_T_18271, _T_18243) @[exu_mul_ctl.scala 137:112] + node _T_18273 = add(_T_18272, _T_18244) @[exu_mul_ctl.scala 137:112] + node _T_18274 = add(_T_18273, _T_18245) @[exu_mul_ctl.scala 137:112] + node _T_18275 = add(_T_18274, _T_18246) @[exu_mul_ctl.scala 137:112] + node _T_18276 = add(_T_18275, _T_18247) @[exu_mul_ctl.scala 137:112] + node _T_18277 = add(_T_18276, _T_18248) @[exu_mul_ctl.scala 137:112] + node _T_18278 = add(_T_18277, _T_18249) @[exu_mul_ctl.scala 137:112] + node _T_18279 = add(_T_18278, _T_18250) @[exu_mul_ctl.scala 137:112] + node _T_18280 = add(_T_18279, _T_18251) @[exu_mul_ctl.scala 137:112] + node _T_18281 = add(_T_18280, _T_18252) @[exu_mul_ctl.scala 137:112] + node _T_18282 = eq(_T_18281, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18283 = bits(_T_18282, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18284 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_18285 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18286 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18287 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18288 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18289 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18290 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18291 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18292 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18293 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18294 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18295 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18296 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18297 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18298 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18299 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18300 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18301 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18302 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18303 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18304 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18305 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18306 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18307 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18308 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18309 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18310 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18311 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18312 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_18313 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_18314 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_18315 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_18316 = add(_T_18285, _T_18286) @[exu_mul_ctl.scala 137:112] + node _T_18317 = add(_T_18316, _T_18287) @[exu_mul_ctl.scala 137:112] + node _T_18318 = add(_T_18317, _T_18288) @[exu_mul_ctl.scala 137:112] + node _T_18319 = add(_T_18318, _T_18289) @[exu_mul_ctl.scala 137:112] + node _T_18320 = add(_T_18319, _T_18290) @[exu_mul_ctl.scala 137:112] + node _T_18321 = add(_T_18320, _T_18291) @[exu_mul_ctl.scala 137:112] + node _T_18322 = add(_T_18321, _T_18292) @[exu_mul_ctl.scala 137:112] + node _T_18323 = add(_T_18322, _T_18293) @[exu_mul_ctl.scala 137:112] + node _T_18324 = add(_T_18323, _T_18294) @[exu_mul_ctl.scala 137:112] + node _T_18325 = add(_T_18324, _T_18295) @[exu_mul_ctl.scala 137:112] + node _T_18326 = add(_T_18325, _T_18296) @[exu_mul_ctl.scala 137:112] + node _T_18327 = add(_T_18326, _T_18297) @[exu_mul_ctl.scala 137:112] + node _T_18328 = add(_T_18327, _T_18298) @[exu_mul_ctl.scala 137:112] + node _T_18329 = add(_T_18328, _T_18299) @[exu_mul_ctl.scala 137:112] + node _T_18330 = add(_T_18329, _T_18300) @[exu_mul_ctl.scala 137:112] + node _T_18331 = add(_T_18330, _T_18301) @[exu_mul_ctl.scala 137:112] + node _T_18332 = add(_T_18331, _T_18302) @[exu_mul_ctl.scala 137:112] + node _T_18333 = add(_T_18332, _T_18303) @[exu_mul_ctl.scala 137:112] + node _T_18334 = add(_T_18333, _T_18304) @[exu_mul_ctl.scala 137:112] + node _T_18335 = add(_T_18334, _T_18305) @[exu_mul_ctl.scala 137:112] + node _T_18336 = add(_T_18335, _T_18306) @[exu_mul_ctl.scala 137:112] + node _T_18337 = add(_T_18336, _T_18307) @[exu_mul_ctl.scala 137:112] + node _T_18338 = add(_T_18337, _T_18308) @[exu_mul_ctl.scala 137:112] + node _T_18339 = add(_T_18338, _T_18309) @[exu_mul_ctl.scala 137:112] + node _T_18340 = add(_T_18339, _T_18310) @[exu_mul_ctl.scala 137:112] + node _T_18341 = add(_T_18340, _T_18311) @[exu_mul_ctl.scala 137:112] + node _T_18342 = add(_T_18341, _T_18312) @[exu_mul_ctl.scala 137:112] + node _T_18343 = add(_T_18342, _T_18313) @[exu_mul_ctl.scala 137:112] + node _T_18344 = add(_T_18343, _T_18314) @[exu_mul_ctl.scala 137:112] + node _T_18345 = add(_T_18344, _T_18315) @[exu_mul_ctl.scala 137:112] + node _T_18346 = eq(_T_18345, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18347 = bits(_T_18346, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18348 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_18349 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18350 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18351 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18352 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18353 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18354 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18355 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18356 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18357 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18358 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18359 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18360 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18361 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18362 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18363 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18364 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18365 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18366 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18367 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18368 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18369 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18370 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18371 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_18372 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_18373 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_18374 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_18375 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_18376 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_18377 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_18378 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_18379 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_18380 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_18381 = add(_T_18349, _T_18350) @[exu_mul_ctl.scala 137:112] + node _T_18382 = add(_T_18381, _T_18351) @[exu_mul_ctl.scala 137:112] + node _T_18383 = add(_T_18382, _T_18352) @[exu_mul_ctl.scala 137:112] + node _T_18384 = add(_T_18383, _T_18353) @[exu_mul_ctl.scala 137:112] + node _T_18385 = add(_T_18384, _T_18354) @[exu_mul_ctl.scala 137:112] + node _T_18386 = add(_T_18385, _T_18355) @[exu_mul_ctl.scala 137:112] + node _T_18387 = add(_T_18386, _T_18356) @[exu_mul_ctl.scala 137:112] + node _T_18388 = add(_T_18387, _T_18357) @[exu_mul_ctl.scala 137:112] + node _T_18389 = add(_T_18388, _T_18358) @[exu_mul_ctl.scala 137:112] + node _T_18390 = add(_T_18389, _T_18359) @[exu_mul_ctl.scala 137:112] + node _T_18391 = add(_T_18390, _T_18360) @[exu_mul_ctl.scala 137:112] + node _T_18392 = add(_T_18391, _T_18361) @[exu_mul_ctl.scala 137:112] + node _T_18393 = add(_T_18392, _T_18362) @[exu_mul_ctl.scala 137:112] + node _T_18394 = add(_T_18393, _T_18363) @[exu_mul_ctl.scala 137:112] + node _T_18395 = add(_T_18394, _T_18364) @[exu_mul_ctl.scala 137:112] + node _T_18396 = add(_T_18395, _T_18365) @[exu_mul_ctl.scala 137:112] + node _T_18397 = add(_T_18396, _T_18366) @[exu_mul_ctl.scala 137:112] + node _T_18398 = add(_T_18397, _T_18367) @[exu_mul_ctl.scala 137:112] + node _T_18399 = add(_T_18398, _T_18368) @[exu_mul_ctl.scala 137:112] + node _T_18400 = add(_T_18399, _T_18369) @[exu_mul_ctl.scala 137:112] + node _T_18401 = add(_T_18400, _T_18370) @[exu_mul_ctl.scala 137:112] + node _T_18402 = add(_T_18401, _T_18371) @[exu_mul_ctl.scala 137:112] + node _T_18403 = add(_T_18402, _T_18372) @[exu_mul_ctl.scala 137:112] + node _T_18404 = add(_T_18403, _T_18373) @[exu_mul_ctl.scala 137:112] + node _T_18405 = add(_T_18404, _T_18374) @[exu_mul_ctl.scala 137:112] + node _T_18406 = add(_T_18405, _T_18375) @[exu_mul_ctl.scala 137:112] + node _T_18407 = add(_T_18406, _T_18376) @[exu_mul_ctl.scala 137:112] + node _T_18408 = add(_T_18407, _T_18377) @[exu_mul_ctl.scala 137:112] + node _T_18409 = add(_T_18408, _T_18378) @[exu_mul_ctl.scala 137:112] + node _T_18410 = add(_T_18409, _T_18379) @[exu_mul_ctl.scala 137:112] + node _T_18411 = add(_T_18410, _T_18380) @[exu_mul_ctl.scala 137:112] + node _T_18412 = eq(_T_18411, UInt<5>("h010")) @[exu_mul_ctl.scala 138:87] + node _T_18413 = bits(_T_18412, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18414 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_18415 = mux(_T_18413, _T_18414, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_18416 = mux(_T_18347, _T_18348, _T_18415) @[Mux.scala 98:16] + node _T_18417 = mux(_T_18283, _T_18284, _T_18416) @[Mux.scala 98:16] + node _T_18418 = mux(_T_18221, _T_18222, _T_18417) @[Mux.scala 98:16] + node _T_18419 = mux(_T_18161, _T_18162, _T_18418) @[Mux.scala 98:16] + node _T_18420 = mux(_T_18103, _T_18104, _T_18419) @[Mux.scala 98:16] + node _T_18421 = mux(_T_18047, _T_18048, _T_18420) @[Mux.scala 98:16] + node _T_18422 = mux(_T_17993, _T_17994, _T_18421) @[Mux.scala 98:16] + node _T_18423 = mux(_T_17941, _T_17942, _T_18422) @[Mux.scala 98:16] + node _T_18424 = mux(_T_17891, _T_17892, _T_18423) @[Mux.scala 98:16] + node _T_18425 = mux(_T_17843, _T_17844, _T_18424) @[Mux.scala 98:16] + node _T_18426 = mux(_T_17797, _T_17798, _T_18425) @[Mux.scala 98:16] + node _T_18427 = mux(_T_17753, _T_17754, _T_18426) @[Mux.scala 98:16] + node _T_18428 = mux(_T_17711, _T_17712, _T_18427) @[Mux.scala 98:16] + node _T_18429 = mux(_T_17671, _T_17672, _T_18428) @[Mux.scala 98:16] + node _T_18430 = mux(_T_17633, _T_17634, _T_18429) @[Mux.scala 98:16] + node _T_18431 = mux(_T_17597, _T_17598, _T_18430) @[Mux.scala 98:16] + node _T_18432 = mux(_T_17563, _T_17564, _T_18431) @[Mux.scala 98:16] + node _T_18433 = mux(_T_17531, _T_17532, _T_18432) @[Mux.scala 98:16] + node _T_18434 = mux(_T_17501, _T_17502, _T_18433) @[Mux.scala 98:16] + node _T_18435 = mux(_T_17473, _T_17474, _T_18434) @[Mux.scala 98:16] + node _T_18436 = mux(_T_17447, _T_17448, _T_18435) @[Mux.scala 98:16] + node _T_18437 = mux(_T_17423, _T_17424, _T_18436) @[Mux.scala 98:16] + node _T_18438 = mux(_T_17401, _T_17402, _T_18437) @[Mux.scala 98:16] + node _T_18439 = mux(_T_17381, _T_17382, _T_18438) @[Mux.scala 98:16] + node _T_18440 = mux(_T_17363, _T_17364, _T_18439) @[Mux.scala 98:16] + node _T_18441 = mux(_T_17347, _T_17348, _T_18440) @[Mux.scala 98:16] + node _T_18442 = mux(_T_17333, _T_17334, _T_18441) @[Mux.scala 98:16] + node _T_18443 = mux(_T_17321, _T_17322, _T_18442) @[Mux.scala 98:16] + node _T_18444 = mux(_T_17311, _T_17312, _T_18443) @[Mux.scala 98:16] + node _T_18445 = mux(_T_17303, _T_17304, _T_18444) @[Mux.scala 98:16] + node _T_18446 = mux(_T_17297, _T_17298, _T_18445) @[Mux.scala 98:16] + node _T_18447 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_18448 = eq(_T_18447, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18449 = bits(_T_18448, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18450 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_18451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18453 = add(_T_18451, _T_18452) @[exu_mul_ctl.scala 137:112] + node _T_18454 = eq(_T_18453, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18455 = bits(_T_18454, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18456 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_18457 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18458 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18459 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18460 = add(_T_18457, _T_18458) @[exu_mul_ctl.scala 137:112] + node _T_18461 = add(_T_18460, _T_18459) @[exu_mul_ctl.scala 137:112] + node _T_18462 = eq(_T_18461, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18463 = bits(_T_18462, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18464 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_18465 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18466 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18467 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18468 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18469 = add(_T_18465, _T_18466) @[exu_mul_ctl.scala 137:112] + node _T_18470 = add(_T_18469, _T_18467) @[exu_mul_ctl.scala 137:112] + node _T_18471 = add(_T_18470, _T_18468) @[exu_mul_ctl.scala 137:112] + node _T_18472 = eq(_T_18471, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18473 = bits(_T_18472, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18474 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_18475 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18476 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18477 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18478 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18479 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18480 = add(_T_18475, _T_18476) @[exu_mul_ctl.scala 137:112] + node _T_18481 = add(_T_18480, _T_18477) @[exu_mul_ctl.scala 137:112] + node _T_18482 = add(_T_18481, _T_18478) @[exu_mul_ctl.scala 137:112] + node _T_18483 = add(_T_18482, _T_18479) @[exu_mul_ctl.scala 137:112] + node _T_18484 = eq(_T_18483, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18485 = bits(_T_18484, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18486 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_18487 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18488 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18489 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18490 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18491 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18492 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18493 = add(_T_18487, _T_18488) @[exu_mul_ctl.scala 137:112] + node _T_18494 = add(_T_18493, _T_18489) @[exu_mul_ctl.scala 137:112] + node _T_18495 = add(_T_18494, _T_18490) @[exu_mul_ctl.scala 137:112] + node _T_18496 = add(_T_18495, _T_18491) @[exu_mul_ctl.scala 137:112] + node _T_18497 = add(_T_18496, _T_18492) @[exu_mul_ctl.scala 137:112] + node _T_18498 = eq(_T_18497, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18499 = bits(_T_18498, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18500 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_18501 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18502 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18503 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18504 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18505 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18506 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18507 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18508 = add(_T_18501, _T_18502) @[exu_mul_ctl.scala 137:112] + node _T_18509 = add(_T_18508, _T_18503) @[exu_mul_ctl.scala 137:112] + node _T_18510 = add(_T_18509, _T_18504) @[exu_mul_ctl.scala 137:112] + node _T_18511 = add(_T_18510, _T_18505) @[exu_mul_ctl.scala 137:112] + node _T_18512 = add(_T_18511, _T_18506) @[exu_mul_ctl.scala 137:112] + node _T_18513 = add(_T_18512, _T_18507) @[exu_mul_ctl.scala 137:112] + node _T_18514 = eq(_T_18513, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18515 = bits(_T_18514, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18516 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_18517 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18518 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18519 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18520 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18521 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18522 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18523 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18524 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18525 = add(_T_18517, _T_18518) @[exu_mul_ctl.scala 137:112] + node _T_18526 = add(_T_18525, _T_18519) @[exu_mul_ctl.scala 137:112] + node _T_18527 = add(_T_18526, _T_18520) @[exu_mul_ctl.scala 137:112] + node _T_18528 = add(_T_18527, _T_18521) @[exu_mul_ctl.scala 137:112] + node _T_18529 = add(_T_18528, _T_18522) @[exu_mul_ctl.scala 137:112] + node _T_18530 = add(_T_18529, _T_18523) @[exu_mul_ctl.scala 137:112] + node _T_18531 = add(_T_18530, _T_18524) @[exu_mul_ctl.scala 137:112] + node _T_18532 = eq(_T_18531, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18533 = bits(_T_18532, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18534 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_18535 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18536 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18537 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18538 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18539 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18540 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18541 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18542 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18543 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18544 = add(_T_18535, _T_18536) @[exu_mul_ctl.scala 137:112] + node _T_18545 = add(_T_18544, _T_18537) @[exu_mul_ctl.scala 137:112] + node _T_18546 = add(_T_18545, _T_18538) @[exu_mul_ctl.scala 137:112] + node _T_18547 = add(_T_18546, _T_18539) @[exu_mul_ctl.scala 137:112] + node _T_18548 = add(_T_18547, _T_18540) @[exu_mul_ctl.scala 137:112] + node _T_18549 = add(_T_18548, _T_18541) @[exu_mul_ctl.scala 137:112] + node _T_18550 = add(_T_18549, _T_18542) @[exu_mul_ctl.scala 137:112] + node _T_18551 = add(_T_18550, _T_18543) @[exu_mul_ctl.scala 137:112] + node _T_18552 = eq(_T_18551, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18553 = bits(_T_18552, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18554 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_18555 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18556 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18557 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18558 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18559 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18560 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18561 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18562 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18563 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18564 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18565 = add(_T_18555, _T_18556) @[exu_mul_ctl.scala 137:112] + node _T_18566 = add(_T_18565, _T_18557) @[exu_mul_ctl.scala 137:112] + node _T_18567 = add(_T_18566, _T_18558) @[exu_mul_ctl.scala 137:112] + node _T_18568 = add(_T_18567, _T_18559) @[exu_mul_ctl.scala 137:112] + node _T_18569 = add(_T_18568, _T_18560) @[exu_mul_ctl.scala 137:112] + node _T_18570 = add(_T_18569, _T_18561) @[exu_mul_ctl.scala 137:112] + node _T_18571 = add(_T_18570, _T_18562) @[exu_mul_ctl.scala 137:112] + node _T_18572 = add(_T_18571, _T_18563) @[exu_mul_ctl.scala 137:112] + node _T_18573 = add(_T_18572, _T_18564) @[exu_mul_ctl.scala 137:112] + node _T_18574 = eq(_T_18573, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18575 = bits(_T_18574, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18576 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_18577 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18578 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18579 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18580 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18581 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18582 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18583 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18584 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18585 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18586 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18587 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18588 = add(_T_18577, _T_18578) @[exu_mul_ctl.scala 137:112] + node _T_18589 = add(_T_18588, _T_18579) @[exu_mul_ctl.scala 137:112] + node _T_18590 = add(_T_18589, _T_18580) @[exu_mul_ctl.scala 137:112] + node _T_18591 = add(_T_18590, _T_18581) @[exu_mul_ctl.scala 137:112] + node _T_18592 = add(_T_18591, _T_18582) @[exu_mul_ctl.scala 137:112] + node _T_18593 = add(_T_18592, _T_18583) @[exu_mul_ctl.scala 137:112] + node _T_18594 = add(_T_18593, _T_18584) @[exu_mul_ctl.scala 137:112] + node _T_18595 = add(_T_18594, _T_18585) @[exu_mul_ctl.scala 137:112] + node _T_18596 = add(_T_18595, _T_18586) @[exu_mul_ctl.scala 137:112] + node _T_18597 = add(_T_18596, _T_18587) @[exu_mul_ctl.scala 137:112] + node _T_18598 = eq(_T_18597, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18599 = bits(_T_18598, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18600 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_18601 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18602 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18603 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18604 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18605 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18606 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18607 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18608 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18609 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18610 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18611 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18612 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18613 = add(_T_18601, _T_18602) @[exu_mul_ctl.scala 137:112] + node _T_18614 = add(_T_18613, _T_18603) @[exu_mul_ctl.scala 137:112] + node _T_18615 = add(_T_18614, _T_18604) @[exu_mul_ctl.scala 137:112] + node _T_18616 = add(_T_18615, _T_18605) @[exu_mul_ctl.scala 137:112] + node _T_18617 = add(_T_18616, _T_18606) @[exu_mul_ctl.scala 137:112] + node _T_18618 = add(_T_18617, _T_18607) @[exu_mul_ctl.scala 137:112] + node _T_18619 = add(_T_18618, _T_18608) @[exu_mul_ctl.scala 137:112] + node _T_18620 = add(_T_18619, _T_18609) @[exu_mul_ctl.scala 137:112] + node _T_18621 = add(_T_18620, _T_18610) @[exu_mul_ctl.scala 137:112] + node _T_18622 = add(_T_18621, _T_18611) @[exu_mul_ctl.scala 137:112] + node _T_18623 = add(_T_18622, _T_18612) @[exu_mul_ctl.scala 137:112] + node _T_18624 = eq(_T_18623, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18625 = bits(_T_18624, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18626 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_18627 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18628 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18629 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18630 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18631 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18632 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18633 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18634 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18635 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18636 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18637 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18638 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18639 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18640 = add(_T_18627, _T_18628) @[exu_mul_ctl.scala 137:112] + node _T_18641 = add(_T_18640, _T_18629) @[exu_mul_ctl.scala 137:112] + node _T_18642 = add(_T_18641, _T_18630) @[exu_mul_ctl.scala 137:112] + node _T_18643 = add(_T_18642, _T_18631) @[exu_mul_ctl.scala 137:112] + node _T_18644 = add(_T_18643, _T_18632) @[exu_mul_ctl.scala 137:112] + node _T_18645 = add(_T_18644, _T_18633) @[exu_mul_ctl.scala 137:112] + node _T_18646 = add(_T_18645, _T_18634) @[exu_mul_ctl.scala 137:112] + node _T_18647 = add(_T_18646, _T_18635) @[exu_mul_ctl.scala 137:112] + node _T_18648 = add(_T_18647, _T_18636) @[exu_mul_ctl.scala 137:112] + node _T_18649 = add(_T_18648, _T_18637) @[exu_mul_ctl.scala 137:112] + node _T_18650 = add(_T_18649, _T_18638) @[exu_mul_ctl.scala 137:112] + node _T_18651 = add(_T_18650, _T_18639) @[exu_mul_ctl.scala 137:112] + node _T_18652 = eq(_T_18651, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18653 = bits(_T_18652, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18654 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_18655 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18656 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18657 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18658 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18659 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18660 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18661 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18662 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18663 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18664 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18665 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18666 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18667 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18668 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18669 = add(_T_18655, _T_18656) @[exu_mul_ctl.scala 137:112] + node _T_18670 = add(_T_18669, _T_18657) @[exu_mul_ctl.scala 137:112] + node _T_18671 = add(_T_18670, _T_18658) @[exu_mul_ctl.scala 137:112] + node _T_18672 = add(_T_18671, _T_18659) @[exu_mul_ctl.scala 137:112] + node _T_18673 = add(_T_18672, _T_18660) @[exu_mul_ctl.scala 137:112] + node _T_18674 = add(_T_18673, _T_18661) @[exu_mul_ctl.scala 137:112] + node _T_18675 = add(_T_18674, _T_18662) @[exu_mul_ctl.scala 137:112] + node _T_18676 = add(_T_18675, _T_18663) @[exu_mul_ctl.scala 137:112] + node _T_18677 = add(_T_18676, _T_18664) @[exu_mul_ctl.scala 137:112] + node _T_18678 = add(_T_18677, _T_18665) @[exu_mul_ctl.scala 137:112] + node _T_18679 = add(_T_18678, _T_18666) @[exu_mul_ctl.scala 137:112] + node _T_18680 = add(_T_18679, _T_18667) @[exu_mul_ctl.scala 137:112] + node _T_18681 = add(_T_18680, _T_18668) @[exu_mul_ctl.scala 137:112] + node _T_18682 = eq(_T_18681, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18683 = bits(_T_18682, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18684 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_18685 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18686 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18687 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18688 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18689 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18690 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18691 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18692 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18693 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18694 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18695 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18696 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18697 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18698 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18699 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18700 = add(_T_18685, _T_18686) @[exu_mul_ctl.scala 137:112] + node _T_18701 = add(_T_18700, _T_18687) @[exu_mul_ctl.scala 137:112] + node _T_18702 = add(_T_18701, _T_18688) @[exu_mul_ctl.scala 137:112] + node _T_18703 = add(_T_18702, _T_18689) @[exu_mul_ctl.scala 137:112] + node _T_18704 = add(_T_18703, _T_18690) @[exu_mul_ctl.scala 137:112] + node _T_18705 = add(_T_18704, _T_18691) @[exu_mul_ctl.scala 137:112] + node _T_18706 = add(_T_18705, _T_18692) @[exu_mul_ctl.scala 137:112] + node _T_18707 = add(_T_18706, _T_18693) @[exu_mul_ctl.scala 137:112] + node _T_18708 = add(_T_18707, _T_18694) @[exu_mul_ctl.scala 137:112] + node _T_18709 = add(_T_18708, _T_18695) @[exu_mul_ctl.scala 137:112] + node _T_18710 = add(_T_18709, _T_18696) @[exu_mul_ctl.scala 137:112] + node _T_18711 = add(_T_18710, _T_18697) @[exu_mul_ctl.scala 137:112] + node _T_18712 = add(_T_18711, _T_18698) @[exu_mul_ctl.scala 137:112] + node _T_18713 = add(_T_18712, _T_18699) @[exu_mul_ctl.scala 137:112] + node _T_18714 = eq(_T_18713, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18715 = bits(_T_18714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18716 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_18717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18724 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18725 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18726 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18727 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18728 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18729 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18730 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18731 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18732 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18733 = add(_T_18717, _T_18718) @[exu_mul_ctl.scala 137:112] + node _T_18734 = add(_T_18733, _T_18719) @[exu_mul_ctl.scala 137:112] + node _T_18735 = add(_T_18734, _T_18720) @[exu_mul_ctl.scala 137:112] + node _T_18736 = add(_T_18735, _T_18721) @[exu_mul_ctl.scala 137:112] + node _T_18737 = add(_T_18736, _T_18722) @[exu_mul_ctl.scala 137:112] + node _T_18738 = add(_T_18737, _T_18723) @[exu_mul_ctl.scala 137:112] + node _T_18739 = add(_T_18738, _T_18724) @[exu_mul_ctl.scala 137:112] + node _T_18740 = add(_T_18739, _T_18725) @[exu_mul_ctl.scala 137:112] + node _T_18741 = add(_T_18740, _T_18726) @[exu_mul_ctl.scala 137:112] + node _T_18742 = add(_T_18741, _T_18727) @[exu_mul_ctl.scala 137:112] + node _T_18743 = add(_T_18742, _T_18728) @[exu_mul_ctl.scala 137:112] + node _T_18744 = add(_T_18743, _T_18729) @[exu_mul_ctl.scala 137:112] + node _T_18745 = add(_T_18744, _T_18730) @[exu_mul_ctl.scala 137:112] + node _T_18746 = add(_T_18745, _T_18731) @[exu_mul_ctl.scala 137:112] + node _T_18747 = add(_T_18746, _T_18732) @[exu_mul_ctl.scala 137:112] + node _T_18748 = eq(_T_18747, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18749 = bits(_T_18748, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18750 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_18751 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18752 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18753 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18754 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18755 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18756 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18757 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18758 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18759 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18760 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18761 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18762 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18763 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18764 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18765 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18766 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18767 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18768 = add(_T_18751, _T_18752) @[exu_mul_ctl.scala 137:112] + node _T_18769 = add(_T_18768, _T_18753) @[exu_mul_ctl.scala 137:112] + node _T_18770 = add(_T_18769, _T_18754) @[exu_mul_ctl.scala 137:112] + node _T_18771 = add(_T_18770, _T_18755) @[exu_mul_ctl.scala 137:112] + node _T_18772 = add(_T_18771, _T_18756) @[exu_mul_ctl.scala 137:112] + node _T_18773 = add(_T_18772, _T_18757) @[exu_mul_ctl.scala 137:112] + node _T_18774 = add(_T_18773, _T_18758) @[exu_mul_ctl.scala 137:112] + node _T_18775 = add(_T_18774, _T_18759) @[exu_mul_ctl.scala 137:112] + node _T_18776 = add(_T_18775, _T_18760) @[exu_mul_ctl.scala 137:112] + node _T_18777 = add(_T_18776, _T_18761) @[exu_mul_ctl.scala 137:112] + node _T_18778 = add(_T_18777, _T_18762) @[exu_mul_ctl.scala 137:112] + node _T_18779 = add(_T_18778, _T_18763) @[exu_mul_ctl.scala 137:112] + node _T_18780 = add(_T_18779, _T_18764) @[exu_mul_ctl.scala 137:112] + node _T_18781 = add(_T_18780, _T_18765) @[exu_mul_ctl.scala 137:112] + node _T_18782 = add(_T_18781, _T_18766) @[exu_mul_ctl.scala 137:112] + node _T_18783 = add(_T_18782, _T_18767) @[exu_mul_ctl.scala 137:112] + node _T_18784 = eq(_T_18783, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18785 = bits(_T_18784, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18786 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_18787 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18788 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18789 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18790 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18791 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18792 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18793 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18794 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18795 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18796 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18797 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18798 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18799 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18800 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18801 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18802 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18803 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18804 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18805 = add(_T_18787, _T_18788) @[exu_mul_ctl.scala 137:112] + node _T_18806 = add(_T_18805, _T_18789) @[exu_mul_ctl.scala 137:112] + node _T_18807 = add(_T_18806, _T_18790) @[exu_mul_ctl.scala 137:112] + node _T_18808 = add(_T_18807, _T_18791) @[exu_mul_ctl.scala 137:112] + node _T_18809 = add(_T_18808, _T_18792) @[exu_mul_ctl.scala 137:112] + node _T_18810 = add(_T_18809, _T_18793) @[exu_mul_ctl.scala 137:112] + node _T_18811 = add(_T_18810, _T_18794) @[exu_mul_ctl.scala 137:112] + node _T_18812 = add(_T_18811, _T_18795) @[exu_mul_ctl.scala 137:112] + node _T_18813 = add(_T_18812, _T_18796) @[exu_mul_ctl.scala 137:112] + node _T_18814 = add(_T_18813, _T_18797) @[exu_mul_ctl.scala 137:112] + node _T_18815 = add(_T_18814, _T_18798) @[exu_mul_ctl.scala 137:112] + node _T_18816 = add(_T_18815, _T_18799) @[exu_mul_ctl.scala 137:112] + node _T_18817 = add(_T_18816, _T_18800) @[exu_mul_ctl.scala 137:112] + node _T_18818 = add(_T_18817, _T_18801) @[exu_mul_ctl.scala 137:112] + node _T_18819 = add(_T_18818, _T_18802) @[exu_mul_ctl.scala 137:112] + node _T_18820 = add(_T_18819, _T_18803) @[exu_mul_ctl.scala 137:112] + node _T_18821 = add(_T_18820, _T_18804) @[exu_mul_ctl.scala 137:112] + node _T_18822 = eq(_T_18821, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18823 = bits(_T_18822, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18824 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_18825 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18826 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18827 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18828 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18829 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18830 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18831 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18832 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18833 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18834 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18835 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18836 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18837 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18838 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18839 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18840 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18841 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18842 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18843 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18844 = add(_T_18825, _T_18826) @[exu_mul_ctl.scala 137:112] + node _T_18845 = add(_T_18844, _T_18827) @[exu_mul_ctl.scala 137:112] + node _T_18846 = add(_T_18845, _T_18828) @[exu_mul_ctl.scala 137:112] + node _T_18847 = add(_T_18846, _T_18829) @[exu_mul_ctl.scala 137:112] + node _T_18848 = add(_T_18847, _T_18830) @[exu_mul_ctl.scala 137:112] + node _T_18849 = add(_T_18848, _T_18831) @[exu_mul_ctl.scala 137:112] + node _T_18850 = add(_T_18849, _T_18832) @[exu_mul_ctl.scala 137:112] + node _T_18851 = add(_T_18850, _T_18833) @[exu_mul_ctl.scala 137:112] + node _T_18852 = add(_T_18851, _T_18834) @[exu_mul_ctl.scala 137:112] + node _T_18853 = add(_T_18852, _T_18835) @[exu_mul_ctl.scala 137:112] + node _T_18854 = add(_T_18853, _T_18836) @[exu_mul_ctl.scala 137:112] + node _T_18855 = add(_T_18854, _T_18837) @[exu_mul_ctl.scala 137:112] + node _T_18856 = add(_T_18855, _T_18838) @[exu_mul_ctl.scala 137:112] + node _T_18857 = add(_T_18856, _T_18839) @[exu_mul_ctl.scala 137:112] + node _T_18858 = add(_T_18857, _T_18840) @[exu_mul_ctl.scala 137:112] + node _T_18859 = add(_T_18858, _T_18841) @[exu_mul_ctl.scala 137:112] + node _T_18860 = add(_T_18859, _T_18842) @[exu_mul_ctl.scala 137:112] + node _T_18861 = add(_T_18860, _T_18843) @[exu_mul_ctl.scala 137:112] + node _T_18862 = eq(_T_18861, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18863 = bits(_T_18862, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18864 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_18865 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18866 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18867 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18868 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18869 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18870 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18871 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18872 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18873 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18874 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18875 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18876 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18877 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18878 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18879 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18880 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18881 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18882 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18883 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18884 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18885 = add(_T_18865, _T_18866) @[exu_mul_ctl.scala 137:112] + node _T_18886 = add(_T_18885, _T_18867) @[exu_mul_ctl.scala 137:112] + node _T_18887 = add(_T_18886, _T_18868) @[exu_mul_ctl.scala 137:112] + node _T_18888 = add(_T_18887, _T_18869) @[exu_mul_ctl.scala 137:112] + node _T_18889 = add(_T_18888, _T_18870) @[exu_mul_ctl.scala 137:112] + node _T_18890 = add(_T_18889, _T_18871) @[exu_mul_ctl.scala 137:112] + node _T_18891 = add(_T_18890, _T_18872) @[exu_mul_ctl.scala 137:112] + node _T_18892 = add(_T_18891, _T_18873) @[exu_mul_ctl.scala 137:112] + node _T_18893 = add(_T_18892, _T_18874) @[exu_mul_ctl.scala 137:112] + node _T_18894 = add(_T_18893, _T_18875) @[exu_mul_ctl.scala 137:112] + node _T_18895 = add(_T_18894, _T_18876) @[exu_mul_ctl.scala 137:112] + node _T_18896 = add(_T_18895, _T_18877) @[exu_mul_ctl.scala 137:112] + node _T_18897 = add(_T_18896, _T_18878) @[exu_mul_ctl.scala 137:112] + node _T_18898 = add(_T_18897, _T_18879) @[exu_mul_ctl.scala 137:112] + node _T_18899 = add(_T_18898, _T_18880) @[exu_mul_ctl.scala 137:112] + node _T_18900 = add(_T_18899, _T_18881) @[exu_mul_ctl.scala 137:112] + node _T_18901 = add(_T_18900, _T_18882) @[exu_mul_ctl.scala 137:112] + node _T_18902 = add(_T_18901, _T_18883) @[exu_mul_ctl.scala 137:112] + node _T_18903 = add(_T_18902, _T_18884) @[exu_mul_ctl.scala 137:112] + node _T_18904 = eq(_T_18903, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18905 = bits(_T_18904, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18906 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_18907 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18908 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18909 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18910 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18911 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18912 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18913 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18914 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18915 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18916 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18917 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18918 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18919 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18920 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18921 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18922 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18923 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18924 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18925 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18926 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18927 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18928 = add(_T_18907, _T_18908) @[exu_mul_ctl.scala 137:112] + node _T_18929 = add(_T_18928, _T_18909) @[exu_mul_ctl.scala 137:112] + node _T_18930 = add(_T_18929, _T_18910) @[exu_mul_ctl.scala 137:112] + node _T_18931 = add(_T_18930, _T_18911) @[exu_mul_ctl.scala 137:112] + node _T_18932 = add(_T_18931, _T_18912) @[exu_mul_ctl.scala 137:112] + node _T_18933 = add(_T_18932, _T_18913) @[exu_mul_ctl.scala 137:112] + node _T_18934 = add(_T_18933, _T_18914) @[exu_mul_ctl.scala 137:112] + node _T_18935 = add(_T_18934, _T_18915) @[exu_mul_ctl.scala 137:112] + node _T_18936 = add(_T_18935, _T_18916) @[exu_mul_ctl.scala 137:112] + node _T_18937 = add(_T_18936, _T_18917) @[exu_mul_ctl.scala 137:112] + node _T_18938 = add(_T_18937, _T_18918) @[exu_mul_ctl.scala 137:112] + node _T_18939 = add(_T_18938, _T_18919) @[exu_mul_ctl.scala 137:112] + node _T_18940 = add(_T_18939, _T_18920) @[exu_mul_ctl.scala 137:112] + node _T_18941 = add(_T_18940, _T_18921) @[exu_mul_ctl.scala 137:112] + node _T_18942 = add(_T_18941, _T_18922) @[exu_mul_ctl.scala 137:112] + node _T_18943 = add(_T_18942, _T_18923) @[exu_mul_ctl.scala 137:112] + node _T_18944 = add(_T_18943, _T_18924) @[exu_mul_ctl.scala 137:112] + node _T_18945 = add(_T_18944, _T_18925) @[exu_mul_ctl.scala 137:112] + node _T_18946 = add(_T_18945, _T_18926) @[exu_mul_ctl.scala 137:112] + node _T_18947 = add(_T_18946, _T_18927) @[exu_mul_ctl.scala 137:112] + node _T_18948 = eq(_T_18947, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18949 = bits(_T_18948, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18950 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_18951 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18952 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18953 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_18954 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_18955 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_18956 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_18957 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_18958 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_18959 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_18960 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_18961 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_18962 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_18963 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_18964 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_18965 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_18966 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_18967 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_18968 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_18969 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_18970 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_18971 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_18972 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_18973 = add(_T_18951, _T_18952) @[exu_mul_ctl.scala 137:112] + node _T_18974 = add(_T_18973, _T_18953) @[exu_mul_ctl.scala 137:112] + node _T_18975 = add(_T_18974, _T_18954) @[exu_mul_ctl.scala 137:112] + node _T_18976 = add(_T_18975, _T_18955) @[exu_mul_ctl.scala 137:112] + node _T_18977 = add(_T_18976, _T_18956) @[exu_mul_ctl.scala 137:112] + node _T_18978 = add(_T_18977, _T_18957) @[exu_mul_ctl.scala 137:112] + node _T_18979 = add(_T_18978, _T_18958) @[exu_mul_ctl.scala 137:112] + node _T_18980 = add(_T_18979, _T_18959) @[exu_mul_ctl.scala 137:112] + node _T_18981 = add(_T_18980, _T_18960) @[exu_mul_ctl.scala 137:112] + node _T_18982 = add(_T_18981, _T_18961) @[exu_mul_ctl.scala 137:112] + node _T_18983 = add(_T_18982, _T_18962) @[exu_mul_ctl.scala 137:112] + node _T_18984 = add(_T_18983, _T_18963) @[exu_mul_ctl.scala 137:112] + node _T_18985 = add(_T_18984, _T_18964) @[exu_mul_ctl.scala 137:112] + node _T_18986 = add(_T_18985, _T_18965) @[exu_mul_ctl.scala 137:112] + node _T_18987 = add(_T_18986, _T_18966) @[exu_mul_ctl.scala 137:112] + node _T_18988 = add(_T_18987, _T_18967) @[exu_mul_ctl.scala 137:112] + node _T_18989 = add(_T_18988, _T_18968) @[exu_mul_ctl.scala 137:112] + node _T_18990 = add(_T_18989, _T_18969) @[exu_mul_ctl.scala 137:112] + node _T_18991 = add(_T_18990, _T_18970) @[exu_mul_ctl.scala 137:112] + node _T_18992 = add(_T_18991, _T_18971) @[exu_mul_ctl.scala 137:112] + node _T_18993 = add(_T_18992, _T_18972) @[exu_mul_ctl.scala 137:112] + node _T_18994 = eq(_T_18993, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_18995 = bits(_T_18994, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_18996 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_18997 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_18998 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_18999 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19000 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19001 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19002 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19003 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19004 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19005 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19006 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19007 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19008 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19009 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19010 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19011 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19012 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19013 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19014 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19015 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19016 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19017 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19018 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19019 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19020 = add(_T_18997, _T_18998) @[exu_mul_ctl.scala 137:112] + node _T_19021 = add(_T_19020, _T_18999) @[exu_mul_ctl.scala 137:112] + node _T_19022 = add(_T_19021, _T_19000) @[exu_mul_ctl.scala 137:112] + node _T_19023 = add(_T_19022, _T_19001) @[exu_mul_ctl.scala 137:112] + node _T_19024 = add(_T_19023, _T_19002) @[exu_mul_ctl.scala 137:112] + node _T_19025 = add(_T_19024, _T_19003) @[exu_mul_ctl.scala 137:112] + node _T_19026 = add(_T_19025, _T_19004) @[exu_mul_ctl.scala 137:112] + node _T_19027 = add(_T_19026, _T_19005) @[exu_mul_ctl.scala 137:112] + node _T_19028 = add(_T_19027, _T_19006) @[exu_mul_ctl.scala 137:112] + node _T_19029 = add(_T_19028, _T_19007) @[exu_mul_ctl.scala 137:112] + node _T_19030 = add(_T_19029, _T_19008) @[exu_mul_ctl.scala 137:112] + node _T_19031 = add(_T_19030, _T_19009) @[exu_mul_ctl.scala 137:112] + node _T_19032 = add(_T_19031, _T_19010) @[exu_mul_ctl.scala 137:112] + node _T_19033 = add(_T_19032, _T_19011) @[exu_mul_ctl.scala 137:112] + node _T_19034 = add(_T_19033, _T_19012) @[exu_mul_ctl.scala 137:112] + node _T_19035 = add(_T_19034, _T_19013) @[exu_mul_ctl.scala 137:112] + node _T_19036 = add(_T_19035, _T_19014) @[exu_mul_ctl.scala 137:112] + node _T_19037 = add(_T_19036, _T_19015) @[exu_mul_ctl.scala 137:112] + node _T_19038 = add(_T_19037, _T_19016) @[exu_mul_ctl.scala 137:112] + node _T_19039 = add(_T_19038, _T_19017) @[exu_mul_ctl.scala 137:112] + node _T_19040 = add(_T_19039, _T_19018) @[exu_mul_ctl.scala 137:112] + node _T_19041 = add(_T_19040, _T_19019) @[exu_mul_ctl.scala 137:112] + node _T_19042 = eq(_T_19041, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19043 = bits(_T_19042, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19044 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_19045 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19046 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19047 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19048 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19049 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19050 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19051 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19052 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19053 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19054 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19055 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19056 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19057 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19058 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19059 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19060 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19061 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19062 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19063 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19064 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19065 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19066 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19067 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19068 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19069 = add(_T_19045, _T_19046) @[exu_mul_ctl.scala 137:112] + node _T_19070 = add(_T_19069, _T_19047) @[exu_mul_ctl.scala 137:112] + node _T_19071 = add(_T_19070, _T_19048) @[exu_mul_ctl.scala 137:112] + node _T_19072 = add(_T_19071, _T_19049) @[exu_mul_ctl.scala 137:112] + node _T_19073 = add(_T_19072, _T_19050) @[exu_mul_ctl.scala 137:112] + node _T_19074 = add(_T_19073, _T_19051) @[exu_mul_ctl.scala 137:112] + node _T_19075 = add(_T_19074, _T_19052) @[exu_mul_ctl.scala 137:112] + node _T_19076 = add(_T_19075, _T_19053) @[exu_mul_ctl.scala 137:112] + node _T_19077 = add(_T_19076, _T_19054) @[exu_mul_ctl.scala 137:112] + node _T_19078 = add(_T_19077, _T_19055) @[exu_mul_ctl.scala 137:112] + node _T_19079 = add(_T_19078, _T_19056) @[exu_mul_ctl.scala 137:112] + node _T_19080 = add(_T_19079, _T_19057) @[exu_mul_ctl.scala 137:112] + node _T_19081 = add(_T_19080, _T_19058) @[exu_mul_ctl.scala 137:112] + node _T_19082 = add(_T_19081, _T_19059) @[exu_mul_ctl.scala 137:112] + node _T_19083 = add(_T_19082, _T_19060) @[exu_mul_ctl.scala 137:112] + node _T_19084 = add(_T_19083, _T_19061) @[exu_mul_ctl.scala 137:112] + node _T_19085 = add(_T_19084, _T_19062) @[exu_mul_ctl.scala 137:112] + node _T_19086 = add(_T_19085, _T_19063) @[exu_mul_ctl.scala 137:112] + node _T_19087 = add(_T_19086, _T_19064) @[exu_mul_ctl.scala 137:112] + node _T_19088 = add(_T_19087, _T_19065) @[exu_mul_ctl.scala 137:112] + node _T_19089 = add(_T_19088, _T_19066) @[exu_mul_ctl.scala 137:112] + node _T_19090 = add(_T_19089, _T_19067) @[exu_mul_ctl.scala 137:112] + node _T_19091 = add(_T_19090, _T_19068) @[exu_mul_ctl.scala 137:112] + node _T_19092 = eq(_T_19091, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19093 = bits(_T_19092, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19094 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_19095 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19096 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19097 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19098 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19099 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19100 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19101 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19102 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19103 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19104 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19105 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19106 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19107 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19108 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19109 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19110 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19111 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19112 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19113 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19114 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19115 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19116 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19117 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19118 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19119 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19120 = add(_T_19095, _T_19096) @[exu_mul_ctl.scala 137:112] + node _T_19121 = add(_T_19120, _T_19097) @[exu_mul_ctl.scala 137:112] + node _T_19122 = add(_T_19121, _T_19098) @[exu_mul_ctl.scala 137:112] + node _T_19123 = add(_T_19122, _T_19099) @[exu_mul_ctl.scala 137:112] + node _T_19124 = add(_T_19123, _T_19100) @[exu_mul_ctl.scala 137:112] + node _T_19125 = add(_T_19124, _T_19101) @[exu_mul_ctl.scala 137:112] + node _T_19126 = add(_T_19125, _T_19102) @[exu_mul_ctl.scala 137:112] + node _T_19127 = add(_T_19126, _T_19103) @[exu_mul_ctl.scala 137:112] + node _T_19128 = add(_T_19127, _T_19104) @[exu_mul_ctl.scala 137:112] + node _T_19129 = add(_T_19128, _T_19105) @[exu_mul_ctl.scala 137:112] + node _T_19130 = add(_T_19129, _T_19106) @[exu_mul_ctl.scala 137:112] + node _T_19131 = add(_T_19130, _T_19107) @[exu_mul_ctl.scala 137:112] + node _T_19132 = add(_T_19131, _T_19108) @[exu_mul_ctl.scala 137:112] + node _T_19133 = add(_T_19132, _T_19109) @[exu_mul_ctl.scala 137:112] + node _T_19134 = add(_T_19133, _T_19110) @[exu_mul_ctl.scala 137:112] + node _T_19135 = add(_T_19134, _T_19111) @[exu_mul_ctl.scala 137:112] + node _T_19136 = add(_T_19135, _T_19112) @[exu_mul_ctl.scala 137:112] + node _T_19137 = add(_T_19136, _T_19113) @[exu_mul_ctl.scala 137:112] + node _T_19138 = add(_T_19137, _T_19114) @[exu_mul_ctl.scala 137:112] + node _T_19139 = add(_T_19138, _T_19115) @[exu_mul_ctl.scala 137:112] + node _T_19140 = add(_T_19139, _T_19116) @[exu_mul_ctl.scala 137:112] + node _T_19141 = add(_T_19140, _T_19117) @[exu_mul_ctl.scala 137:112] + node _T_19142 = add(_T_19141, _T_19118) @[exu_mul_ctl.scala 137:112] + node _T_19143 = add(_T_19142, _T_19119) @[exu_mul_ctl.scala 137:112] + node _T_19144 = eq(_T_19143, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19145 = bits(_T_19144, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19146 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_19147 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19148 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19149 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19150 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19151 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19152 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19153 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19154 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19155 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19156 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19157 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19158 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19159 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19160 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19161 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19162 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19163 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19164 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19165 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19166 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19167 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19168 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19169 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19170 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19171 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19172 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19173 = add(_T_19147, _T_19148) @[exu_mul_ctl.scala 137:112] + node _T_19174 = add(_T_19173, _T_19149) @[exu_mul_ctl.scala 137:112] + node _T_19175 = add(_T_19174, _T_19150) @[exu_mul_ctl.scala 137:112] + node _T_19176 = add(_T_19175, _T_19151) @[exu_mul_ctl.scala 137:112] + node _T_19177 = add(_T_19176, _T_19152) @[exu_mul_ctl.scala 137:112] + node _T_19178 = add(_T_19177, _T_19153) @[exu_mul_ctl.scala 137:112] + node _T_19179 = add(_T_19178, _T_19154) @[exu_mul_ctl.scala 137:112] + node _T_19180 = add(_T_19179, _T_19155) @[exu_mul_ctl.scala 137:112] + node _T_19181 = add(_T_19180, _T_19156) @[exu_mul_ctl.scala 137:112] + node _T_19182 = add(_T_19181, _T_19157) @[exu_mul_ctl.scala 137:112] + node _T_19183 = add(_T_19182, _T_19158) @[exu_mul_ctl.scala 137:112] + node _T_19184 = add(_T_19183, _T_19159) @[exu_mul_ctl.scala 137:112] + node _T_19185 = add(_T_19184, _T_19160) @[exu_mul_ctl.scala 137:112] + node _T_19186 = add(_T_19185, _T_19161) @[exu_mul_ctl.scala 137:112] + node _T_19187 = add(_T_19186, _T_19162) @[exu_mul_ctl.scala 137:112] + node _T_19188 = add(_T_19187, _T_19163) @[exu_mul_ctl.scala 137:112] + node _T_19189 = add(_T_19188, _T_19164) @[exu_mul_ctl.scala 137:112] + node _T_19190 = add(_T_19189, _T_19165) @[exu_mul_ctl.scala 137:112] + node _T_19191 = add(_T_19190, _T_19166) @[exu_mul_ctl.scala 137:112] + node _T_19192 = add(_T_19191, _T_19167) @[exu_mul_ctl.scala 137:112] + node _T_19193 = add(_T_19192, _T_19168) @[exu_mul_ctl.scala 137:112] + node _T_19194 = add(_T_19193, _T_19169) @[exu_mul_ctl.scala 137:112] + node _T_19195 = add(_T_19194, _T_19170) @[exu_mul_ctl.scala 137:112] + node _T_19196 = add(_T_19195, _T_19171) @[exu_mul_ctl.scala 137:112] + node _T_19197 = add(_T_19196, _T_19172) @[exu_mul_ctl.scala 137:112] + node _T_19198 = eq(_T_19197, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19199 = bits(_T_19198, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19200 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_19201 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19202 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19203 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19204 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19205 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19206 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19207 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19208 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19209 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19210 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19211 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19212 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19213 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19214 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19215 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19216 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19217 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19218 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19219 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19220 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19221 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19222 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19223 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19224 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19225 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19226 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19227 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19228 = add(_T_19201, _T_19202) @[exu_mul_ctl.scala 137:112] + node _T_19229 = add(_T_19228, _T_19203) @[exu_mul_ctl.scala 137:112] + node _T_19230 = add(_T_19229, _T_19204) @[exu_mul_ctl.scala 137:112] + node _T_19231 = add(_T_19230, _T_19205) @[exu_mul_ctl.scala 137:112] + node _T_19232 = add(_T_19231, _T_19206) @[exu_mul_ctl.scala 137:112] + node _T_19233 = add(_T_19232, _T_19207) @[exu_mul_ctl.scala 137:112] + node _T_19234 = add(_T_19233, _T_19208) @[exu_mul_ctl.scala 137:112] + node _T_19235 = add(_T_19234, _T_19209) @[exu_mul_ctl.scala 137:112] + node _T_19236 = add(_T_19235, _T_19210) @[exu_mul_ctl.scala 137:112] + node _T_19237 = add(_T_19236, _T_19211) @[exu_mul_ctl.scala 137:112] + node _T_19238 = add(_T_19237, _T_19212) @[exu_mul_ctl.scala 137:112] + node _T_19239 = add(_T_19238, _T_19213) @[exu_mul_ctl.scala 137:112] + node _T_19240 = add(_T_19239, _T_19214) @[exu_mul_ctl.scala 137:112] + node _T_19241 = add(_T_19240, _T_19215) @[exu_mul_ctl.scala 137:112] + node _T_19242 = add(_T_19241, _T_19216) @[exu_mul_ctl.scala 137:112] + node _T_19243 = add(_T_19242, _T_19217) @[exu_mul_ctl.scala 137:112] + node _T_19244 = add(_T_19243, _T_19218) @[exu_mul_ctl.scala 137:112] + node _T_19245 = add(_T_19244, _T_19219) @[exu_mul_ctl.scala 137:112] + node _T_19246 = add(_T_19245, _T_19220) @[exu_mul_ctl.scala 137:112] + node _T_19247 = add(_T_19246, _T_19221) @[exu_mul_ctl.scala 137:112] + node _T_19248 = add(_T_19247, _T_19222) @[exu_mul_ctl.scala 137:112] + node _T_19249 = add(_T_19248, _T_19223) @[exu_mul_ctl.scala 137:112] + node _T_19250 = add(_T_19249, _T_19224) @[exu_mul_ctl.scala 137:112] + node _T_19251 = add(_T_19250, _T_19225) @[exu_mul_ctl.scala 137:112] + node _T_19252 = add(_T_19251, _T_19226) @[exu_mul_ctl.scala 137:112] + node _T_19253 = add(_T_19252, _T_19227) @[exu_mul_ctl.scala 137:112] + node _T_19254 = eq(_T_19253, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19255 = bits(_T_19254, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19256 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_19257 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19258 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19259 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19260 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19261 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19262 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19263 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19264 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19265 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19266 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19267 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19268 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19269 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19270 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19271 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19272 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19273 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19274 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19275 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19276 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19277 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19278 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19279 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19280 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19281 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19282 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19283 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19284 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_19285 = add(_T_19257, _T_19258) @[exu_mul_ctl.scala 137:112] + node _T_19286 = add(_T_19285, _T_19259) @[exu_mul_ctl.scala 137:112] + node _T_19287 = add(_T_19286, _T_19260) @[exu_mul_ctl.scala 137:112] + node _T_19288 = add(_T_19287, _T_19261) @[exu_mul_ctl.scala 137:112] + node _T_19289 = add(_T_19288, _T_19262) @[exu_mul_ctl.scala 137:112] + node _T_19290 = add(_T_19289, _T_19263) @[exu_mul_ctl.scala 137:112] + node _T_19291 = add(_T_19290, _T_19264) @[exu_mul_ctl.scala 137:112] + node _T_19292 = add(_T_19291, _T_19265) @[exu_mul_ctl.scala 137:112] + node _T_19293 = add(_T_19292, _T_19266) @[exu_mul_ctl.scala 137:112] + node _T_19294 = add(_T_19293, _T_19267) @[exu_mul_ctl.scala 137:112] + node _T_19295 = add(_T_19294, _T_19268) @[exu_mul_ctl.scala 137:112] + node _T_19296 = add(_T_19295, _T_19269) @[exu_mul_ctl.scala 137:112] + node _T_19297 = add(_T_19296, _T_19270) @[exu_mul_ctl.scala 137:112] + node _T_19298 = add(_T_19297, _T_19271) @[exu_mul_ctl.scala 137:112] + node _T_19299 = add(_T_19298, _T_19272) @[exu_mul_ctl.scala 137:112] + node _T_19300 = add(_T_19299, _T_19273) @[exu_mul_ctl.scala 137:112] + node _T_19301 = add(_T_19300, _T_19274) @[exu_mul_ctl.scala 137:112] + node _T_19302 = add(_T_19301, _T_19275) @[exu_mul_ctl.scala 137:112] + node _T_19303 = add(_T_19302, _T_19276) @[exu_mul_ctl.scala 137:112] + node _T_19304 = add(_T_19303, _T_19277) @[exu_mul_ctl.scala 137:112] + node _T_19305 = add(_T_19304, _T_19278) @[exu_mul_ctl.scala 137:112] + node _T_19306 = add(_T_19305, _T_19279) @[exu_mul_ctl.scala 137:112] + node _T_19307 = add(_T_19306, _T_19280) @[exu_mul_ctl.scala 137:112] + node _T_19308 = add(_T_19307, _T_19281) @[exu_mul_ctl.scala 137:112] + node _T_19309 = add(_T_19308, _T_19282) @[exu_mul_ctl.scala 137:112] + node _T_19310 = add(_T_19309, _T_19283) @[exu_mul_ctl.scala 137:112] + node _T_19311 = add(_T_19310, _T_19284) @[exu_mul_ctl.scala 137:112] + node _T_19312 = eq(_T_19311, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19313 = bits(_T_19312, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19314 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_19315 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19316 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19317 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19318 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19319 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19320 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19321 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19322 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19323 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19324 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19325 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19326 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19327 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19328 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19329 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19330 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19331 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19332 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19333 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19334 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19335 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19336 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19337 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19338 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19339 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19340 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19341 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19342 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_19343 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_19344 = add(_T_19315, _T_19316) @[exu_mul_ctl.scala 137:112] + node _T_19345 = add(_T_19344, _T_19317) @[exu_mul_ctl.scala 137:112] + node _T_19346 = add(_T_19345, _T_19318) @[exu_mul_ctl.scala 137:112] + node _T_19347 = add(_T_19346, _T_19319) @[exu_mul_ctl.scala 137:112] + node _T_19348 = add(_T_19347, _T_19320) @[exu_mul_ctl.scala 137:112] + node _T_19349 = add(_T_19348, _T_19321) @[exu_mul_ctl.scala 137:112] + node _T_19350 = add(_T_19349, _T_19322) @[exu_mul_ctl.scala 137:112] + node _T_19351 = add(_T_19350, _T_19323) @[exu_mul_ctl.scala 137:112] + node _T_19352 = add(_T_19351, _T_19324) @[exu_mul_ctl.scala 137:112] + node _T_19353 = add(_T_19352, _T_19325) @[exu_mul_ctl.scala 137:112] + node _T_19354 = add(_T_19353, _T_19326) @[exu_mul_ctl.scala 137:112] + node _T_19355 = add(_T_19354, _T_19327) @[exu_mul_ctl.scala 137:112] + node _T_19356 = add(_T_19355, _T_19328) @[exu_mul_ctl.scala 137:112] + node _T_19357 = add(_T_19356, _T_19329) @[exu_mul_ctl.scala 137:112] + node _T_19358 = add(_T_19357, _T_19330) @[exu_mul_ctl.scala 137:112] + node _T_19359 = add(_T_19358, _T_19331) @[exu_mul_ctl.scala 137:112] + node _T_19360 = add(_T_19359, _T_19332) @[exu_mul_ctl.scala 137:112] + node _T_19361 = add(_T_19360, _T_19333) @[exu_mul_ctl.scala 137:112] + node _T_19362 = add(_T_19361, _T_19334) @[exu_mul_ctl.scala 137:112] + node _T_19363 = add(_T_19362, _T_19335) @[exu_mul_ctl.scala 137:112] + node _T_19364 = add(_T_19363, _T_19336) @[exu_mul_ctl.scala 137:112] + node _T_19365 = add(_T_19364, _T_19337) @[exu_mul_ctl.scala 137:112] + node _T_19366 = add(_T_19365, _T_19338) @[exu_mul_ctl.scala 137:112] + node _T_19367 = add(_T_19366, _T_19339) @[exu_mul_ctl.scala 137:112] + node _T_19368 = add(_T_19367, _T_19340) @[exu_mul_ctl.scala 137:112] + node _T_19369 = add(_T_19368, _T_19341) @[exu_mul_ctl.scala 137:112] + node _T_19370 = add(_T_19369, _T_19342) @[exu_mul_ctl.scala 137:112] + node _T_19371 = add(_T_19370, _T_19343) @[exu_mul_ctl.scala 137:112] + node _T_19372 = eq(_T_19371, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19373 = bits(_T_19372, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19374 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_19375 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19376 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19377 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19378 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19379 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19380 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19381 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19382 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19383 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19384 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19385 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19386 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19387 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19388 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19389 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19390 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19391 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19392 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19393 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19394 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19395 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19396 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19397 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19398 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19399 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19400 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19401 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19402 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_19403 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_19404 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_19405 = add(_T_19375, _T_19376) @[exu_mul_ctl.scala 137:112] + node _T_19406 = add(_T_19405, _T_19377) @[exu_mul_ctl.scala 137:112] + node _T_19407 = add(_T_19406, _T_19378) @[exu_mul_ctl.scala 137:112] + node _T_19408 = add(_T_19407, _T_19379) @[exu_mul_ctl.scala 137:112] + node _T_19409 = add(_T_19408, _T_19380) @[exu_mul_ctl.scala 137:112] + node _T_19410 = add(_T_19409, _T_19381) @[exu_mul_ctl.scala 137:112] + node _T_19411 = add(_T_19410, _T_19382) @[exu_mul_ctl.scala 137:112] + node _T_19412 = add(_T_19411, _T_19383) @[exu_mul_ctl.scala 137:112] + node _T_19413 = add(_T_19412, _T_19384) @[exu_mul_ctl.scala 137:112] + node _T_19414 = add(_T_19413, _T_19385) @[exu_mul_ctl.scala 137:112] + node _T_19415 = add(_T_19414, _T_19386) @[exu_mul_ctl.scala 137:112] + node _T_19416 = add(_T_19415, _T_19387) @[exu_mul_ctl.scala 137:112] + node _T_19417 = add(_T_19416, _T_19388) @[exu_mul_ctl.scala 137:112] + node _T_19418 = add(_T_19417, _T_19389) @[exu_mul_ctl.scala 137:112] + node _T_19419 = add(_T_19418, _T_19390) @[exu_mul_ctl.scala 137:112] + node _T_19420 = add(_T_19419, _T_19391) @[exu_mul_ctl.scala 137:112] + node _T_19421 = add(_T_19420, _T_19392) @[exu_mul_ctl.scala 137:112] + node _T_19422 = add(_T_19421, _T_19393) @[exu_mul_ctl.scala 137:112] + node _T_19423 = add(_T_19422, _T_19394) @[exu_mul_ctl.scala 137:112] + node _T_19424 = add(_T_19423, _T_19395) @[exu_mul_ctl.scala 137:112] + node _T_19425 = add(_T_19424, _T_19396) @[exu_mul_ctl.scala 137:112] + node _T_19426 = add(_T_19425, _T_19397) @[exu_mul_ctl.scala 137:112] + node _T_19427 = add(_T_19426, _T_19398) @[exu_mul_ctl.scala 137:112] + node _T_19428 = add(_T_19427, _T_19399) @[exu_mul_ctl.scala 137:112] + node _T_19429 = add(_T_19428, _T_19400) @[exu_mul_ctl.scala 137:112] + node _T_19430 = add(_T_19429, _T_19401) @[exu_mul_ctl.scala 137:112] + node _T_19431 = add(_T_19430, _T_19402) @[exu_mul_ctl.scala 137:112] + node _T_19432 = add(_T_19431, _T_19403) @[exu_mul_ctl.scala 137:112] + node _T_19433 = add(_T_19432, _T_19404) @[exu_mul_ctl.scala 137:112] + node _T_19434 = eq(_T_19433, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19435 = bits(_T_19434, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19436 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_19437 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19438 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19439 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19440 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19441 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19442 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19443 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19444 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19445 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19446 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19447 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19448 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19449 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19450 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19451 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19452 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19453 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19454 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19455 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19456 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19457 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19458 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19459 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19460 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19461 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19462 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19463 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19464 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_19465 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_19466 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_19467 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_19468 = add(_T_19437, _T_19438) @[exu_mul_ctl.scala 137:112] + node _T_19469 = add(_T_19468, _T_19439) @[exu_mul_ctl.scala 137:112] + node _T_19470 = add(_T_19469, _T_19440) @[exu_mul_ctl.scala 137:112] + node _T_19471 = add(_T_19470, _T_19441) @[exu_mul_ctl.scala 137:112] + node _T_19472 = add(_T_19471, _T_19442) @[exu_mul_ctl.scala 137:112] + node _T_19473 = add(_T_19472, _T_19443) @[exu_mul_ctl.scala 137:112] + node _T_19474 = add(_T_19473, _T_19444) @[exu_mul_ctl.scala 137:112] + node _T_19475 = add(_T_19474, _T_19445) @[exu_mul_ctl.scala 137:112] + node _T_19476 = add(_T_19475, _T_19446) @[exu_mul_ctl.scala 137:112] + node _T_19477 = add(_T_19476, _T_19447) @[exu_mul_ctl.scala 137:112] + node _T_19478 = add(_T_19477, _T_19448) @[exu_mul_ctl.scala 137:112] + node _T_19479 = add(_T_19478, _T_19449) @[exu_mul_ctl.scala 137:112] + node _T_19480 = add(_T_19479, _T_19450) @[exu_mul_ctl.scala 137:112] + node _T_19481 = add(_T_19480, _T_19451) @[exu_mul_ctl.scala 137:112] + node _T_19482 = add(_T_19481, _T_19452) @[exu_mul_ctl.scala 137:112] + node _T_19483 = add(_T_19482, _T_19453) @[exu_mul_ctl.scala 137:112] + node _T_19484 = add(_T_19483, _T_19454) @[exu_mul_ctl.scala 137:112] + node _T_19485 = add(_T_19484, _T_19455) @[exu_mul_ctl.scala 137:112] + node _T_19486 = add(_T_19485, _T_19456) @[exu_mul_ctl.scala 137:112] + node _T_19487 = add(_T_19486, _T_19457) @[exu_mul_ctl.scala 137:112] + node _T_19488 = add(_T_19487, _T_19458) @[exu_mul_ctl.scala 137:112] + node _T_19489 = add(_T_19488, _T_19459) @[exu_mul_ctl.scala 137:112] + node _T_19490 = add(_T_19489, _T_19460) @[exu_mul_ctl.scala 137:112] + node _T_19491 = add(_T_19490, _T_19461) @[exu_mul_ctl.scala 137:112] + node _T_19492 = add(_T_19491, _T_19462) @[exu_mul_ctl.scala 137:112] + node _T_19493 = add(_T_19492, _T_19463) @[exu_mul_ctl.scala 137:112] + node _T_19494 = add(_T_19493, _T_19464) @[exu_mul_ctl.scala 137:112] + node _T_19495 = add(_T_19494, _T_19465) @[exu_mul_ctl.scala 137:112] + node _T_19496 = add(_T_19495, _T_19466) @[exu_mul_ctl.scala 137:112] + node _T_19497 = add(_T_19496, _T_19467) @[exu_mul_ctl.scala 137:112] + node _T_19498 = eq(_T_19497, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19499 = bits(_T_19498, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19500 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_19501 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19502 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19503 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19504 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19505 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19506 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19507 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19508 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19509 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19510 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19511 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19512 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19513 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19514 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19515 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19516 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19517 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19518 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19519 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19520 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_19521 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_19522 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_19523 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_19524 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_19525 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_19526 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_19527 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_19528 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_19529 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_19530 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_19531 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_19532 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_19533 = add(_T_19501, _T_19502) @[exu_mul_ctl.scala 137:112] + node _T_19534 = add(_T_19533, _T_19503) @[exu_mul_ctl.scala 137:112] + node _T_19535 = add(_T_19534, _T_19504) @[exu_mul_ctl.scala 137:112] + node _T_19536 = add(_T_19535, _T_19505) @[exu_mul_ctl.scala 137:112] + node _T_19537 = add(_T_19536, _T_19506) @[exu_mul_ctl.scala 137:112] + node _T_19538 = add(_T_19537, _T_19507) @[exu_mul_ctl.scala 137:112] + node _T_19539 = add(_T_19538, _T_19508) @[exu_mul_ctl.scala 137:112] + node _T_19540 = add(_T_19539, _T_19509) @[exu_mul_ctl.scala 137:112] + node _T_19541 = add(_T_19540, _T_19510) @[exu_mul_ctl.scala 137:112] + node _T_19542 = add(_T_19541, _T_19511) @[exu_mul_ctl.scala 137:112] + node _T_19543 = add(_T_19542, _T_19512) @[exu_mul_ctl.scala 137:112] + node _T_19544 = add(_T_19543, _T_19513) @[exu_mul_ctl.scala 137:112] + node _T_19545 = add(_T_19544, _T_19514) @[exu_mul_ctl.scala 137:112] + node _T_19546 = add(_T_19545, _T_19515) @[exu_mul_ctl.scala 137:112] + node _T_19547 = add(_T_19546, _T_19516) @[exu_mul_ctl.scala 137:112] + node _T_19548 = add(_T_19547, _T_19517) @[exu_mul_ctl.scala 137:112] + node _T_19549 = add(_T_19548, _T_19518) @[exu_mul_ctl.scala 137:112] + node _T_19550 = add(_T_19549, _T_19519) @[exu_mul_ctl.scala 137:112] + node _T_19551 = add(_T_19550, _T_19520) @[exu_mul_ctl.scala 137:112] + node _T_19552 = add(_T_19551, _T_19521) @[exu_mul_ctl.scala 137:112] + node _T_19553 = add(_T_19552, _T_19522) @[exu_mul_ctl.scala 137:112] + node _T_19554 = add(_T_19553, _T_19523) @[exu_mul_ctl.scala 137:112] + node _T_19555 = add(_T_19554, _T_19524) @[exu_mul_ctl.scala 137:112] + node _T_19556 = add(_T_19555, _T_19525) @[exu_mul_ctl.scala 137:112] + node _T_19557 = add(_T_19556, _T_19526) @[exu_mul_ctl.scala 137:112] + node _T_19558 = add(_T_19557, _T_19527) @[exu_mul_ctl.scala 137:112] + node _T_19559 = add(_T_19558, _T_19528) @[exu_mul_ctl.scala 137:112] + node _T_19560 = add(_T_19559, _T_19529) @[exu_mul_ctl.scala 137:112] + node _T_19561 = add(_T_19560, _T_19530) @[exu_mul_ctl.scala 137:112] + node _T_19562 = add(_T_19561, _T_19531) @[exu_mul_ctl.scala 137:112] + node _T_19563 = add(_T_19562, _T_19532) @[exu_mul_ctl.scala 137:112] + node _T_19564 = eq(_T_19563, UInt<5>("h011")) @[exu_mul_ctl.scala 138:87] + node _T_19565 = bits(_T_19564, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19566 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_19567 = mux(_T_19565, _T_19566, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_19568 = mux(_T_19499, _T_19500, _T_19567) @[Mux.scala 98:16] + node _T_19569 = mux(_T_19435, _T_19436, _T_19568) @[Mux.scala 98:16] + node _T_19570 = mux(_T_19373, _T_19374, _T_19569) @[Mux.scala 98:16] + node _T_19571 = mux(_T_19313, _T_19314, _T_19570) @[Mux.scala 98:16] + node _T_19572 = mux(_T_19255, _T_19256, _T_19571) @[Mux.scala 98:16] + node _T_19573 = mux(_T_19199, _T_19200, _T_19572) @[Mux.scala 98:16] + node _T_19574 = mux(_T_19145, _T_19146, _T_19573) @[Mux.scala 98:16] + node _T_19575 = mux(_T_19093, _T_19094, _T_19574) @[Mux.scala 98:16] + node _T_19576 = mux(_T_19043, _T_19044, _T_19575) @[Mux.scala 98:16] + node _T_19577 = mux(_T_18995, _T_18996, _T_19576) @[Mux.scala 98:16] + node _T_19578 = mux(_T_18949, _T_18950, _T_19577) @[Mux.scala 98:16] + node _T_19579 = mux(_T_18905, _T_18906, _T_19578) @[Mux.scala 98:16] + node _T_19580 = mux(_T_18863, _T_18864, _T_19579) @[Mux.scala 98:16] + node _T_19581 = mux(_T_18823, _T_18824, _T_19580) @[Mux.scala 98:16] + node _T_19582 = mux(_T_18785, _T_18786, _T_19581) @[Mux.scala 98:16] + node _T_19583 = mux(_T_18749, _T_18750, _T_19582) @[Mux.scala 98:16] + node _T_19584 = mux(_T_18715, _T_18716, _T_19583) @[Mux.scala 98:16] + node _T_19585 = mux(_T_18683, _T_18684, _T_19584) @[Mux.scala 98:16] + node _T_19586 = mux(_T_18653, _T_18654, _T_19585) @[Mux.scala 98:16] + node _T_19587 = mux(_T_18625, _T_18626, _T_19586) @[Mux.scala 98:16] + node _T_19588 = mux(_T_18599, _T_18600, _T_19587) @[Mux.scala 98:16] + node _T_19589 = mux(_T_18575, _T_18576, _T_19588) @[Mux.scala 98:16] + node _T_19590 = mux(_T_18553, _T_18554, _T_19589) @[Mux.scala 98:16] + node _T_19591 = mux(_T_18533, _T_18534, _T_19590) @[Mux.scala 98:16] + node _T_19592 = mux(_T_18515, _T_18516, _T_19591) @[Mux.scala 98:16] + node _T_19593 = mux(_T_18499, _T_18500, _T_19592) @[Mux.scala 98:16] + node _T_19594 = mux(_T_18485, _T_18486, _T_19593) @[Mux.scala 98:16] + node _T_19595 = mux(_T_18473, _T_18474, _T_19594) @[Mux.scala 98:16] + node _T_19596 = mux(_T_18463, _T_18464, _T_19595) @[Mux.scala 98:16] + node _T_19597 = mux(_T_18455, _T_18456, _T_19596) @[Mux.scala 98:16] + node _T_19598 = mux(_T_18449, _T_18450, _T_19597) @[Mux.scala 98:16] + node _T_19599 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_19600 = eq(_T_19599, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19601 = bits(_T_19600, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19602 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_19603 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19604 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19605 = add(_T_19603, _T_19604) @[exu_mul_ctl.scala 137:112] + node _T_19606 = eq(_T_19605, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19607 = bits(_T_19606, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19608 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_19609 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19610 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19611 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19612 = add(_T_19609, _T_19610) @[exu_mul_ctl.scala 137:112] + node _T_19613 = add(_T_19612, _T_19611) @[exu_mul_ctl.scala 137:112] + node _T_19614 = eq(_T_19613, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19615 = bits(_T_19614, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19616 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_19617 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19618 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19619 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19620 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19621 = add(_T_19617, _T_19618) @[exu_mul_ctl.scala 137:112] + node _T_19622 = add(_T_19621, _T_19619) @[exu_mul_ctl.scala 137:112] + node _T_19623 = add(_T_19622, _T_19620) @[exu_mul_ctl.scala 137:112] + node _T_19624 = eq(_T_19623, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19625 = bits(_T_19624, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19626 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_19627 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19628 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19629 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19630 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19631 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19632 = add(_T_19627, _T_19628) @[exu_mul_ctl.scala 137:112] + node _T_19633 = add(_T_19632, _T_19629) @[exu_mul_ctl.scala 137:112] + node _T_19634 = add(_T_19633, _T_19630) @[exu_mul_ctl.scala 137:112] + node _T_19635 = add(_T_19634, _T_19631) @[exu_mul_ctl.scala 137:112] + node _T_19636 = eq(_T_19635, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19637 = bits(_T_19636, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19638 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_19639 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19640 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19641 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19642 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19643 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19644 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19645 = add(_T_19639, _T_19640) @[exu_mul_ctl.scala 137:112] + node _T_19646 = add(_T_19645, _T_19641) @[exu_mul_ctl.scala 137:112] + node _T_19647 = add(_T_19646, _T_19642) @[exu_mul_ctl.scala 137:112] + node _T_19648 = add(_T_19647, _T_19643) @[exu_mul_ctl.scala 137:112] + node _T_19649 = add(_T_19648, _T_19644) @[exu_mul_ctl.scala 137:112] + node _T_19650 = eq(_T_19649, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19651 = bits(_T_19650, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19652 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_19653 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19654 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19655 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19656 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19657 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19658 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19659 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19660 = add(_T_19653, _T_19654) @[exu_mul_ctl.scala 137:112] + node _T_19661 = add(_T_19660, _T_19655) @[exu_mul_ctl.scala 137:112] + node _T_19662 = add(_T_19661, _T_19656) @[exu_mul_ctl.scala 137:112] + node _T_19663 = add(_T_19662, _T_19657) @[exu_mul_ctl.scala 137:112] + node _T_19664 = add(_T_19663, _T_19658) @[exu_mul_ctl.scala 137:112] + node _T_19665 = add(_T_19664, _T_19659) @[exu_mul_ctl.scala 137:112] + node _T_19666 = eq(_T_19665, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19667 = bits(_T_19666, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19668 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_19669 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19670 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19671 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19672 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19673 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19674 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19675 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19676 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19677 = add(_T_19669, _T_19670) @[exu_mul_ctl.scala 137:112] + node _T_19678 = add(_T_19677, _T_19671) @[exu_mul_ctl.scala 137:112] + node _T_19679 = add(_T_19678, _T_19672) @[exu_mul_ctl.scala 137:112] + node _T_19680 = add(_T_19679, _T_19673) @[exu_mul_ctl.scala 137:112] + node _T_19681 = add(_T_19680, _T_19674) @[exu_mul_ctl.scala 137:112] + node _T_19682 = add(_T_19681, _T_19675) @[exu_mul_ctl.scala 137:112] + node _T_19683 = add(_T_19682, _T_19676) @[exu_mul_ctl.scala 137:112] + node _T_19684 = eq(_T_19683, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19685 = bits(_T_19684, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19686 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_19687 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19688 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19689 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19690 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19691 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19692 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19693 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19694 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19695 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19696 = add(_T_19687, _T_19688) @[exu_mul_ctl.scala 137:112] + node _T_19697 = add(_T_19696, _T_19689) @[exu_mul_ctl.scala 137:112] + node _T_19698 = add(_T_19697, _T_19690) @[exu_mul_ctl.scala 137:112] + node _T_19699 = add(_T_19698, _T_19691) @[exu_mul_ctl.scala 137:112] + node _T_19700 = add(_T_19699, _T_19692) @[exu_mul_ctl.scala 137:112] + node _T_19701 = add(_T_19700, _T_19693) @[exu_mul_ctl.scala 137:112] + node _T_19702 = add(_T_19701, _T_19694) @[exu_mul_ctl.scala 137:112] + node _T_19703 = add(_T_19702, _T_19695) @[exu_mul_ctl.scala 137:112] + node _T_19704 = eq(_T_19703, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19705 = bits(_T_19704, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19706 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_19707 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19708 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19709 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19710 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19711 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19712 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19713 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19714 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19715 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19716 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19717 = add(_T_19707, _T_19708) @[exu_mul_ctl.scala 137:112] + node _T_19718 = add(_T_19717, _T_19709) @[exu_mul_ctl.scala 137:112] + node _T_19719 = add(_T_19718, _T_19710) @[exu_mul_ctl.scala 137:112] + node _T_19720 = add(_T_19719, _T_19711) @[exu_mul_ctl.scala 137:112] + node _T_19721 = add(_T_19720, _T_19712) @[exu_mul_ctl.scala 137:112] + node _T_19722 = add(_T_19721, _T_19713) @[exu_mul_ctl.scala 137:112] + node _T_19723 = add(_T_19722, _T_19714) @[exu_mul_ctl.scala 137:112] + node _T_19724 = add(_T_19723, _T_19715) @[exu_mul_ctl.scala 137:112] + node _T_19725 = add(_T_19724, _T_19716) @[exu_mul_ctl.scala 137:112] + node _T_19726 = eq(_T_19725, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19727 = bits(_T_19726, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19728 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_19729 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19730 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19731 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19732 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19733 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19734 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19735 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19736 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19737 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19738 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19739 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19740 = add(_T_19729, _T_19730) @[exu_mul_ctl.scala 137:112] + node _T_19741 = add(_T_19740, _T_19731) @[exu_mul_ctl.scala 137:112] + node _T_19742 = add(_T_19741, _T_19732) @[exu_mul_ctl.scala 137:112] + node _T_19743 = add(_T_19742, _T_19733) @[exu_mul_ctl.scala 137:112] + node _T_19744 = add(_T_19743, _T_19734) @[exu_mul_ctl.scala 137:112] + node _T_19745 = add(_T_19744, _T_19735) @[exu_mul_ctl.scala 137:112] + node _T_19746 = add(_T_19745, _T_19736) @[exu_mul_ctl.scala 137:112] + node _T_19747 = add(_T_19746, _T_19737) @[exu_mul_ctl.scala 137:112] + node _T_19748 = add(_T_19747, _T_19738) @[exu_mul_ctl.scala 137:112] + node _T_19749 = add(_T_19748, _T_19739) @[exu_mul_ctl.scala 137:112] + node _T_19750 = eq(_T_19749, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19751 = bits(_T_19750, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19752 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_19753 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19754 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19755 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19756 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19757 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19758 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19759 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19760 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19761 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19762 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19763 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19764 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19765 = add(_T_19753, _T_19754) @[exu_mul_ctl.scala 137:112] + node _T_19766 = add(_T_19765, _T_19755) @[exu_mul_ctl.scala 137:112] + node _T_19767 = add(_T_19766, _T_19756) @[exu_mul_ctl.scala 137:112] + node _T_19768 = add(_T_19767, _T_19757) @[exu_mul_ctl.scala 137:112] + node _T_19769 = add(_T_19768, _T_19758) @[exu_mul_ctl.scala 137:112] + node _T_19770 = add(_T_19769, _T_19759) @[exu_mul_ctl.scala 137:112] + node _T_19771 = add(_T_19770, _T_19760) @[exu_mul_ctl.scala 137:112] + node _T_19772 = add(_T_19771, _T_19761) @[exu_mul_ctl.scala 137:112] + node _T_19773 = add(_T_19772, _T_19762) @[exu_mul_ctl.scala 137:112] + node _T_19774 = add(_T_19773, _T_19763) @[exu_mul_ctl.scala 137:112] + node _T_19775 = add(_T_19774, _T_19764) @[exu_mul_ctl.scala 137:112] + node _T_19776 = eq(_T_19775, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19777 = bits(_T_19776, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19778 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_19779 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19780 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19781 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19782 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19783 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19784 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19785 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19786 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19787 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19788 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19789 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19790 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19791 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19792 = add(_T_19779, _T_19780) @[exu_mul_ctl.scala 137:112] + node _T_19793 = add(_T_19792, _T_19781) @[exu_mul_ctl.scala 137:112] + node _T_19794 = add(_T_19793, _T_19782) @[exu_mul_ctl.scala 137:112] + node _T_19795 = add(_T_19794, _T_19783) @[exu_mul_ctl.scala 137:112] + node _T_19796 = add(_T_19795, _T_19784) @[exu_mul_ctl.scala 137:112] + node _T_19797 = add(_T_19796, _T_19785) @[exu_mul_ctl.scala 137:112] + node _T_19798 = add(_T_19797, _T_19786) @[exu_mul_ctl.scala 137:112] + node _T_19799 = add(_T_19798, _T_19787) @[exu_mul_ctl.scala 137:112] + node _T_19800 = add(_T_19799, _T_19788) @[exu_mul_ctl.scala 137:112] + node _T_19801 = add(_T_19800, _T_19789) @[exu_mul_ctl.scala 137:112] + node _T_19802 = add(_T_19801, _T_19790) @[exu_mul_ctl.scala 137:112] + node _T_19803 = add(_T_19802, _T_19791) @[exu_mul_ctl.scala 137:112] + node _T_19804 = eq(_T_19803, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19805 = bits(_T_19804, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19806 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_19807 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19808 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19809 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19810 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19811 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19812 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19813 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19814 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19815 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19816 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19817 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19818 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19819 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19820 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19821 = add(_T_19807, _T_19808) @[exu_mul_ctl.scala 137:112] + node _T_19822 = add(_T_19821, _T_19809) @[exu_mul_ctl.scala 137:112] + node _T_19823 = add(_T_19822, _T_19810) @[exu_mul_ctl.scala 137:112] + node _T_19824 = add(_T_19823, _T_19811) @[exu_mul_ctl.scala 137:112] + node _T_19825 = add(_T_19824, _T_19812) @[exu_mul_ctl.scala 137:112] + node _T_19826 = add(_T_19825, _T_19813) @[exu_mul_ctl.scala 137:112] + node _T_19827 = add(_T_19826, _T_19814) @[exu_mul_ctl.scala 137:112] + node _T_19828 = add(_T_19827, _T_19815) @[exu_mul_ctl.scala 137:112] + node _T_19829 = add(_T_19828, _T_19816) @[exu_mul_ctl.scala 137:112] + node _T_19830 = add(_T_19829, _T_19817) @[exu_mul_ctl.scala 137:112] + node _T_19831 = add(_T_19830, _T_19818) @[exu_mul_ctl.scala 137:112] + node _T_19832 = add(_T_19831, _T_19819) @[exu_mul_ctl.scala 137:112] + node _T_19833 = add(_T_19832, _T_19820) @[exu_mul_ctl.scala 137:112] + node _T_19834 = eq(_T_19833, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19835 = bits(_T_19834, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19836 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_19837 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19838 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19839 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19840 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19841 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19842 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19843 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19844 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19845 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19846 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19847 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19848 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19849 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19850 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19851 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19852 = add(_T_19837, _T_19838) @[exu_mul_ctl.scala 137:112] + node _T_19853 = add(_T_19852, _T_19839) @[exu_mul_ctl.scala 137:112] + node _T_19854 = add(_T_19853, _T_19840) @[exu_mul_ctl.scala 137:112] + node _T_19855 = add(_T_19854, _T_19841) @[exu_mul_ctl.scala 137:112] + node _T_19856 = add(_T_19855, _T_19842) @[exu_mul_ctl.scala 137:112] + node _T_19857 = add(_T_19856, _T_19843) @[exu_mul_ctl.scala 137:112] + node _T_19858 = add(_T_19857, _T_19844) @[exu_mul_ctl.scala 137:112] + node _T_19859 = add(_T_19858, _T_19845) @[exu_mul_ctl.scala 137:112] + node _T_19860 = add(_T_19859, _T_19846) @[exu_mul_ctl.scala 137:112] + node _T_19861 = add(_T_19860, _T_19847) @[exu_mul_ctl.scala 137:112] + node _T_19862 = add(_T_19861, _T_19848) @[exu_mul_ctl.scala 137:112] + node _T_19863 = add(_T_19862, _T_19849) @[exu_mul_ctl.scala 137:112] + node _T_19864 = add(_T_19863, _T_19850) @[exu_mul_ctl.scala 137:112] + node _T_19865 = add(_T_19864, _T_19851) @[exu_mul_ctl.scala 137:112] + node _T_19866 = eq(_T_19865, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19867 = bits(_T_19866, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19868 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_19869 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19870 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19871 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19872 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19873 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19874 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19875 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19876 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19877 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19878 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19879 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19880 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19881 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19882 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19883 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19884 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19885 = add(_T_19869, _T_19870) @[exu_mul_ctl.scala 137:112] + node _T_19886 = add(_T_19885, _T_19871) @[exu_mul_ctl.scala 137:112] + node _T_19887 = add(_T_19886, _T_19872) @[exu_mul_ctl.scala 137:112] + node _T_19888 = add(_T_19887, _T_19873) @[exu_mul_ctl.scala 137:112] + node _T_19889 = add(_T_19888, _T_19874) @[exu_mul_ctl.scala 137:112] + node _T_19890 = add(_T_19889, _T_19875) @[exu_mul_ctl.scala 137:112] + node _T_19891 = add(_T_19890, _T_19876) @[exu_mul_ctl.scala 137:112] + node _T_19892 = add(_T_19891, _T_19877) @[exu_mul_ctl.scala 137:112] + node _T_19893 = add(_T_19892, _T_19878) @[exu_mul_ctl.scala 137:112] + node _T_19894 = add(_T_19893, _T_19879) @[exu_mul_ctl.scala 137:112] + node _T_19895 = add(_T_19894, _T_19880) @[exu_mul_ctl.scala 137:112] + node _T_19896 = add(_T_19895, _T_19881) @[exu_mul_ctl.scala 137:112] + node _T_19897 = add(_T_19896, _T_19882) @[exu_mul_ctl.scala 137:112] + node _T_19898 = add(_T_19897, _T_19883) @[exu_mul_ctl.scala 137:112] + node _T_19899 = add(_T_19898, _T_19884) @[exu_mul_ctl.scala 137:112] + node _T_19900 = eq(_T_19899, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19901 = bits(_T_19900, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19902 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_19903 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19904 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19905 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19906 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19907 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19908 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19909 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19910 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19911 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19912 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19913 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19914 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19915 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19916 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19917 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19918 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19919 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19920 = add(_T_19903, _T_19904) @[exu_mul_ctl.scala 137:112] + node _T_19921 = add(_T_19920, _T_19905) @[exu_mul_ctl.scala 137:112] + node _T_19922 = add(_T_19921, _T_19906) @[exu_mul_ctl.scala 137:112] + node _T_19923 = add(_T_19922, _T_19907) @[exu_mul_ctl.scala 137:112] + node _T_19924 = add(_T_19923, _T_19908) @[exu_mul_ctl.scala 137:112] + node _T_19925 = add(_T_19924, _T_19909) @[exu_mul_ctl.scala 137:112] + node _T_19926 = add(_T_19925, _T_19910) @[exu_mul_ctl.scala 137:112] + node _T_19927 = add(_T_19926, _T_19911) @[exu_mul_ctl.scala 137:112] + node _T_19928 = add(_T_19927, _T_19912) @[exu_mul_ctl.scala 137:112] + node _T_19929 = add(_T_19928, _T_19913) @[exu_mul_ctl.scala 137:112] + node _T_19930 = add(_T_19929, _T_19914) @[exu_mul_ctl.scala 137:112] + node _T_19931 = add(_T_19930, _T_19915) @[exu_mul_ctl.scala 137:112] + node _T_19932 = add(_T_19931, _T_19916) @[exu_mul_ctl.scala 137:112] + node _T_19933 = add(_T_19932, _T_19917) @[exu_mul_ctl.scala 137:112] + node _T_19934 = add(_T_19933, _T_19918) @[exu_mul_ctl.scala 137:112] + node _T_19935 = add(_T_19934, _T_19919) @[exu_mul_ctl.scala 137:112] + node _T_19936 = eq(_T_19935, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19937 = bits(_T_19936, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19938 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_19939 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19940 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19941 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19942 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19943 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19944 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19945 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19946 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19947 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19948 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19949 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19950 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19951 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19952 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19953 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19954 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19955 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19956 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19957 = add(_T_19939, _T_19940) @[exu_mul_ctl.scala 137:112] + node _T_19958 = add(_T_19957, _T_19941) @[exu_mul_ctl.scala 137:112] + node _T_19959 = add(_T_19958, _T_19942) @[exu_mul_ctl.scala 137:112] + node _T_19960 = add(_T_19959, _T_19943) @[exu_mul_ctl.scala 137:112] + node _T_19961 = add(_T_19960, _T_19944) @[exu_mul_ctl.scala 137:112] + node _T_19962 = add(_T_19961, _T_19945) @[exu_mul_ctl.scala 137:112] + node _T_19963 = add(_T_19962, _T_19946) @[exu_mul_ctl.scala 137:112] + node _T_19964 = add(_T_19963, _T_19947) @[exu_mul_ctl.scala 137:112] + node _T_19965 = add(_T_19964, _T_19948) @[exu_mul_ctl.scala 137:112] + node _T_19966 = add(_T_19965, _T_19949) @[exu_mul_ctl.scala 137:112] + node _T_19967 = add(_T_19966, _T_19950) @[exu_mul_ctl.scala 137:112] + node _T_19968 = add(_T_19967, _T_19951) @[exu_mul_ctl.scala 137:112] + node _T_19969 = add(_T_19968, _T_19952) @[exu_mul_ctl.scala 137:112] + node _T_19970 = add(_T_19969, _T_19953) @[exu_mul_ctl.scala 137:112] + node _T_19971 = add(_T_19970, _T_19954) @[exu_mul_ctl.scala 137:112] + node _T_19972 = add(_T_19971, _T_19955) @[exu_mul_ctl.scala 137:112] + node _T_19973 = add(_T_19972, _T_19956) @[exu_mul_ctl.scala 137:112] + node _T_19974 = eq(_T_19973, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_19975 = bits(_T_19974, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_19976 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_19977 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_19978 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_19979 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_19980 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_19981 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_19982 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_19983 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_19984 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_19985 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_19986 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_19987 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_19988 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_19989 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_19990 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_19991 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_19992 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_19993 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_19994 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_19995 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_19996 = add(_T_19977, _T_19978) @[exu_mul_ctl.scala 137:112] + node _T_19997 = add(_T_19996, _T_19979) @[exu_mul_ctl.scala 137:112] + node _T_19998 = add(_T_19997, _T_19980) @[exu_mul_ctl.scala 137:112] + node _T_19999 = add(_T_19998, _T_19981) @[exu_mul_ctl.scala 137:112] + node _T_20000 = add(_T_19999, _T_19982) @[exu_mul_ctl.scala 137:112] + node _T_20001 = add(_T_20000, _T_19983) @[exu_mul_ctl.scala 137:112] + node _T_20002 = add(_T_20001, _T_19984) @[exu_mul_ctl.scala 137:112] + node _T_20003 = add(_T_20002, _T_19985) @[exu_mul_ctl.scala 137:112] + node _T_20004 = add(_T_20003, _T_19986) @[exu_mul_ctl.scala 137:112] + node _T_20005 = add(_T_20004, _T_19987) @[exu_mul_ctl.scala 137:112] + node _T_20006 = add(_T_20005, _T_19988) @[exu_mul_ctl.scala 137:112] + node _T_20007 = add(_T_20006, _T_19989) @[exu_mul_ctl.scala 137:112] + node _T_20008 = add(_T_20007, _T_19990) @[exu_mul_ctl.scala 137:112] + node _T_20009 = add(_T_20008, _T_19991) @[exu_mul_ctl.scala 137:112] + node _T_20010 = add(_T_20009, _T_19992) @[exu_mul_ctl.scala 137:112] + node _T_20011 = add(_T_20010, _T_19993) @[exu_mul_ctl.scala 137:112] + node _T_20012 = add(_T_20011, _T_19994) @[exu_mul_ctl.scala 137:112] + node _T_20013 = add(_T_20012, _T_19995) @[exu_mul_ctl.scala 137:112] + node _T_20014 = eq(_T_20013, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20015 = bits(_T_20014, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20016 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_20017 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20018 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20019 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20020 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20021 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20022 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20023 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20024 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20025 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20026 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20027 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20028 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20029 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20030 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20031 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20032 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20033 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20034 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20035 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20036 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20037 = add(_T_20017, _T_20018) @[exu_mul_ctl.scala 137:112] + node _T_20038 = add(_T_20037, _T_20019) @[exu_mul_ctl.scala 137:112] + node _T_20039 = add(_T_20038, _T_20020) @[exu_mul_ctl.scala 137:112] + node _T_20040 = add(_T_20039, _T_20021) @[exu_mul_ctl.scala 137:112] + node _T_20041 = add(_T_20040, _T_20022) @[exu_mul_ctl.scala 137:112] + node _T_20042 = add(_T_20041, _T_20023) @[exu_mul_ctl.scala 137:112] + node _T_20043 = add(_T_20042, _T_20024) @[exu_mul_ctl.scala 137:112] + node _T_20044 = add(_T_20043, _T_20025) @[exu_mul_ctl.scala 137:112] + node _T_20045 = add(_T_20044, _T_20026) @[exu_mul_ctl.scala 137:112] + node _T_20046 = add(_T_20045, _T_20027) @[exu_mul_ctl.scala 137:112] + node _T_20047 = add(_T_20046, _T_20028) @[exu_mul_ctl.scala 137:112] + node _T_20048 = add(_T_20047, _T_20029) @[exu_mul_ctl.scala 137:112] + node _T_20049 = add(_T_20048, _T_20030) @[exu_mul_ctl.scala 137:112] + node _T_20050 = add(_T_20049, _T_20031) @[exu_mul_ctl.scala 137:112] + node _T_20051 = add(_T_20050, _T_20032) @[exu_mul_ctl.scala 137:112] + node _T_20052 = add(_T_20051, _T_20033) @[exu_mul_ctl.scala 137:112] + node _T_20053 = add(_T_20052, _T_20034) @[exu_mul_ctl.scala 137:112] + node _T_20054 = add(_T_20053, _T_20035) @[exu_mul_ctl.scala 137:112] + node _T_20055 = add(_T_20054, _T_20036) @[exu_mul_ctl.scala 137:112] + node _T_20056 = eq(_T_20055, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20057 = bits(_T_20056, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20058 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_20059 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20060 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20061 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20062 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20063 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20064 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20065 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20066 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20067 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20068 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20069 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20070 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20071 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20072 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20073 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20074 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20075 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20076 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20077 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20078 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20079 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20080 = add(_T_20059, _T_20060) @[exu_mul_ctl.scala 137:112] + node _T_20081 = add(_T_20080, _T_20061) @[exu_mul_ctl.scala 137:112] + node _T_20082 = add(_T_20081, _T_20062) @[exu_mul_ctl.scala 137:112] + node _T_20083 = add(_T_20082, _T_20063) @[exu_mul_ctl.scala 137:112] + node _T_20084 = add(_T_20083, _T_20064) @[exu_mul_ctl.scala 137:112] + node _T_20085 = add(_T_20084, _T_20065) @[exu_mul_ctl.scala 137:112] + node _T_20086 = add(_T_20085, _T_20066) @[exu_mul_ctl.scala 137:112] + node _T_20087 = add(_T_20086, _T_20067) @[exu_mul_ctl.scala 137:112] + node _T_20088 = add(_T_20087, _T_20068) @[exu_mul_ctl.scala 137:112] + node _T_20089 = add(_T_20088, _T_20069) @[exu_mul_ctl.scala 137:112] + node _T_20090 = add(_T_20089, _T_20070) @[exu_mul_ctl.scala 137:112] + node _T_20091 = add(_T_20090, _T_20071) @[exu_mul_ctl.scala 137:112] + node _T_20092 = add(_T_20091, _T_20072) @[exu_mul_ctl.scala 137:112] + node _T_20093 = add(_T_20092, _T_20073) @[exu_mul_ctl.scala 137:112] + node _T_20094 = add(_T_20093, _T_20074) @[exu_mul_ctl.scala 137:112] + node _T_20095 = add(_T_20094, _T_20075) @[exu_mul_ctl.scala 137:112] + node _T_20096 = add(_T_20095, _T_20076) @[exu_mul_ctl.scala 137:112] + node _T_20097 = add(_T_20096, _T_20077) @[exu_mul_ctl.scala 137:112] + node _T_20098 = add(_T_20097, _T_20078) @[exu_mul_ctl.scala 137:112] + node _T_20099 = add(_T_20098, _T_20079) @[exu_mul_ctl.scala 137:112] + node _T_20100 = eq(_T_20099, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20101 = bits(_T_20100, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20102 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_20103 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20104 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20105 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20106 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20107 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20108 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20109 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20110 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20111 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20112 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20113 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20114 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20115 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20116 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20117 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20118 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20119 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20120 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20121 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20122 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20123 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20124 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20125 = add(_T_20103, _T_20104) @[exu_mul_ctl.scala 137:112] + node _T_20126 = add(_T_20125, _T_20105) @[exu_mul_ctl.scala 137:112] + node _T_20127 = add(_T_20126, _T_20106) @[exu_mul_ctl.scala 137:112] + node _T_20128 = add(_T_20127, _T_20107) @[exu_mul_ctl.scala 137:112] + node _T_20129 = add(_T_20128, _T_20108) @[exu_mul_ctl.scala 137:112] + node _T_20130 = add(_T_20129, _T_20109) @[exu_mul_ctl.scala 137:112] + node _T_20131 = add(_T_20130, _T_20110) @[exu_mul_ctl.scala 137:112] + node _T_20132 = add(_T_20131, _T_20111) @[exu_mul_ctl.scala 137:112] + node _T_20133 = add(_T_20132, _T_20112) @[exu_mul_ctl.scala 137:112] + node _T_20134 = add(_T_20133, _T_20113) @[exu_mul_ctl.scala 137:112] + node _T_20135 = add(_T_20134, _T_20114) @[exu_mul_ctl.scala 137:112] + node _T_20136 = add(_T_20135, _T_20115) @[exu_mul_ctl.scala 137:112] + node _T_20137 = add(_T_20136, _T_20116) @[exu_mul_ctl.scala 137:112] + node _T_20138 = add(_T_20137, _T_20117) @[exu_mul_ctl.scala 137:112] + node _T_20139 = add(_T_20138, _T_20118) @[exu_mul_ctl.scala 137:112] + node _T_20140 = add(_T_20139, _T_20119) @[exu_mul_ctl.scala 137:112] + node _T_20141 = add(_T_20140, _T_20120) @[exu_mul_ctl.scala 137:112] + node _T_20142 = add(_T_20141, _T_20121) @[exu_mul_ctl.scala 137:112] + node _T_20143 = add(_T_20142, _T_20122) @[exu_mul_ctl.scala 137:112] + node _T_20144 = add(_T_20143, _T_20123) @[exu_mul_ctl.scala 137:112] + node _T_20145 = add(_T_20144, _T_20124) @[exu_mul_ctl.scala 137:112] + node _T_20146 = eq(_T_20145, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20147 = bits(_T_20146, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20148 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_20149 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20150 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20151 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20152 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20153 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20154 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20155 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20156 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20157 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20158 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20159 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20160 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20161 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20162 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20163 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20164 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20165 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20166 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20167 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20168 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20169 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20170 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20171 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20172 = add(_T_20149, _T_20150) @[exu_mul_ctl.scala 137:112] + node _T_20173 = add(_T_20172, _T_20151) @[exu_mul_ctl.scala 137:112] + node _T_20174 = add(_T_20173, _T_20152) @[exu_mul_ctl.scala 137:112] + node _T_20175 = add(_T_20174, _T_20153) @[exu_mul_ctl.scala 137:112] + node _T_20176 = add(_T_20175, _T_20154) @[exu_mul_ctl.scala 137:112] + node _T_20177 = add(_T_20176, _T_20155) @[exu_mul_ctl.scala 137:112] + node _T_20178 = add(_T_20177, _T_20156) @[exu_mul_ctl.scala 137:112] + node _T_20179 = add(_T_20178, _T_20157) @[exu_mul_ctl.scala 137:112] + node _T_20180 = add(_T_20179, _T_20158) @[exu_mul_ctl.scala 137:112] + node _T_20181 = add(_T_20180, _T_20159) @[exu_mul_ctl.scala 137:112] + node _T_20182 = add(_T_20181, _T_20160) @[exu_mul_ctl.scala 137:112] + node _T_20183 = add(_T_20182, _T_20161) @[exu_mul_ctl.scala 137:112] + node _T_20184 = add(_T_20183, _T_20162) @[exu_mul_ctl.scala 137:112] + node _T_20185 = add(_T_20184, _T_20163) @[exu_mul_ctl.scala 137:112] + node _T_20186 = add(_T_20185, _T_20164) @[exu_mul_ctl.scala 137:112] + node _T_20187 = add(_T_20186, _T_20165) @[exu_mul_ctl.scala 137:112] + node _T_20188 = add(_T_20187, _T_20166) @[exu_mul_ctl.scala 137:112] + node _T_20189 = add(_T_20188, _T_20167) @[exu_mul_ctl.scala 137:112] + node _T_20190 = add(_T_20189, _T_20168) @[exu_mul_ctl.scala 137:112] + node _T_20191 = add(_T_20190, _T_20169) @[exu_mul_ctl.scala 137:112] + node _T_20192 = add(_T_20191, _T_20170) @[exu_mul_ctl.scala 137:112] + node _T_20193 = add(_T_20192, _T_20171) @[exu_mul_ctl.scala 137:112] + node _T_20194 = eq(_T_20193, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20195 = bits(_T_20194, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20196 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_20197 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20198 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20199 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20200 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20201 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20202 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20203 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20204 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20205 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20206 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20207 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20208 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20209 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20210 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20211 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20212 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20213 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20214 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20215 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20216 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20217 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20218 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20219 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20220 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20221 = add(_T_20197, _T_20198) @[exu_mul_ctl.scala 137:112] + node _T_20222 = add(_T_20221, _T_20199) @[exu_mul_ctl.scala 137:112] + node _T_20223 = add(_T_20222, _T_20200) @[exu_mul_ctl.scala 137:112] + node _T_20224 = add(_T_20223, _T_20201) @[exu_mul_ctl.scala 137:112] + node _T_20225 = add(_T_20224, _T_20202) @[exu_mul_ctl.scala 137:112] + node _T_20226 = add(_T_20225, _T_20203) @[exu_mul_ctl.scala 137:112] + node _T_20227 = add(_T_20226, _T_20204) @[exu_mul_ctl.scala 137:112] + node _T_20228 = add(_T_20227, _T_20205) @[exu_mul_ctl.scala 137:112] + node _T_20229 = add(_T_20228, _T_20206) @[exu_mul_ctl.scala 137:112] + node _T_20230 = add(_T_20229, _T_20207) @[exu_mul_ctl.scala 137:112] + node _T_20231 = add(_T_20230, _T_20208) @[exu_mul_ctl.scala 137:112] + node _T_20232 = add(_T_20231, _T_20209) @[exu_mul_ctl.scala 137:112] + node _T_20233 = add(_T_20232, _T_20210) @[exu_mul_ctl.scala 137:112] + node _T_20234 = add(_T_20233, _T_20211) @[exu_mul_ctl.scala 137:112] + node _T_20235 = add(_T_20234, _T_20212) @[exu_mul_ctl.scala 137:112] + node _T_20236 = add(_T_20235, _T_20213) @[exu_mul_ctl.scala 137:112] + node _T_20237 = add(_T_20236, _T_20214) @[exu_mul_ctl.scala 137:112] + node _T_20238 = add(_T_20237, _T_20215) @[exu_mul_ctl.scala 137:112] + node _T_20239 = add(_T_20238, _T_20216) @[exu_mul_ctl.scala 137:112] + node _T_20240 = add(_T_20239, _T_20217) @[exu_mul_ctl.scala 137:112] + node _T_20241 = add(_T_20240, _T_20218) @[exu_mul_ctl.scala 137:112] + node _T_20242 = add(_T_20241, _T_20219) @[exu_mul_ctl.scala 137:112] + node _T_20243 = add(_T_20242, _T_20220) @[exu_mul_ctl.scala 137:112] + node _T_20244 = eq(_T_20243, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20245 = bits(_T_20244, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20246 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_20247 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20248 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20249 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20250 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20251 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20252 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20253 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20254 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20255 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20256 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20257 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20258 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20259 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20260 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20261 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20262 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20263 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20264 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20265 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20266 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20267 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20268 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20269 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20270 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20271 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20272 = add(_T_20247, _T_20248) @[exu_mul_ctl.scala 137:112] + node _T_20273 = add(_T_20272, _T_20249) @[exu_mul_ctl.scala 137:112] + node _T_20274 = add(_T_20273, _T_20250) @[exu_mul_ctl.scala 137:112] + node _T_20275 = add(_T_20274, _T_20251) @[exu_mul_ctl.scala 137:112] + node _T_20276 = add(_T_20275, _T_20252) @[exu_mul_ctl.scala 137:112] + node _T_20277 = add(_T_20276, _T_20253) @[exu_mul_ctl.scala 137:112] + node _T_20278 = add(_T_20277, _T_20254) @[exu_mul_ctl.scala 137:112] + node _T_20279 = add(_T_20278, _T_20255) @[exu_mul_ctl.scala 137:112] + node _T_20280 = add(_T_20279, _T_20256) @[exu_mul_ctl.scala 137:112] + node _T_20281 = add(_T_20280, _T_20257) @[exu_mul_ctl.scala 137:112] + node _T_20282 = add(_T_20281, _T_20258) @[exu_mul_ctl.scala 137:112] + node _T_20283 = add(_T_20282, _T_20259) @[exu_mul_ctl.scala 137:112] + node _T_20284 = add(_T_20283, _T_20260) @[exu_mul_ctl.scala 137:112] + node _T_20285 = add(_T_20284, _T_20261) @[exu_mul_ctl.scala 137:112] + node _T_20286 = add(_T_20285, _T_20262) @[exu_mul_ctl.scala 137:112] + node _T_20287 = add(_T_20286, _T_20263) @[exu_mul_ctl.scala 137:112] + node _T_20288 = add(_T_20287, _T_20264) @[exu_mul_ctl.scala 137:112] + node _T_20289 = add(_T_20288, _T_20265) @[exu_mul_ctl.scala 137:112] + node _T_20290 = add(_T_20289, _T_20266) @[exu_mul_ctl.scala 137:112] + node _T_20291 = add(_T_20290, _T_20267) @[exu_mul_ctl.scala 137:112] + node _T_20292 = add(_T_20291, _T_20268) @[exu_mul_ctl.scala 137:112] + node _T_20293 = add(_T_20292, _T_20269) @[exu_mul_ctl.scala 137:112] + node _T_20294 = add(_T_20293, _T_20270) @[exu_mul_ctl.scala 137:112] + node _T_20295 = add(_T_20294, _T_20271) @[exu_mul_ctl.scala 137:112] + node _T_20296 = eq(_T_20295, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20297 = bits(_T_20296, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20298 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_20299 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20300 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20301 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20302 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20303 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20304 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20305 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20306 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20307 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20308 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20309 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20310 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20311 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20312 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20313 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20314 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20315 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20316 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20317 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20318 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20319 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20320 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20321 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20322 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20323 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20324 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20325 = add(_T_20299, _T_20300) @[exu_mul_ctl.scala 137:112] + node _T_20326 = add(_T_20325, _T_20301) @[exu_mul_ctl.scala 137:112] + node _T_20327 = add(_T_20326, _T_20302) @[exu_mul_ctl.scala 137:112] + node _T_20328 = add(_T_20327, _T_20303) @[exu_mul_ctl.scala 137:112] + node _T_20329 = add(_T_20328, _T_20304) @[exu_mul_ctl.scala 137:112] + node _T_20330 = add(_T_20329, _T_20305) @[exu_mul_ctl.scala 137:112] + node _T_20331 = add(_T_20330, _T_20306) @[exu_mul_ctl.scala 137:112] + node _T_20332 = add(_T_20331, _T_20307) @[exu_mul_ctl.scala 137:112] + node _T_20333 = add(_T_20332, _T_20308) @[exu_mul_ctl.scala 137:112] + node _T_20334 = add(_T_20333, _T_20309) @[exu_mul_ctl.scala 137:112] + node _T_20335 = add(_T_20334, _T_20310) @[exu_mul_ctl.scala 137:112] + node _T_20336 = add(_T_20335, _T_20311) @[exu_mul_ctl.scala 137:112] + node _T_20337 = add(_T_20336, _T_20312) @[exu_mul_ctl.scala 137:112] + node _T_20338 = add(_T_20337, _T_20313) @[exu_mul_ctl.scala 137:112] + node _T_20339 = add(_T_20338, _T_20314) @[exu_mul_ctl.scala 137:112] + node _T_20340 = add(_T_20339, _T_20315) @[exu_mul_ctl.scala 137:112] + node _T_20341 = add(_T_20340, _T_20316) @[exu_mul_ctl.scala 137:112] + node _T_20342 = add(_T_20341, _T_20317) @[exu_mul_ctl.scala 137:112] + node _T_20343 = add(_T_20342, _T_20318) @[exu_mul_ctl.scala 137:112] + node _T_20344 = add(_T_20343, _T_20319) @[exu_mul_ctl.scala 137:112] + node _T_20345 = add(_T_20344, _T_20320) @[exu_mul_ctl.scala 137:112] + node _T_20346 = add(_T_20345, _T_20321) @[exu_mul_ctl.scala 137:112] + node _T_20347 = add(_T_20346, _T_20322) @[exu_mul_ctl.scala 137:112] + node _T_20348 = add(_T_20347, _T_20323) @[exu_mul_ctl.scala 137:112] + node _T_20349 = add(_T_20348, _T_20324) @[exu_mul_ctl.scala 137:112] + node _T_20350 = eq(_T_20349, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20351 = bits(_T_20350, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20352 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_20353 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20354 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20355 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20356 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20357 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20358 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20359 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20360 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20361 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20362 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20363 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20364 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20365 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20366 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20367 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20368 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20369 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20370 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20371 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20372 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20373 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20374 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20375 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20376 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20377 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20378 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20379 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20380 = add(_T_20353, _T_20354) @[exu_mul_ctl.scala 137:112] + node _T_20381 = add(_T_20380, _T_20355) @[exu_mul_ctl.scala 137:112] + node _T_20382 = add(_T_20381, _T_20356) @[exu_mul_ctl.scala 137:112] + node _T_20383 = add(_T_20382, _T_20357) @[exu_mul_ctl.scala 137:112] + node _T_20384 = add(_T_20383, _T_20358) @[exu_mul_ctl.scala 137:112] + node _T_20385 = add(_T_20384, _T_20359) @[exu_mul_ctl.scala 137:112] + node _T_20386 = add(_T_20385, _T_20360) @[exu_mul_ctl.scala 137:112] + node _T_20387 = add(_T_20386, _T_20361) @[exu_mul_ctl.scala 137:112] + node _T_20388 = add(_T_20387, _T_20362) @[exu_mul_ctl.scala 137:112] + node _T_20389 = add(_T_20388, _T_20363) @[exu_mul_ctl.scala 137:112] + node _T_20390 = add(_T_20389, _T_20364) @[exu_mul_ctl.scala 137:112] + node _T_20391 = add(_T_20390, _T_20365) @[exu_mul_ctl.scala 137:112] + node _T_20392 = add(_T_20391, _T_20366) @[exu_mul_ctl.scala 137:112] + node _T_20393 = add(_T_20392, _T_20367) @[exu_mul_ctl.scala 137:112] + node _T_20394 = add(_T_20393, _T_20368) @[exu_mul_ctl.scala 137:112] + node _T_20395 = add(_T_20394, _T_20369) @[exu_mul_ctl.scala 137:112] + node _T_20396 = add(_T_20395, _T_20370) @[exu_mul_ctl.scala 137:112] + node _T_20397 = add(_T_20396, _T_20371) @[exu_mul_ctl.scala 137:112] + node _T_20398 = add(_T_20397, _T_20372) @[exu_mul_ctl.scala 137:112] + node _T_20399 = add(_T_20398, _T_20373) @[exu_mul_ctl.scala 137:112] + node _T_20400 = add(_T_20399, _T_20374) @[exu_mul_ctl.scala 137:112] + node _T_20401 = add(_T_20400, _T_20375) @[exu_mul_ctl.scala 137:112] + node _T_20402 = add(_T_20401, _T_20376) @[exu_mul_ctl.scala 137:112] + node _T_20403 = add(_T_20402, _T_20377) @[exu_mul_ctl.scala 137:112] + node _T_20404 = add(_T_20403, _T_20378) @[exu_mul_ctl.scala 137:112] + node _T_20405 = add(_T_20404, _T_20379) @[exu_mul_ctl.scala 137:112] + node _T_20406 = eq(_T_20405, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20407 = bits(_T_20406, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20408 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_20409 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20410 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20411 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20412 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20413 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20414 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20415 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20416 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20417 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20418 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20419 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20420 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20421 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20422 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20423 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20424 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20425 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20426 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20427 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20428 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20429 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20430 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20431 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20432 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20433 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20434 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20435 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20436 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_20437 = add(_T_20409, _T_20410) @[exu_mul_ctl.scala 137:112] + node _T_20438 = add(_T_20437, _T_20411) @[exu_mul_ctl.scala 137:112] + node _T_20439 = add(_T_20438, _T_20412) @[exu_mul_ctl.scala 137:112] + node _T_20440 = add(_T_20439, _T_20413) @[exu_mul_ctl.scala 137:112] + node _T_20441 = add(_T_20440, _T_20414) @[exu_mul_ctl.scala 137:112] + node _T_20442 = add(_T_20441, _T_20415) @[exu_mul_ctl.scala 137:112] + node _T_20443 = add(_T_20442, _T_20416) @[exu_mul_ctl.scala 137:112] + node _T_20444 = add(_T_20443, _T_20417) @[exu_mul_ctl.scala 137:112] + node _T_20445 = add(_T_20444, _T_20418) @[exu_mul_ctl.scala 137:112] + node _T_20446 = add(_T_20445, _T_20419) @[exu_mul_ctl.scala 137:112] + node _T_20447 = add(_T_20446, _T_20420) @[exu_mul_ctl.scala 137:112] + node _T_20448 = add(_T_20447, _T_20421) @[exu_mul_ctl.scala 137:112] + node _T_20449 = add(_T_20448, _T_20422) @[exu_mul_ctl.scala 137:112] + node _T_20450 = add(_T_20449, _T_20423) @[exu_mul_ctl.scala 137:112] + node _T_20451 = add(_T_20450, _T_20424) @[exu_mul_ctl.scala 137:112] + node _T_20452 = add(_T_20451, _T_20425) @[exu_mul_ctl.scala 137:112] + node _T_20453 = add(_T_20452, _T_20426) @[exu_mul_ctl.scala 137:112] + node _T_20454 = add(_T_20453, _T_20427) @[exu_mul_ctl.scala 137:112] + node _T_20455 = add(_T_20454, _T_20428) @[exu_mul_ctl.scala 137:112] + node _T_20456 = add(_T_20455, _T_20429) @[exu_mul_ctl.scala 137:112] + node _T_20457 = add(_T_20456, _T_20430) @[exu_mul_ctl.scala 137:112] + node _T_20458 = add(_T_20457, _T_20431) @[exu_mul_ctl.scala 137:112] + node _T_20459 = add(_T_20458, _T_20432) @[exu_mul_ctl.scala 137:112] + node _T_20460 = add(_T_20459, _T_20433) @[exu_mul_ctl.scala 137:112] + node _T_20461 = add(_T_20460, _T_20434) @[exu_mul_ctl.scala 137:112] + node _T_20462 = add(_T_20461, _T_20435) @[exu_mul_ctl.scala 137:112] + node _T_20463 = add(_T_20462, _T_20436) @[exu_mul_ctl.scala 137:112] + node _T_20464 = eq(_T_20463, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20465 = bits(_T_20464, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20466 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_20467 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20468 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20469 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20470 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20471 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20472 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20473 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20474 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20475 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20476 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20477 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20478 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20479 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20480 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20481 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20482 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20483 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20484 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20485 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20486 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20487 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20488 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20489 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20490 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20491 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20492 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20493 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20494 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_20495 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_20496 = add(_T_20467, _T_20468) @[exu_mul_ctl.scala 137:112] + node _T_20497 = add(_T_20496, _T_20469) @[exu_mul_ctl.scala 137:112] + node _T_20498 = add(_T_20497, _T_20470) @[exu_mul_ctl.scala 137:112] + node _T_20499 = add(_T_20498, _T_20471) @[exu_mul_ctl.scala 137:112] + node _T_20500 = add(_T_20499, _T_20472) @[exu_mul_ctl.scala 137:112] + node _T_20501 = add(_T_20500, _T_20473) @[exu_mul_ctl.scala 137:112] + node _T_20502 = add(_T_20501, _T_20474) @[exu_mul_ctl.scala 137:112] + node _T_20503 = add(_T_20502, _T_20475) @[exu_mul_ctl.scala 137:112] + node _T_20504 = add(_T_20503, _T_20476) @[exu_mul_ctl.scala 137:112] + node _T_20505 = add(_T_20504, _T_20477) @[exu_mul_ctl.scala 137:112] + node _T_20506 = add(_T_20505, _T_20478) @[exu_mul_ctl.scala 137:112] + node _T_20507 = add(_T_20506, _T_20479) @[exu_mul_ctl.scala 137:112] + node _T_20508 = add(_T_20507, _T_20480) @[exu_mul_ctl.scala 137:112] + node _T_20509 = add(_T_20508, _T_20481) @[exu_mul_ctl.scala 137:112] + node _T_20510 = add(_T_20509, _T_20482) @[exu_mul_ctl.scala 137:112] + node _T_20511 = add(_T_20510, _T_20483) @[exu_mul_ctl.scala 137:112] + node _T_20512 = add(_T_20511, _T_20484) @[exu_mul_ctl.scala 137:112] + node _T_20513 = add(_T_20512, _T_20485) @[exu_mul_ctl.scala 137:112] + node _T_20514 = add(_T_20513, _T_20486) @[exu_mul_ctl.scala 137:112] + node _T_20515 = add(_T_20514, _T_20487) @[exu_mul_ctl.scala 137:112] + node _T_20516 = add(_T_20515, _T_20488) @[exu_mul_ctl.scala 137:112] + node _T_20517 = add(_T_20516, _T_20489) @[exu_mul_ctl.scala 137:112] + node _T_20518 = add(_T_20517, _T_20490) @[exu_mul_ctl.scala 137:112] + node _T_20519 = add(_T_20518, _T_20491) @[exu_mul_ctl.scala 137:112] + node _T_20520 = add(_T_20519, _T_20492) @[exu_mul_ctl.scala 137:112] + node _T_20521 = add(_T_20520, _T_20493) @[exu_mul_ctl.scala 137:112] + node _T_20522 = add(_T_20521, _T_20494) @[exu_mul_ctl.scala 137:112] + node _T_20523 = add(_T_20522, _T_20495) @[exu_mul_ctl.scala 137:112] + node _T_20524 = eq(_T_20523, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20525 = bits(_T_20524, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20526 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_20527 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20528 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20529 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20530 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20531 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20532 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20533 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20534 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20535 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20536 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20537 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20538 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20539 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20540 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20541 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20542 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20543 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20544 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20545 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20546 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20547 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20548 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20549 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20550 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20551 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20552 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20553 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20554 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_20555 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_20556 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_20557 = add(_T_20527, _T_20528) @[exu_mul_ctl.scala 137:112] + node _T_20558 = add(_T_20557, _T_20529) @[exu_mul_ctl.scala 137:112] + node _T_20559 = add(_T_20558, _T_20530) @[exu_mul_ctl.scala 137:112] + node _T_20560 = add(_T_20559, _T_20531) @[exu_mul_ctl.scala 137:112] + node _T_20561 = add(_T_20560, _T_20532) @[exu_mul_ctl.scala 137:112] + node _T_20562 = add(_T_20561, _T_20533) @[exu_mul_ctl.scala 137:112] + node _T_20563 = add(_T_20562, _T_20534) @[exu_mul_ctl.scala 137:112] + node _T_20564 = add(_T_20563, _T_20535) @[exu_mul_ctl.scala 137:112] + node _T_20565 = add(_T_20564, _T_20536) @[exu_mul_ctl.scala 137:112] + node _T_20566 = add(_T_20565, _T_20537) @[exu_mul_ctl.scala 137:112] + node _T_20567 = add(_T_20566, _T_20538) @[exu_mul_ctl.scala 137:112] + node _T_20568 = add(_T_20567, _T_20539) @[exu_mul_ctl.scala 137:112] + node _T_20569 = add(_T_20568, _T_20540) @[exu_mul_ctl.scala 137:112] + node _T_20570 = add(_T_20569, _T_20541) @[exu_mul_ctl.scala 137:112] + node _T_20571 = add(_T_20570, _T_20542) @[exu_mul_ctl.scala 137:112] + node _T_20572 = add(_T_20571, _T_20543) @[exu_mul_ctl.scala 137:112] + node _T_20573 = add(_T_20572, _T_20544) @[exu_mul_ctl.scala 137:112] + node _T_20574 = add(_T_20573, _T_20545) @[exu_mul_ctl.scala 137:112] + node _T_20575 = add(_T_20574, _T_20546) @[exu_mul_ctl.scala 137:112] + node _T_20576 = add(_T_20575, _T_20547) @[exu_mul_ctl.scala 137:112] + node _T_20577 = add(_T_20576, _T_20548) @[exu_mul_ctl.scala 137:112] + node _T_20578 = add(_T_20577, _T_20549) @[exu_mul_ctl.scala 137:112] + node _T_20579 = add(_T_20578, _T_20550) @[exu_mul_ctl.scala 137:112] + node _T_20580 = add(_T_20579, _T_20551) @[exu_mul_ctl.scala 137:112] + node _T_20581 = add(_T_20580, _T_20552) @[exu_mul_ctl.scala 137:112] + node _T_20582 = add(_T_20581, _T_20553) @[exu_mul_ctl.scala 137:112] + node _T_20583 = add(_T_20582, _T_20554) @[exu_mul_ctl.scala 137:112] + node _T_20584 = add(_T_20583, _T_20555) @[exu_mul_ctl.scala 137:112] + node _T_20585 = add(_T_20584, _T_20556) @[exu_mul_ctl.scala 137:112] + node _T_20586 = eq(_T_20585, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20587 = bits(_T_20586, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20588 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_20589 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20590 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20591 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20592 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20593 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20594 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20595 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20596 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20597 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20598 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20599 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20600 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20601 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20602 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20603 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20604 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20605 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20606 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20607 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20608 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20609 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20610 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20611 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20612 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20613 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20614 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20615 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20616 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_20617 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_20618 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_20619 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_20620 = add(_T_20589, _T_20590) @[exu_mul_ctl.scala 137:112] + node _T_20621 = add(_T_20620, _T_20591) @[exu_mul_ctl.scala 137:112] + node _T_20622 = add(_T_20621, _T_20592) @[exu_mul_ctl.scala 137:112] + node _T_20623 = add(_T_20622, _T_20593) @[exu_mul_ctl.scala 137:112] + node _T_20624 = add(_T_20623, _T_20594) @[exu_mul_ctl.scala 137:112] + node _T_20625 = add(_T_20624, _T_20595) @[exu_mul_ctl.scala 137:112] + node _T_20626 = add(_T_20625, _T_20596) @[exu_mul_ctl.scala 137:112] + node _T_20627 = add(_T_20626, _T_20597) @[exu_mul_ctl.scala 137:112] + node _T_20628 = add(_T_20627, _T_20598) @[exu_mul_ctl.scala 137:112] + node _T_20629 = add(_T_20628, _T_20599) @[exu_mul_ctl.scala 137:112] + node _T_20630 = add(_T_20629, _T_20600) @[exu_mul_ctl.scala 137:112] + node _T_20631 = add(_T_20630, _T_20601) @[exu_mul_ctl.scala 137:112] + node _T_20632 = add(_T_20631, _T_20602) @[exu_mul_ctl.scala 137:112] + node _T_20633 = add(_T_20632, _T_20603) @[exu_mul_ctl.scala 137:112] + node _T_20634 = add(_T_20633, _T_20604) @[exu_mul_ctl.scala 137:112] + node _T_20635 = add(_T_20634, _T_20605) @[exu_mul_ctl.scala 137:112] + node _T_20636 = add(_T_20635, _T_20606) @[exu_mul_ctl.scala 137:112] + node _T_20637 = add(_T_20636, _T_20607) @[exu_mul_ctl.scala 137:112] + node _T_20638 = add(_T_20637, _T_20608) @[exu_mul_ctl.scala 137:112] + node _T_20639 = add(_T_20638, _T_20609) @[exu_mul_ctl.scala 137:112] + node _T_20640 = add(_T_20639, _T_20610) @[exu_mul_ctl.scala 137:112] + node _T_20641 = add(_T_20640, _T_20611) @[exu_mul_ctl.scala 137:112] + node _T_20642 = add(_T_20641, _T_20612) @[exu_mul_ctl.scala 137:112] + node _T_20643 = add(_T_20642, _T_20613) @[exu_mul_ctl.scala 137:112] + node _T_20644 = add(_T_20643, _T_20614) @[exu_mul_ctl.scala 137:112] + node _T_20645 = add(_T_20644, _T_20615) @[exu_mul_ctl.scala 137:112] + node _T_20646 = add(_T_20645, _T_20616) @[exu_mul_ctl.scala 137:112] + node _T_20647 = add(_T_20646, _T_20617) @[exu_mul_ctl.scala 137:112] + node _T_20648 = add(_T_20647, _T_20618) @[exu_mul_ctl.scala 137:112] + node _T_20649 = add(_T_20648, _T_20619) @[exu_mul_ctl.scala 137:112] + node _T_20650 = eq(_T_20649, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20651 = bits(_T_20650, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20652 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_20653 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20654 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20655 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20656 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20657 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20658 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20659 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20660 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20661 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20662 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20663 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20664 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20665 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20666 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20667 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_20668 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_20669 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_20670 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_20671 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_20672 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_20673 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_20674 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_20675 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_20676 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_20677 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_20678 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_20679 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_20680 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_20681 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_20682 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_20683 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_20684 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_20685 = add(_T_20653, _T_20654) @[exu_mul_ctl.scala 137:112] + node _T_20686 = add(_T_20685, _T_20655) @[exu_mul_ctl.scala 137:112] + node _T_20687 = add(_T_20686, _T_20656) @[exu_mul_ctl.scala 137:112] + node _T_20688 = add(_T_20687, _T_20657) @[exu_mul_ctl.scala 137:112] + node _T_20689 = add(_T_20688, _T_20658) @[exu_mul_ctl.scala 137:112] + node _T_20690 = add(_T_20689, _T_20659) @[exu_mul_ctl.scala 137:112] + node _T_20691 = add(_T_20690, _T_20660) @[exu_mul_ctl.scala 137:112] + node _T_20692 = add(_T_20691, _T_20661) @[exu_mul_ctl.scala 137:112] + node _T_20693 = add(_T_20692, _T_20662) @[exu_mul_ctl.scala 137:112] + node _T_20694 = add(_T_20693, _T_20663) @[exu_mul_ctl.scala 137:112] + node _T_20695 = add(_T_20694, _T_20664) @[exu_mul_ctl.scala 137:112] + node _T_20696 = add(_T_20695, _T_20665) @[exu_mul_ctl.scala 137:112] + node _T_20697 = add(_T_20696, _T_20666) @[exu_mul_ctl.scala 137:112] + node _T_20698 = add(_T_20697, _T_20667) @[exu_mul_ctl.scala 137:112] + node _T_20699 = add(_T_20698, _T_20668) @[exu_mul_ctl.scala 137:112] + node _T_20700 = add(_T_20699, _T_20669) @[exu_mul_ctl.scala 137:112] + node _T_20701 = add(_T_20700, _T_20670) @[exu_mul_ctl.scala 137:112] + node _T_20702 = add(_T_20701, _T_20671) @[exu_mul_ctl.scala 137:112] + node _T_20703 = add(_T_20702, _T_20672) @[exu_mul_ctl.scala 137:112] + node _T_20704 = add(_T_20703, _T_20673) @[exu_mul_ctl.scala 137:112] + node _T_20705 = add(_T_20704, _T_20674) @[exu_mul_ctl.scala 137:112] + node _T_20706 = add(_T_20705, _T_20675) @[exu_mul_ctl.scala 137:112] + node _T_20707 = add(_T_20706, _T_20676) @[exu_mul_ctl.scala 137:112] + node _T_20708 = add(_T_20707, _T_20677) @[exu_mul_ctl.scala 137:112] + node _T_20709 = add(_T_20708, _T_20678) @[exu_mul_ctl.scala 137:112] + node _T_20710 = add(_T_20709, _T_20679) @[exu_mul_ctl.scala 137:112] + node _T_20711 = add(_T_20710, _T_20680) @[exu_mul_ctl.scala 137:112] + node _T_20712 = add(_T_20711, _T_20681) @[exu_mul_ctl.scala 137:112] + node _T_20713 = add(_T_20712, _T_20682) @[exu_mul_ctl.scala 137:112] + node _T_20714 = add(_T_20713, _T_20683) @[exu_mul_ctl.scala 137:112] + node _T_20715 = add(_T_20714, _T_20684) @[exu_mul_ctl.scala 137:112] + node _T_20716 = eq(_T_20715, UInt<5>("h012")) @[exu_mul_ctl.scala 138:87] + node _T_20717 = bits(_T_20716, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20718 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_20719 = mux(_T_20717, _T_20718, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_20720 = mux(_T_20651, _T_20652, _T_20719) @[Mux.scala 98:16] + node _T_20721 = mux(_T_20587, _T_20588, _T_20720) @[Mux.scala 98:16] + node _T_20722 = mux(_T_20525, _T_20526, _T_20721) @[Mux.scala 98:16] + node _T_20723 = mux(_T_20465, _T_20466, _T_20722) @[Mux.scala 98:16] + node _T_20724 = mux(_T_20407, _T_20408, _T_20723) @[Mux.scala 98:16] + node _T_20725 = mux(_T_20351, _T_20352, _T_20724) @[Mux.scala 98:16] + node _T_20726 = mux(_T_20297, _T_20298, _T_20725) @[Mux.scala 98:16] + node _T_20727 = mux(_T_20245, _T_20246, _T_20726) @[Mux.scala 98:16] + node _T_20728 = mux(_T_20195, _T_20196, _T_20727) @[Mux.scala 98:16] + node _T_20729 = mux(_T_20147, _T_20148, _T_20728) @[Mux.scala 98:16] + node _T_20730 = mux(_T_20101, _T_20102, _T_20729) @[Mux.scala 98:16] + node _T_20731 = mux(_T_20057, _T_20058, _T_20730) @[Mux.scala 98:16] + node _T_20732 = mux(_T_20015, _T_20016, _T_20731) @[Mux.scala 98:16] + node _T_20733 = mux(_T_19975, _T_19976, _T_20732) @[Mux.scala 98:16] + node _T_20734 = mux(_T_19937, _T_19938, _T_20733) @[Mux.scala 98:16] + node _T_20735 = mux(_T_19901, _T_19902, _T_20734) @[Mux.scala 98:16] + node _T_20736 = mux(_T_19867, _T_19868, _T_20735) @[Mux.scala 98:16] + node _T_20737 = mux(_T_19835, _T_19836, _T_20736) @[Mux.scala 98:16] + node _T_20738 = mux(_T_19805, _T_19806, _T_20737) @[Mux.scala 98:16] + node _T_20739 = mux(_T_19777, _T_19778, _T_20738) @[Mux.scala 98:16] + node _T_20740 = mux(_T_19751, _T_19752, _T_20739) @[Mux.scala 98:16] + node _T_20741 = mux(_T_19727, _T_19728, _T_20740) @[Mux.scala 98:16] + node _T_20742 = mux(_T_19705, _T_19706, _T_20741) @[Mux.scala 98:16] + node _T_20743 = mux(_T_19685, _T_19686, _T_20742) @[Mux.scala 98:16] + node _T_20744 = mux(_T_19667, _T_19668, _T_20743) @[Mux.scala 98:16] + node _T_20745 = mux(_T_19651, _T_19652, _T_20744) @[Mux.scala 98:16] + node _T_20746 = mux(_T_19637, _T_19638, _T_20745) @[Mux.scala 98:16] + node _T_20747 = mux(_T_19625, _T_19626, _T_20746) @[Mux.scala 98:16] + node _T_20748 = mux(_T_19615, _T_19616, _T_20747) @[Mux.scala 98:16] + node _T_20749 = mux(_T_19607, _T_19608, _T_20748) @[Mux.scala 98:16] + node _T_20750 = mux(_T_19601, _T_19602, _T_20749) @[Mux.scala 98:16] + node _T_20751 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_20752 = eq(_T_20751, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20753 = bits(_T_20752, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20754 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_20755 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20756 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20757 = add(_T_20755, _T_20756) @[exu_mul_ctl.scala 137:112] + node _T_20758 = eq(_T_20757, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20759 = bits(_T_20758, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20760 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_20761 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20762 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20763 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20764 = add(_T_20761, _T_20762) @[exu_mul_ctl.scala 137:112] + node _T_20765 = add(_T_20764, _T_20763) @[exu_mul_ctl.scala 137:112] + node _T_20766 = eq(_T_20765, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20767 = bits(_T_20766, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20768 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_20769 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20770 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20771 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20772 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20773 = add(_T_20769, _T_20770) @[exu_mul_ctl.scala 137:112] + node _T_20774 = add(_T_20773, _T_20771) @[exu_mul_ctl.scala 137:112] + node _T_20775 = add(_T_20774, _T_20772) @[exu_mul_ctl.scala 137:112] + node _T_20776 = eq(_T_20775, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20777 = bits(_T_20776, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20778 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_20779 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20780 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20781 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20782 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20783 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20784 = add(_T_20779, _T_20780) @[exu_mul_ctl.scala 137:112] + node _T_20785 = add(_T_20784, _T_20781) @[exu_mul_ctl.scala 137:112] + node _T_20786 = add(_T_20785, _T_20782) @[exu_mul_ctl.scala 137:112] + node _T_20787 = add(_T_20786, _T_20783) @[exu_mul_ctl.scala 137:112] + node _T_20788 = eq(_T_20787, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20789 = bits(_T_20788, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20790 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_20791 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20792 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20793 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20794 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20795 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20796 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20797 = add(_T_20791, _T_20792) @[exu_mul_ctl.scala 137:112] + node _T_20798 = add(_T_20797, _T_20793) @[exu_mul_ctl.scala 137:112] + node _T_20799 = add(_T_20798, _T_20794) @[exu_mul_ctl.scala 137:112] + node _T_20800 = add(_T_20799, _T_20795) @[exu_mul_ctl.scala 137:112] + node _T_20801 = add(_T_20800, _T_20796) @[exu_mul_ctl.scala 137:112] + node _T_20802 = eq(_T_20801, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20803 = bits(_T_20802, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20804 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_20805 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20806 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20807 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20808 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20809 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20810 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20811 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20812 = add(_T_20805, _T_20806) @[exu_mul_ctl.scala 137:112] + node _T_20813 = add(_T_20812, _T_20807) @[exu_mul_ctl.scala 137:112] + node _T_20814 = add(_T_20813, _T_20808) @[exu_mul_ctl.scala 137:112] + node _T_20815 = add(_T_20814, _T_20809) @[exu_mul_ctl.scala 137:112] + node _T_20816 = add(_T_20815, _T_20810) @[exu_mul_ctl.scala 137:112] + node _T_20817 = add(_T_20816, _T_20811) @[exu_mul_ctl.scala 137:112] + node _T_20818 = eq(_T_20817, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20819 = bits(_T_20818, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20820 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_20821 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20822 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20823 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20824 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20825 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20826 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20827 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20828 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20829 = add(_T_20821, _T_20822) @[exu_mul_ctl.scala 137:112] + node _T_20830 = add(_T_20829, _T_20823) @[exu_mul_ctl.scala 137:112] + node _T_20831 = add(_T_20830, _T_20824) @[exu_mul_ctl.scala 137:112] + node _T_20832 = add(_T_20831, _T_20825) @[exu_mul_ctl.scala 137:112] + node _T_20833 = add(_T_20832, _T_20826) @[exu_mul_ctl.scala 137:112] + node _T_20834 = add(_T_20833, _T_20827) @[exu_mul_ctl.scala 137:112] + node _T_20835 = add(_T_20834, _T_20828) @[exu_mul_ctl.scala 137:112] + node _T_20836 = eq(_T_20835, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20837 = bits(_T_20836, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20838 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_20839 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20840 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20841 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20842 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20843 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20844 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20845 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20846 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20847 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20848 = add(_T_20839, _T_20840) @[exu_mul_ctl.scala 137:112] + node _T_20849 = add(_T_20848, _T_20841) @[exu_mul_ctl.scala 137:112] + node _T_20850 = add(_T_20849, _T_20842) @[exu_mul_ctl.scala 137:112] + node _T_20851 = add(_T_20850, _T_20843) @[exu_mul_ctl.scala 137:112] + node _T_20852 = add(_T_20851, _T_20844) @[exu_mul_ctl.scala 137:112] + node _T_20853 = add(_T_20852, _T_20845) @[exu_mul_ctl.scala 137:112] + node _T_20854 = add(_T_20853, _T_20846) @[exu_mul_ctl.scala 137:112] + node _T_20855 = add(_T_20854, _T_20847) @[exu_mul_ctl.scala 137:112] + node _T_20856 = eq(_T_20855, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20857 = bits(_T_20856, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20858 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_20859 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20860 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20861 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20862 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20863 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20864 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20865 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20866 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20867 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20868 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20869 = add(_T_20859, _T_20860) @[exu_mul_ctl.scala 137:112] + node _T_20870 = add(_T_20869, _T_20861) @[exu_mul_ctl.scala 137:112] + node _T_20871 = add(_T_20870, _T_20862) @[exu_mul_ctl.scala 137:112] + node _T_20872 = add(_T_20871, _T_20863) @[exu_mul_ctl.scala 137:112] + node _T_20873 = add(_T_20872, _T_20864) @[exu_mul_ctl.scala 137:112] + node _T_20874 = add(_T_20873, _T_20865) @[exu_mul_ctl.scala 137:112] + node _T_20875 = add(_T_20874, _T_20866) @[exu_mul_ctl.scala 137:112] + node _T_20876 = add(_T_20875, _T_20867) @[exu_mul_ctl.scala 137:112] + node _T_20877 = add(_T_20876, _T_20868) @[exu_mul_ctl.scala 137:112] + node _T_20878 = eq(_T_20877, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20879 = bits(_T_20878, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20880 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_20881 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20882 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20883 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20884 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20885 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20886 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20887 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20888 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20889 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20890 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20891 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20892 = add(_T_20881, _T_20882) @[exu_mul_ctl.scala 137:112] + node _T_20893 = add(_T_20892, _T_20883) @[exu_mul_ctl.scala 137:112] + node _T_20894 = add(_T_20893, _T_20884) @[exu_mul_ctl.scala 137:112] + node _T_20895 = add(_T_20894, _T_20885) @[exu_mul_ctl.scala 137:112] + node _T_20896 = add(_T_20895, _T_20886) @[exu_mul_ctl.scala 137:112] + node _T_20897 = add(_T_20896, _T_20887) @[exu_mul_ctl.scala 137:112] + node _T_20898 = add(_T_20897, _T_20888) @[exu_mul_ctl.scala 137:112] + node _T_20899 = add(_T_20898, _T_20889) @[exu_mul_ctl.scala 137:112] + node _T_20900 = add(_T_20899, _T_20890) @[exu_mul_ctl.scala 137:112] + node _T_20901 = add(_T_20900, _T_20891) @[exu_mul_ctl.scala 137:112] + node _T_20902 = eq(_T_20901, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20903 = bits(_T_20902, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20904 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_20905 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20906 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20907 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20908 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20909 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20910 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20911 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20912 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20913 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20914 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20915 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20916 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20917 = add(_T_20905, _T_20906) @[exu_mul_ctl.scala 137:112] + node _T_20918 = add(_T_20917, _T_20907) @[exu_mul_ctl.scala 137:112] + node _T_20919 = add(_T_20918, _T_20908) @[exu_mul_ctl.scala 137:112] + node _T_20920 = add(_T_20919, _T_20909) @[exu_mul_ctl.scala 137:112] + node _T_20921 = add(_T_20920, _T_20910) @[exu_mul_ctl.scala 137:112] + node _T_20922 = add(_T_20921, _T_20911) @[exu_mul_ctl.scala 137:112] + node _T_20923 = add(_T_20922, _T_20912) @[exu_mul_ctl.scala 137:112] + node _T_20924 = add(_T_20923, _T_20913) @[exu_mul_ctl.scala 137:112] + node _T_20925 = add(_T_20924, _T_20914) @[exu_mul_ctl.scala 137:112] + node _T_20926 = add(_T_20925, _T_20915) @[exu_mul_ctl.scala 137:112] + node _T_20927 = add(_T_20926, _T_20916) @[exu_mul_ctl.scala 137:112] + node _T_20928 = eq(_T_20927, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20929 = bits(_T_20928, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20930 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_20931 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20932 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20933 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20934 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20935 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20936 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20937 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20938 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20939 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20940 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20941 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20942 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20943 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20944 = add(_T_20931, _T_20932) @[exu_mul_ctl.scala 137:112] + node _T_20945 = add(_T_20944, _T_20933) @[exu_mul_ctl.scala 137:112] + node _T_20946 = add(_T_20945, _T_20934) @[exu_mul_ctl.scala 137:112] + node _T_20947 = add(_T_20946, _T_20935) @[exu_mul_ctl.scala 137:112] + node _T_20948 = add(_T_20947, _T_20936) @[exu_mul_ctl.scala 137:112] + node _T_20949 = add(_T_20948, _T_20937) @[exu_mul_ctl.scala 137:112] + node _T_20950 = add(_T_20949, _T_20938) @[exu_mul_ctl.scala 137:112] + node _T_20951 = add(_T_20950, _T_20939) @[exu_mul_ctl.scala 137:112] + node _T_20952 = add(_T_20951, _T_20940) @[exu_mul_ctl.scala 137:112] + node _T_20953 = add(_T_20952, _T_20941) @[exu_mul_ctl.scala 137:112] + node _T_20954 = add(_T_20953, _T_20942) @[exu_mul_ctl.scala 137:112] + node _T_20955 = add(_T_20954, _T_20943) @[exu_mul_ctl.scala 137:112] + node _T_20956 = eq(_T_20955, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20957 = bits(_T_20956, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20958 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_20959 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20960 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20961 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20962 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20963 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20964 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20965 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20966 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20967 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20968 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20969 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_20970 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_20971 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_20972 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_20973 = add(_T_20959, _T_20960) @[exu_mul_ctl.scala 137:112] + node _T_20974 = add(_T_20973, _T_20961) @[exu_mul_ctl.scala 137:112] + node _T_20975 = add(_T_20974, _T_20962) @[exu_mul_ctl.scala 137:112] + node _T_20976 = add(_T_20975, _T_20963) @[exu_mul_ctl.scala 137:112] + node _T_20977 = add(_T_20976, _T_20964) @[exu_mul_ctl.scala 137:112] + node _T_20978 = add(_T_20977, _T_20965) @[exu_mul_ctl.scala 137:112] + node _T_20979 = add(_T_20978, _T_20966) @[exu_mul_ctl.scala 137:112] + node _T_20980 = add(_T_20979, _T_20967) @[exu_mul_ctl.scala 137:112] + node _T_20981 = add(_T_20980, _T_20968) @[exu_mul_ctl.scala 137:112] + node _T_20982 = add(_T_20981, _T_20969) @[exu_mul_ctl.scala 137:112] + node _T_20983 = add(_T_20982, _T_20970) @[exu_mul_ctl.scala 137:112] + node _T_20984 = add(_T_20983, _T_20971) @[exu_mul_ctl.scala 137:112] + node _T_20985 = add(_T_20984, _T_20972) @[exu_mul_ctl.scala 137:112] + node _T_20986 = eq(_T_20985, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_20987 = bits(_T_20986, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_20988 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_20989 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_20990 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_20991 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_20992 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_20993 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_20994 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_20995 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_20996 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_20997 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_20998 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_20999 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21000 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21001 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21002 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21003 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21004 = add(_T_20989, _T_20990) @[exu_mul_ctl.scala 137:112] + node _T_21005 = add(_T_21004, _T_20991) @[exu_mul_ctl.scala 137:112] + node _T_21006 = add(_T_21005, _T_20992) @[exu_mul_ctl.scala 137:112] + node _T_21007 = add(_T_21006, _T_20993) @[exu_mul_ctl.scala 137:112] + node _T_21008 = add(_T_21007, _T_20994) @[exu_mul_ctl.scala 137:112] + node _T_21009 = add(_T_21008, _T_20995) @[exu_mul_ctl.scala 137:112] + node _T_21010 = add(_T_21009, _T_20996) @[exu_mul_ctl.scala 137:112] + node _T_21011 = add(_T_21010, _T_20997) @[exu_mul_ctl.scala 137:112] + node _T_21012 = add(_T_21011, _T_20998) @[exu_mul_ctl.scala 137:112] + node _T_21013 = add(_T_21012, _T_20999) @[exu_mul_ctl.scala 137:112] + node _T_21014 = add(_T_21013, _T_21000) @[exu_mul_ctl.scala 137:112] + node _T_21015 = add(_T_21014, _T_21001) @[exu_mul_ctl.scala 137:112] + node _T_21016 = add(_T_21015, _T_21002) @[exu_mul_ctl.scala 137:112] + node _T_21017 = add(_T_21016, _T_21003) @[exu_mul_ctl.scala 137:112] + node _T_21018 = eq(_T_21017, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21019 = bits(_T_21018, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21020 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_21021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21028 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21030 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21031 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21032 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21033 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21034 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21035 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21036 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21037 = add(_T_21021, _T_21022) @[exu_mul_ctl.scala 137:112] + node _T_21038 = add(_T_21037, _T_21023) @[exu_mul_ctl.scala 137:112] + node _T_21039 = add(_T_21038, _T_21024) @[exu_mul_ctl.scala 137:112] + node _T_21040 = add(_T_21039, _T_21025) @[exu_mul_ctl.scala 137:112] + node _T_21041 = add(_T_21040, _T_21026) @[exu_mul_ctl.scala 137:112] + node _T_21042 = add(_T_21041, _T_21027) @[exu_mul_ctl.scala 137:112] + node _T_21043 = add(_T_21042, _T_21028) @[exu_mul_ctl.scala 137:112] + node _T_21044 = add(_T_21043, _T_21029) @[exu_mul_ctl.scala 137:112] + node _T_21045 = add(_T_21044, _T_21030) @[exu_mul_ctl.scala 137:112] + node _T_21046 = add(_T_21045, _T_21031) @[exu_mul_ctl.scala 137:112] + node _T_21047 = add(_T_21046, _T_21032) @[exu_mul_ctl.scala 137:112] + node _T_21048 = add(_T_21047, _T_21033) @[exu_mul_ctl.scala 137:112] + node _T_21049 = add(_T_21048, _T_21034) @[exu_mul_ctl.scala 137:112] + node _T_21050 = add(_T_21049, _T_21035) @[exu_mul_ctl.scala 137:112] + node _T_21051 = add(_T_21050, _T_21036) @[exu_mul_ctl.scala 137:112] + node _T_21052 = eq(_T_21051, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21053 = bits(_T_21052, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21054 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_21055 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21056 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21057 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21058 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21059 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21060 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21061 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21062 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21063 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21064 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21065 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21066 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21067 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21068 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21069 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21070 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21071 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21072 = add(_T_21055, _T_21056) @[exu_mul_ctl.scala 137:112] + node _T_21073 = add(_T_21072, _T_21057) @[exu_mul_ctl.scala 137:112] + node _T_21074 = add(_T_21073, _T_21058) @[exu_mul_ctl.scala 137:112] + node _T_21075 = add(_T_21074, _T_21059) @[exu_mul_ctl.scala 137:112] + node _T_21076 = add(_T_21075, _T_21060) @[exu_mul_ctl.scala 137:112] + node _T_21077 = add(_T_21076, _T_21061) @[exu_mul_ctl.scala 137:112] + node _T_21078 = add(_T_21077, _T_21062) @[exu_mul_ctl.scala 137:112] + node _T_21079 = add(_T_21078, _T_21063) @[exu_mul_ctl.scala 137:112] + node _T_21080 = add(_T_21079, _T_21064) @[exu_mul_ctl.scala 137:112] + node _T_21081 = add(_T_21080, _T_21065) @[exu_mul_ctl.scala 137:112] + node _T_21082 = add(_T_21081, _T_21066) @[exu_mul_ctl.scala 137:112] + node _T_21083 = add(_T_21082, _T_21067) @[exu_mul_ctl.scala 137:112] + node _T_21084 = add(_T_21083, _T_21068) @[exu_mul_ctl.scala 137:112] + node _T_21085 = add(_T_21084, _T_21069) @[exu_mul_ctl.scala 137:112] + node _T_21086 = add(_T_21085, _T_21070) @[exu_mul_ctl.scala 137:112] + node _T_21087 = add(_T_21086, _T_21071) @[exu_mul_ctl.scala 137:112] + node _T_21088 = eq(_T_21087, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21089 = bits(_T_21088, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21090 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_21091 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21092 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21093 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21094 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21095 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21096 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21097 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21098 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21099 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21100 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21101 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21102 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21103 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21104 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21105 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21106 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21107 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21108 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21109 = add(_T_21091, _T_21092) @[exu_mul_ctl.scala 137:112] + node _T_21110 = add(_T_21109, _T_21093) @[exu_mul_ctl.scala 137:112] + node _T_21111 = add(_T_21110, _T_21094) @[exu_mul_ctl.scala 137:112] + node _T_21112 = add(_T_21111, _T_21095) @[exu_mul_ctl.scala 137:112] + node _T_21113 = add(_T_21112, _T_21096) @[exu_mul_ctl.scala 137:112] + node _T_21114 = add(_T_21113, _T_21097) @[exu_mul_ctl.scala 137:112] + node _T_21115 = add(_T_21114, _T_21098) @[exu_mul_ctl.scala 137:112] + node _T_21116 = add(_T_21115, _T_21099) @[exu_mul_ctl.scala 137:112] + node _T_21117 = add(_T_21116, _T_21100) @[exu_mul_ctl.scala 137:112] + node _T_21118 = add(_T_21117, _T_21101) @[exu_mul_ctl.scala 137:112] + node _T_21119 = add(_T_21118, _T_21102) @[exu_mul_ctl.scala 137:112] + node _T_21120 = add(_T_21119, _T_21103) @[exu_mul_ctl.scala 137:112] + node _T_21121 = add(_T_21120, _T_21104) @[exu_mul_ctl.scala 137:112] + node _T_21122 = add(_T_21121, _T_21105) @[exu_mul_ctl.scala 137:112] + node _T_21123 = add(_T_21122, _T_21106) @[exu_mul_ctl.scala 137:112] + node _T_21124 = add(_T_21123, _T_21107) @[exu_mul_ctl.scala 137:112] + node _T_21125 = add(_T_21124, _T_21108) @[exu_mul_ctl.scala 137:112] + node _T_21126 = eq(_T_21125, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21127 = bits(_T_21126, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21128 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_21129 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21130 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21131 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21132 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21133 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21134 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21135 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21136 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21137 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21138 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21139 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21140 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21141 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21142 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21143 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21144 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21145 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21146 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21147 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21148 = add(_T_21129, _T_21130) @[exu_mul_ctl.scala 137:112] + node _T_21149 = add(_T_21148, _T_21131) @[exu_mul_ctl.scala 137:112] + node _T_21150 = add(_T_21149, _T_21132) @[exu_mul_ctl.scala 137:112] + node _T_21151 = add(_T_21150, _T_21133) @[exu_mul_ctl.scala 137:112] + node _T_21152 = add(_T_21151, _T_21134) @[exu_mul_ctl.scala 137:112] + node _T_21153 = add(_T_21152, _T_21135) @[exu_mul_ctl.scala 137:112] + node _T_21154 = add(_T_21153, _T_21136) @[exu_mul_ctl.scala 137:112] + node _T_21155 = add(_T_21154, _T_21137) @[exu_mul_ctl.scala 137:112] + node _T_21156 = add(_T_21155, _T_21138) @[exu_mul_ctl.scala 137:112] + node _T_21157 = add(_T_21156, _T_21139) @[exu_mul_ctl.scala 137:112] + node _T_21158 = add(_T_21157, _T_21140) @[exu_mul_ctl.scala 137:112] + node _T_21159 = add(_T_21158, _T_21141) @[exu_mul_ctl.scala 137:112] + node _T_21160 = add(_T_21159, _T_21142) @[exu_mul_ctl.scala 137:112] + node _T_21161 = add(_T_21160, _T_21143) @[exu_mul_ctl.scala 137:112] + node _T_21162 = add(_T_21161, _T_21144) @[exu_mul_ctl.scala 137:112] + node _T_21163 = add(_T_21162, _T_21145) @[exu_mul_ctl.scala 137:112] + node _T_21164 = add(_T_21163, _T_21146) @[exu_mul_ctl.scala 137:112] + node _T_21165 = add(_T_21164, _T_21147) @[exu_mul_ctl.scala 137:112] + node _T_21166 = eq(_T_21165, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21167 = bits(_T_21166, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21168 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_21169 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21170 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21171 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21172 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21173 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21174 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21175 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21176 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21177 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21178 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21179 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21180 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21181 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21182 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21183 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21184 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21185 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21186 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21187 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21188 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21189 = add(_T_21169, _T_21170) @[exu_mul_ctl.scala 137:112] + node _T_21190 = add(_T_21189, _T_21171) @[exu_mul_ctl.scala 137:112] + node _T_21191 = add(_T_21190, _T_21172) @[exu_mul_ctl.scala 137:112] + node _T_21192 = add(_T_21191, _T_21173) @[exu_mul_ctl.scala 137:112] + node _T_21193 = add(_T_21192, _T_21174) @[exu_mul_ctl.scala 137:112] + node _T_21194 = add(_T_21193, _T_21175) @[exu_mul_ctl.scala 137:112] + node _T_21195 = add(_T_21194, _T_21176) @[exu_mul_ctl.scala 137:112] + node _T_21196 = add(_T_21195, _T_21177) @[exu_mul_ctl.scala 137:112] + node _T_21197 = add(_T_21196, _T_21178) @[exu_mul_ctl.scala 137:112] + node _T_21198 = add(_T_21197, _T_21179) @[exu_mul_ctl.scala 137:112] + node _T_21199 = add(_T_21198, _T_21180) @[exu_mul_ctl.scala 137:112] + node _T_21200 = add(_T_21199, _T_21181) @[exu_mul_ctl.scala 137:112] + node _T_21201 = add(_T_21200, _T_21182) @[exu_mul_ctl.scala 137:112] + node _T_21202 = add(_T_21201, _T_21183) @[exu_mul_ctl.scala 137:112] + node _T_21203 = add(_T_21202, _T_21184) @[exu_mul_ctl.scala 137:112] + node _T_21204 = add(_T_21203, _T_21185) @[exu_mul_ctl.scala 137:112] + node _T_21205 = add(_T_21204, _T_21186) @[exu_mul_ctl.scala 137:112] + node _T_21206 = add(_T_21205, _T_21187) @[exu_mul_ctl.scala 137:112] + node _T_21207 = add(_T_21206, _T_21188) @[exu_mul_ctl.scala 137:112] + node _T_21208 = eq(_T_21207, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21209 = bits(_T_21208, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21210 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_21211 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21212 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21213 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21214 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21215 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21216 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21217 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21218 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21219 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21220 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21221 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21222 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21223 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21224 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21225 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21226 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21227 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21228 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21229 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21230 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21231 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21232 = add(_T_21211, _T_21212) @[exu_mul_ctl.scala 137:112] + node _T_21233 = add(_T_21232, _T_21213) @[exu_mul_ctl.scala 137:112] + node _T_21234 = add(_T_21233, _T_21214) @[exu_mul_ctl.scala 137:112] + node _T_21235 = add(_T_21234, _T_21215) @[exu_mul_ctl.scala 137:112] + node _T_21236 = add(_T_21235, _T_21216) @[exu_mul_ctl.scala 137:112] + node _T_21237 = add(_T_21236, _T_21217) @[exu_mul_ctl.scala 137:112] + node _T_21238 = add(_T_21237, _T_21218) @[exu_mul_ctl.scala 137:112] + node _T_21239 = add(_T_21238, _T_21219) @[exu_mul_ctl.scala 137:112] + node _T_21240 = add(_T_21239, _T_21220) @[exu_mul_ctl.scala 137:112] + node _T_21241 = add(_T_21240, _T_21221) @[exu_mul_ctl.scala 137:112] + node _T_21242 = add(_T_21241, _T_21222) @[exu_mul_ctl.scala 137:112] + node _T_21243 = add(_T_21242, _T_21223) @[exu_mul_ctl.scala 137:112] + node _T_21244 = add(_T_21243, _T_21224) @[exu_mul_ctl.scala 137:112] + node _T_21245 = add(_T_21244, _T_21225) @[exu_mul_ctl.scala 137:112] + node _T_21246 = add(_T_21245, _T_21226) @[exu_mul_ctl.scala 137:112] + node _T_21247 = add(_T_21246, _T_21227) @[exu_mul_ctl.scala 137:112] + node _T_21248 = add(_T_21247, _T_21228) @[exu_mul_ctl.scala 137:112] + node _T_21249 = add(_T_21248, _T_21229) @[exu_mul_ctl.scala 137:112] + node _T_21250 = add(_T_21249, _T_21230) @[exu_mul_ctl.scala 137:112] + node _T_21251 = add(_T_21250, _T_21231) @[exu_mul_ctl.scala 137:112] + node _T_21252 = eq(_T_21251, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21253 = bits(_T_21252, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21254 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_21255 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21256 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21257 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21258 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21259 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21260 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21261 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21262 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21263 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21264 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21265 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21266 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21267 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21268 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21269 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21270 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21271 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21272 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21273 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21274 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21275 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21276 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21277 = add(_T_21255, _T_21256) @[exu_mul_ctl.scala 137:112] + node _T_21278 = add(_T_21277, _T_21257) @[exu_mul_ctl.scala 137:112] + node _T_21279 = add(_T_21278, _T_21258) @[exu_mul_ctl.scala 137:112] + node _T_21280 = add(_T_21279, _T_21259) @[exu_mul_ctl.scala 137:112] + node _T_21281 = add(_T_21280, _T_21260) @[exu_mul_ctl.scala 137:112] + node _T_21282 = add(_T_21281, _T_21261) @[exu_mul_ctl.scala 137:112] + node _T_21283 = add(_T_21282, _T_21262) @[exu_mul_ctl.scala 137:112] + node _T_21284 = add(_T_21283, _T_21263) @[exu_mul_ctl.scala 137:112] + node _T_21285 = add(_T_21284, _T_21264) @[exu_mul_ctl.scala 137:112] + node _T_21286 = add(_T_21285, _T_21265) @[exu_mul_ctl.scala 137:112] + node _T_21287 = add(_T_21286, _T_21266) @[exu_mul_ctl.scala 137:112] + node _T_21288 = add(_T_21287, _T_21267) @[exu_mul_ctl.scala 137:112] + node _T_21289 = add(_T_21288, _T_21268) @[exu_mul_ctl.scala 137:112] + node _T_21290 = add(_T_21289, _T_21269) @[exu_mul_ctl.scala 137:112] + node _T_21291 = add(_T_21290, _T_21270) @[exu_mul_ctl.scala 137:112] + node _T_21292 = add(_T_21291, _T_21271) @[exu_mul_ctl.scala 137:112] + node _T_21293 = add(_T_21292, _T_21272) @[exu_mul_ctl.scala 137:112] + node _T_21294 = add(_T_21293, _T_21273) @[exu_mul_ctl.scala 137:112] + node _T_21295 = add(_T_21294, _T_21274) @[exu_mul_ctl.scala 137:112] + node _T_21296 = add(_T_21295, _T_21275) @[exu_mul_ctl.scala 137:112] + node _T_21297 = add(_T_21296, _T_21276) @[exu_mul_ctl.scala 137:112] + node _T_21298 = eq(_T_21297, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21299 = bits(_T_21298, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21300 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_21301 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21302 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21303 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21304 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21305 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21306 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21307 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21308 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21309 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21310 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21311 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21312 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21313 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21314 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21315 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21316 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21317 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21318 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21319 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21320 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21321 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21322 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21323 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21324 = add(_T_21301, _T_21302) @[exu_mul_ctl.scala 137:112] + node _T_21325 = add(_T_21324, _T_21303) @[exu_mul_ctl.scala 137:112] + node _T_21326 = add(_T_21325, _T_21304) @[exu_mul_ctl.scala 137:112] + node _T_21327 = add(_T_21326, _T_21305) @[exu_mul_ctl.scala 137:112] + node _T_21328 = add(_T_21327, _T_21306) @[exu_mul_ctl.scala 137:112] + node _T_21329 = add(_T_21328, _T_21307) @[exu_mul_ctl.scala 137:112] + node _T_21330 = add(_T_21329, _T_21308) @[exu_mul_ctl.scala 137:112] + node _T_21331 = add(_T_21330, _T_21309) @[exu_mul_ctl.scala 137:112] + node _T_21332 = add(_T_21331, _T_21310) @[exu_mul_ctl.scala 137:112] + node _T_21333 = add(_T_21332, _T_21311) @[exu_mul_ctl.scala 137:112] + node _T_21334 = add(_T_21333, _T_21312) @[exu_mul_ctl.scala 137:112] + node _T_21335 = add(_T_21334, _T_21313) @[exu_mul_ctl.scala 137:112] + node _T_21336 = add(_T_21335, _T_21314) @[exu_mul_ctl.scala 137:112] + node _T_21337 = add(_T_21336, _T_21315) @[exu_mul_ctl.scala 137:112] + node _T_21338 = add(_T_21337, _T_21316) @[exu_mul_ctl.scala 137:112] + node _T_21339 = add(_T_21338, _T_21317) @[exu_mul_ctl.scala 137:112] + node _T_21340 = add(_T_21339, _T_21318) @[exu_mul_ctl.scala 137:112] + node _T_21341 = add(_T_21340, _T_21319) @[exu_mul_ctl.scala 137:112] + node _T_21342 = add(_T_21341, _T_21320) @[exu_mul_ctl.scala 137:112] + node _T_21343 = add(_T_21342, _T_21321) @[exu_mul_ctl.scala 137:112] + node _T_21344 = add(_T_21343, _T_21322) @[exu_mul_ctl.scala 137:112] + node _T_21345 = add(_T_21344, _T_21323) @[exu_mul_ctl.scala 137:112] + node _T_21346 = eq(_T_21345, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21347 = bits(_T_21346, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21348 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_21349 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21350 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21351 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21352 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21353 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21354 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21355 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21356 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21357 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21358 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21359 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21360 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21361 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21362 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21363 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21364 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21365 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21366 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21367 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21368 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21369 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21370 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21371 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21372 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21373 = add(_T_21349, _T_21350) @[exu_mul_ctl.scala 137:112] + node _T_21374 = add(_T_21373, _T_21351) @[exu_mul_ctl.scala 137:112] + node _T_21375 = add(_T_21374, _T_21352) @[exu_mul_ctl.scala 137:112] + node _T_21376 = add(_T_21375, _T_21353) @[exu_mul_ctl.scala 137:112] + node _T_21377 = add(_T_21376, _T_21354) @[exu_mul_ctl.scala 137:112] + node _T_21378 = add(_T_21377, _T_21355) @[exu_mul_ctl.scala 137:112] + node _T_21379 = add(_T_21378, _T_21356) @[exu_mul_ctl.scala 137:112] + node _T_21380 = add(_T_21379, _T_21357) @[exu_mul_ctl.scala 137:112] + node _T_21381 = add(_T_21380, _T_21358) @[exu_mul_ctl.scala 137:112] + node _T_21382 = add(_T_21381, _T_21359) @[exu_mul_ctl.scala 137:112] + node _T_21383 = add(_T_21382, _T_21360) @[exu_mul_ctl.scala 137:112] + node _T_21384 = add(_T_21383, _T_21361) @[exu_mul_ctl.scala 137:112] + node _T_21385 = add(_T_21384, _T_21362) @[exu_mul_ctl.scala 137:112] + node _T_21386 = add(_T_21385, _T_21363) @[exu_mul_ctl.scala 137:112] + node _T_21387 = add(_T_21386, _T_21364) @[exu_mul_ctl.scala 137:112] + node _T_21388 = add(_T_21387, _T_21365) @[exu_mul_ctl.scala 137:112] + node _T_21389 = add(_T_21388, _T_21366) @[exu_mul_ctl.scala 137:112] + node _T_21390 = add(_T_21389, _T_21367) @[exu_mul_ctl.scala 137:112] + node _T_21391 = add(_T_21390, _T_21368) @[exu_mul_ctl.scala 137:112] + node _T_21392 = add(_T_21391, _T_21369) @[exu_mul_ctl.scala 137:112] + node _T_21393 = add(_T_21392, _T_21370) @[exu_mul_ctl.scala 137:112] + node _T_21394 = add(_T_21393, _T_21371) @[exu_mul_ctl.scala 137:112] + node _T_21395 = add(_T_21394, _T_21372) @[exu_mul_ctl.scala 137:112] + node _T_21396 = eq(_T_21395, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21397 = bits(_T_21396, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21398 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_21399 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21400 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21401 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21402 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21403 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21404 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21405 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21406 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21407 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21408 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21409 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21410 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21411 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21412 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21413 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21414 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21415 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21416 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21417 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21418 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21419 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21420 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21421 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21422 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21423 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21424 = add(_T_21399, _T_21400) @[exu_mul_ctl.scala 137:112] + node _T_21425 = add(_T_21424, _T_21401) @[exu_mul_ctl.scala 137:112] + node _T_21426 = add(_T_21425, _T_21402) @[exu_mul_ctl.scala 137:112] + node _T_21427 = add(_T_21426, _T_21403) @[exu_mul_ctl.scala 137:112] + node _T_21428 = add(_T_21427, _T_21404) @[exu_mul_ctl.scala 137:112] + node _T_21429 = add(_T_21428, _T_21405) @[exu_mul_ctl.scala 137:112] + node _T_21430 = add(_T_21429, _T_21406) @[exu_mul_ctl.scala 137:112] + node _T_21431 = add(_T_21430, _T_21407) @[exu_mul_ctl.scala 137:112] + node _T_21432 = add(_T_21431, _T_21408) @[exu_mul_ctl.scala 137:112] + node _T_21433 = add(_T_21432, _T_21409) @[exu_mul_ctl.scala 137:112] + node _T_21434 = add(_T_21433, _T_21410) @[exu_mul_ctl.scala 137:112] + node _T_21435 = add(_T_21434, _T_21411) @[exu_mul_ctl.scala 137:112] + node _T_21436 = add(_T_21435, _T_21412) @[exu_mul_ctl.scala 137:112] + node _T_21437 = add(_T_21436, _T_21413) @[exu_mul_ctl.scala 137:112] + node _T_21438 = add(_T_21437, _T_21414) @[exu_mul_ctl.scala 137:112] + node _T_21439 = add(_T_21438, _T_21415) @[exu_mul_ctl.scala 137:112] + node _T_21440 = add(_T_21439, _T_21416) @[exu_mul_ctl.scala 137:112] + node _T_21441 = add(_T_21440, _T_21417) @[exu_mul_ctl.scala 137:112] + node _T_21442 = add(_T_21441, _T_21418) @[exu_mul_ctl.scala 137:112] + node _T_21443 = add(_T_21442, _T_21419) @[exu_mul_ctl.scala 137:112] + node _T_21444 = add(_T_21443, _T_21420) @[exu_mul_ctl.scala 137:112] + node _T_21445 = add(_T_21444, _T_21421) @[exu_mul_ctl.scala 137:112] + node _T_21446 = add(_T_21445, _T_21422) @[exu_mul_ctl.scala 137:112] + node _T_21447 = add(_T_21446, _T_21423) @[exu_mul_ctl.scala 137:112] + node _T_21448 = eq(_T_21447, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21449 = bits(_T_21448, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21450 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_21451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21453 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21454 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21455 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21456 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21457 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21458 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21459 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21460 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21461 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21462 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21463 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21464 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21465 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21466 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21467 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21468 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21469 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21470 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21471 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21472 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21473 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21474 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21475 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21476 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21477 = add(_T_21451, _T_21452) @[exu_mul_ctl.scala 137:112] + node _T_21478 = add(_T_21477, _T_21453) @[exu_mul_ctl.scala 137:112] + node _T_21479 = add(_T_21478, _T_21454) @[exu_mul_ctl.scala 137:112] + node _T_21480 = add(_T_21479, _T_21455) @[exu_mul_ctl.scala 137:112] + node _T_21481 = add(_T_21480, _T_21456) @[exu_mul_ctl.scala 137:112] + node _T_21482 = add(_T_21481, _T_21457) @[exu_mul_ctl.scala 137:112] + node _T_21483 = add(_T_21482, _T_21458) @[exu_mul_ctl.scala 137:112] + node _T_21484 = add(_T_21483, _T_21459) @[exu_mul_ctl.scala 137:112] + node _T_21485 = add(_T_21484, _T_21460) @[exu_mul_ctl.scala 137:112] + node _T_21486 = add(_T_21485, _T_21461) @[exu_mul_ctl.scala 137:112] + node _T_21487 = add(_T_21486, _T_21462) @[exu_mul_ctl.scala 137:112] + node _T_21488 = add(_T_21487, _T_21463) @[exu_mul_ctl.scala 137:112] + node _T_21489 = add(_T_21488, _T_21464) @[exu_mul_ctl.scala 137:112] + node _T_21490 = add(_T_21489, _T_21465) @[exu_mul_ctl.scala 137:112] + node _T_21491 = add(_T_21490, _T_21466) @[exu_mul_ctl.scala 137:112] + node _T_21492 = add(_T_21491, _T_21467) @[exu_mul_ctl.scala 137:112] + node _T_21493 = add(_T_21492, _T_21468) @[exu_mul_ctl.scala 137:112] + node _T_21494 = add(_T_21493, _T_21469) @[exu_mul_ctl.scala 137:112] + node _T_21495 = add(_T_21494, _T_21470) @[exu_mul_ctl.scala 137:112] + node _T_21496 = add(_T_21495, _T_21471) @[exu_mul_ctl.scala 137:112] + node _T_21497 = add(_T_21496, _T_21472) @[exu_mul_ctl.scala 137:112] + node _T_21498 = add(_T_21497, _T_21473) @[exu_mul_ctl.scala 137:112] + node _T_21499 = add(_T_21498, _T_21474) @[exu_mul_ctl.scala 137:112] + node _T_21500 = add(_T_21499, _T_21475) @[exu_mul_ctl.scala 137:112] + node _T_21501 = add(_T_21500, _T_21476) @[exu_mul_ctl.scala 137:112] + node _T_21502 = eq(_T_21501, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21503 = bits(_T_21502, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21504 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_21505 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21506 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21507 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21508 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21509 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21510 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21511 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21512 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21513 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21514 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21515 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21516 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21517 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21518 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21519 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21520 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21521 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21522 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21523 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21524 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21525 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21526 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21527 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21528 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21529 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21530 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21531 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21532 = add(_T_21505, _T_21506) @[exu_mul_ctl.scala 137:112] + node _T_21533 = add(_T_21532, _T_21507) @[exu_mul_ctl.scala 137:112] + node _T_21534 = add(_T_21533, _T_21508) @[exu_mul_ctl.scala 137:112] + node _T_21535 = add(_T_21534, _T_21509) @[exu_mul_ctl.scala 137:112] + node _T_21536 = add(_T_21535, _T_21510) @[exu_mul_ctl.scala 137:112] + node _T_21537 = add(_T_21536, _T_21511) @[exu_mul_ctl.scala 137:112] + node _T_21538 = add(_T_21537, _T_21512) @[exu_mul_ctl.scala 137:112] + node _T_21539 = add(_T_21538, _T_21513) @[exu_mul_ctl.scala 137:112] + node _T_21540 = add(_T_21539, _T_21514) @[exu_mul_ctl.scala 137:112] + node _T_21541 = add(_T_21540, _T_21515) @[exu_mul_ctl.scala 137:112] + node _T_21542 = add(_T_21541, _T_21516) @[exu_mul_ctl.scala 137:112] + node _T_21543 = add(_T_21542, _T_21517) @[exu_mul_ctl.scala 137:112] + node _T_21544 = add(_T_21543, _T_21518) @[exu_mul_ctl.scala 137:112] + node _T_21545 = add(_T_21544, _T_21519) @[exu_mul_ctl.scala 137:112] + node _T_21546 = add(_T_21545, _T_21520) @[exu_mul_ctl.scala 137:112] + node _T_21547 = add(_T_21546, _T_21521) @[exu_mul_ctl.scala 137:112] + node _T_21548 = add(_T_21547, _T_21522) @[exu_mul_ctl.scala 137:112] + node _T_21549 = add(_T_21548, _T_21523) @[exu_mul_ctl.scala 137:112] + node _T_21550 = add(_T_21549, _T_21524) @[exu_mul_ctl.scala 137:112] + node _T_21551 = add(_T_21550, _T_21525) @[exu_mul_ctl.scala 137:112] + node _T_21552 = add(_T_21551, _T_21526) @[exu_mul_ctl.scala 137:112] + node _T_21553 = add(_T_21552, _T_21527) @[exu_mul_ctl.scala 137:112] + node _T_21554 = add(_T_21553, _T_21528) @[exu_mul_ctl.scala 137:112] + node _T_21555 = add(_T_21554, _T_21529) @[exu_mul_ctl.scala 137:112] + node _T_21556 = add(_T_21555, _T_21530) @[exu_mul_ctl.scala 137:112] + node _T_21557 = add(_T_21556, _T_21531) @[exu_mul_ctl.scala 137:112] + node _T_21558 = eq(_T_21557, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21559 = bits(_T_21558, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21560 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_21561 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21562 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21563 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21564 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21565 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21566 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21567 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21568 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21569 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21570 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21571 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21572 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21573 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21574 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21575 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21576 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21577 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21578 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21579 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21580 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21581 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21582 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21583 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21584 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21585 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21586 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21587 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21588 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_21589 = add(_T_21561, _T_21562) @[exu_mul_ctl.scala 137:112] + node _T_21590 = add(_T_21589, _T_21563) @[exu_mul_ctl.scala 137:112] + node _T_21591 = add(_T_21590, _T_21564) @[exu_mul_ctl.scala 137:112] + node _T_21592 = add(_T_21591, _T_21565) @[exu_mul_ctl.scala 137:112] + node _T_21593 = add(_T_21592, _T_21566) @[exu_mul_ctl.scala 137:112] + node _T_21594 = add(_T_21593, _T_21567) @[exu_mul_ctl.scala 137:112] + node _T_21595 = add(_T_21594, _T_21568) @[exu_mul_ctl.scala 137:112] + node _T_21596 = add(_T_21595, _T_21569) @[exu_mul_ctl.scala 137:112] + node _T_21597 = add(_T_21596, _T_21570) @[exu_mul_ctl.scala 137:112] + node _T_21598 = add(_T_21597, _T_21571) @[exu_mul_ctl.scala 137:112] + node _T_21599 = add(_T_21598, _T_21572) @[exu_mul_ctl.scala 137:112] + node _T_21600 = add(_T_21599, _T_21573) @[exu_mul_ctl.scala 137:112] + node _T_21601 = add(_T_21600, _T_21574) @[exu_mul_ctl.scala 137:112] + node _T_21602 = add(_T_21601, _T_21575) @[exu_mul_ctl.scala 137:112] + node _T_21603 = add(_T_21602, _T_21576) @[exu_mul_ctl.scala 137:112] + node _T_21604 = add(_T_21603, _T_21577) @[exu_mul_ctl.scala 137:112] + node _T_21605 = add(_T_21604, _T_21578) @[exu_mul_ctl.scala 137:112] + node _T_21606 = add(_T_21605, _T_21579) @[exu_mul_ctl.scala 137:112] + node _T_21607 = add(_T_21606, _T_21580) @[exu_mul_ctl.scala 137:112] + node _T_21608 = add(_T_21607, _T_21581) @[exu_mul_ctl.scala 137:112] + node _T_21609 = add(_T_21608, _T_21582) @[exu_mul_ctl.scala 137:112] + node _T_21610 = add(_T_21609, _T_21583) @[exu_mul_ctl.scala 137:112] + node _T_21611 = add(_T_21610, _T_21584) @[exu_mul_ctl.scala 137:112] + node _T_21612 = add(_T_21611, _T_21585) @[exu_mul_ctl.scala 137:112] + node _T_21613 = add(_T_21612, _T_21586) @[exu_mul_ctl.scala 137:112] + node _T_21614 = add(_T_21613, _T_21587) @[exu_mul_ctl.scala 137:112] + node _T_21615 = add(_T_21614, _T_21588) @[exu_mul_ctl.scala 137:112] + node _T_21616 = eq(_T_21615, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21617 = bits(_T_21616, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21618 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_21619 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21620 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21621 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21622 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21623 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21624 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21625 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21626 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21627 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21628 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21629 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21630 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21631 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21632 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21633 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21634 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21635 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21636 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21637 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21638 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21639 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21640 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21641 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21642 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21643 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21644 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21645 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21646 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_21647 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_21648 = add(_T_21619, _T_21620) @[exu_mul_ctl.scala 137:112] + node _T_21649 = add(_T_21648, _T_21621) @[exu_mul_ctl.scala 137:112] + node _T_21650 = add(_T_21649, _T_21622) @[exu_mul_ctl.scala 137:112] + node _T_21651 = add(_T_21650, _T_21623) @[exu_mul_ctl.scala 137:112] + node _T_21652 = add(_T_21651, _T_21624) @[exu_mul_ctl.scala 137:112] + node _T_21653 = add(_T_21652, _T_21625) @[exu_mul_ctl.scala 137:112] + node _T_21654 = add(_T_21653, _T_21626) @[exu_mul_ctl.scala 137:112] + node _T_21655 = add(_T_21654, _T_21627) @[exu_mul_ctl.scala 137:112] + node _T_21656 = add(_T_21655, _T_21628) @[exu_mul_ctl.scala 137:112] + node _T_21657 = add(_T_21656, _T_21629) @[exu_mul_ctl.scala 137:112] + node _T_21658 = add(_T_21657, _T_21630) @[exu_mul_ctl.scala 137:112] + node _T_21659 = add(_T_21658, _T_21631) @[exu_mul_ctl.scala 137:112] + node _T_21660 = add(_T_21659, _T_21632) @[exu_mul_ctl.scala 137:112] + node _T_21661 = add(_T_21660, _T_21633) @[exu_mul_ctl.scala 137:112] + node _T_21662 = add(_T_21661, _T_21634) @[exu_mul_ctl.scala 137:112] + node _T_21663 = add(_T_21662, _T_21635) @[exu_mul_ctl.scala 137:112] + node _T_21664 = add(_T_21663, _T_21636) @[exu_mul_ctl.scala 137:112] + node _T_21665 = add(_T_21664, _T_21637) @[exu_mul_ctl.scala 137:112] + node _T_21666 = add(_T_21665, _T_21638) @[exu_mul_ctl.scala 137:112] + node _T_21667 = add(_T_21666, _T_21639) @[exu_mul_ctl.scala 137:112] + node _T_21668 = add(_T_21667, _T_21640) @[exu_mul_ctl.scala 137:112] + node _T_21669 = add(_T_21668, _T_21641) @[exu_mul_ctl.scala 137:112] + node _T_21670 = add(_T_21669, _T_21642) @[exu_mul_ctl.scala 137:112] + node _T_21671 = add(_T_21670, _T_21643) @[exu_mul_ctl.scala 137:112] + node _T_21672 = add(_T_21671, _T_21644) @[exu_mul_ctl.scala 137:112] + node _T_21673 = add(_T_21672, _T_21645) @[exu_mul_ctl.scala 137:112] + node _T_21674 = add(_T_21673, _T_21646) @[exu_mul_ctl.scala 137:112] + node _T_21675 = add(_T_21674, _T_21647) @[exu_mul_ctl.scala 137:112] + node _T_21676 = eq(_T_21675, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21677 = bits(_T_21676, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21678 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_21679 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21680 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21681 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21682 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21683 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21684 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21685 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21686 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21687 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21688 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21689 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21690 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21691 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21692 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21693 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21694 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21695 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21696 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21697 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21698 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21699 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21700 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21701 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21702 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21703 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21704 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21705 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21706 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_21707 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_21708 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_21709 = add(_T_21679, _T_21680) @[exu_mul_ctl.scala 137:112] + node _T_21710 = add(_T_21709, _T_21681) @[exu_mul_ctl.scala 137:112] + node _T_21711 = add(_T_21710, _T_21682) @[exu_mul_ctl.scala 137:112] + node _T_21712 = add(_T_21711, _T_21683) @[exu_mul_ctl.scala 137:112] + node _T_21713 = add(_T_21712, _T_21684) @[exu_mul_ctl.scala 137:112] + node _T_21714 = add(_T_21713, _T_21685) @[exu_mul_ctl.scala 137:112] + node _T_21715 = add(_T_21714, _T_21686) @[exu_mul_ctl.scala 137:112] + node _T_21716 = add(_T_21715, _T_21687) @[exu_mul_ctl.scala 137:112] + node _T_21717 = add(_T_21716, _T_21688) @[exu_mul_ctl.scala 137:112] + node _T_21718 = add(_T_21717, _T_21689) @[exu_mul_ctl.scala 137:112] + node _T_21719 = add(_T_21718, _T_21690) @[exu_mul_ctl.scala 137:112] + node _T_21720 = add(_T_21719, _T_21691) @[exu_mul_ctl.scala 137:112] + node _T_21721 = add(_T_21720, _T_21692) @[exu_mul_ctl.scala 137:112] + node _T_21722 = add(_T_21721, _T_21693) @[exu_mul_ctl.scala 137:112] + node _T_21723 = add(_T_21722, _T_21694) @[exu_mul_ctl.scala 137:112] + node _T_21724 = add(_T_21723, _T_21695) @[exu_mul_ctl.scala 137:112] + node _T_21725 = add(_T_21724, _T_21696) @[exu_mul_ctl.scala 137:112] + node _T_21726 = add(_T_21725, _T_21697) @[exu_mul_ctl.scala 137:112] + node _T_21727 = add(_T_21726, _T_21698) @[exu_mul_ctl.scala 137:112] + node _T_21728 = add(_T_21727, _T_21699) @[exu_mul_ctl.scala 137:112] + node _T_21729 = add(_T_21728, _T_21700) @[exu_mul_ctl.scala 137:112] + node _T_21730 = add(_T_21729, _T_21701) @[exu_mul_ctl.scala 137:112] + node _T_21731 = add(_T_21730, _T_21702) @[exu_mul_ctl.scala 137:112] + node _T_21732 = add(_T_21731, _T_21703) @[exu_mul_ctl.scala 137:112] + node _T_21733 = add(_T_21732, _T_21704) @[exu_mul_ctl.scala 137:112] + node _T_21734 = add(_T_21733, _T_21705) @[exu_mul_ctl.scala 137:112] + node _T_21735 = add(_T_21734, _T_21706) @[exu_mul_ctl.scala 137:112] + node _T_21736 = add(_T_21735, _T_21707) @[exu_mul_ctl.scala 137:112] + node _T_21737 = add(_T_21736, _T_21708) @[exu_mul_ctl.scala 137:112] + node _T_21738 = eq(_T_21737, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21739 = bits(_T_21738, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21740 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_21741 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21742 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21743 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21744 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21745 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21746 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21747 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21748 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21749 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21750 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21751 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21752 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21753 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21754 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21755 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21756 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21757 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21758 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21759 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21760 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21761 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21762 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21763 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21764 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21765 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21766 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21767 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21768 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_21769 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_21770 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_21771 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_21772 = add(_T_21741, _T_21742) @[exu_mul_ctl.scala 137:112] + node _T_21773 = add(_T_21772, _T_21743) @[exu_mul_ctl.scala 137:112] + node _T_21774 = add(_T_21773, _T_21744) @[exu_mul_ctl.scala 137:112] + node _T_21775 = add(_T_21774, _T_21745) @[exu_mul_ctl.scala 137:112] + node _T_21776 = add(_T_21775, _T_21746) @[exu_mul_ctl.scala 137:112] + node _T_21777 = add(_T_21776, _T_21747) @[exu_mul_ctl.scala 137:112] + node _T_21778 = add(_T_21777, _T_21748) @[exu_mul_ctl.scala 137:112] + node _T_21779 = add(_T_21778, _T_21749) @[exu_mul_ctl.scala 137:112] + node _T_21780 = add(_T_21779, _T_21750) @[exu_mul_ctl.scala 137:112] + node _T_21781 = add(_T_21780, _T_21751) @[exu_mul_ctl.scala 137:112] + node _T_21782 = add(_T_21781, _T_21752) @[exu_mul_ctl.scala 137:112] + node _T_21783 = add(_T_21782, _T_21753) @[exu_mul_ctl.scala 137:112] + node _T_21784 = add(_T_21783, _T_21754) @[exu_mul_ctl.scala 137:112] + node _T_21785 = add(_T_21784, _T_21755) @[exu_mul_ctl.scala 137:112] + node _T_21786 = add(_T_21785, _T_21756) @[exu_mul_ctl.scala 137:112] + node _T_21787 = add(_T_21786, _T_21757) @[exu_mul_ctl.scala 137:112] + node _T_21788 = add(_T_21787, _T_21758) @[exu_mul_ctl.scala 137:112] + node _T_21789 = add(_T_21788, _T_21759) @[exu_mul_ctl.scala 137:112] + node _T_21790 = add(_T_21789, _T_21760) @[exu_mul_ctl.scala 137:112] + node _T_21791 = add(_T_21790, _T_21761) @[exu_mul_ctl.scala 137:112] + node _T_21792 = add(_T_21791, _T_21762) @[exu_mul_ctl.scala 137:112] + node _T_21793 = add(_T_21792, _T_21763) @[exu_mul_ctl.scala 137:112] + node _T_21794 = add(_T_21793, _T_21764) @[exu_mul_ctl.scala 137:112] + node _T_21795 = add(_T_21794, _T_21765) @[exu_mul_ctl.scala 137:112] + node _T_21796 = add(_T_21795, _T_21766) @[exu_mul_ctl.scala 137:112] + node _T_21797 = add(_T_21796, _T_21767) @[exu_mul_ctl.scala 137:112] + node _T_21798 = add(_T_21797, _T_21768) @[exu_mul_ctl.scala 137:112] + node _T_21799 = add(_T_21798, _T_21769) @[exu_mul_ctl.scala 137:112] + node _T_21800 = add(_T_21799, _T_21770) @[exu_mul_ctl.scala 137:112] + node _T_21801 = add(_T_21800, _T_21771) @[exu_mul_ctl.scala 137:112] + node _T_21802 = eq(_T_21801, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21803 = bits(_T_21802, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21804 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_21805 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21806 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21807 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21808 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21809 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21810 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21811 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21812 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21813 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_21814 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_21815 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_21816 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_21817 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_21818 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_21819 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_21820 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_21821 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_21822 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_21823 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_21824 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_21825 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_21826 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_21827 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_21828 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_21829 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_21830 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_21831 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_21832 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_21833 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_21834 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_21835 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_21836 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_21837 = add(_T_21805, _T_21806) @[exu_mul_ctl.scala 137:112] + node _T_21838 = add(_T_21837, _T_21807) @[exu_mul_ctl.scala 137:112] + node _T_21839 = add(_T_21838, _T_21808) @[exu_mul_ctl.scala 137:112] + node _T_21840 = add(_T_21839, _T_21809) @[exu_mul_ctl.scala 137:112] + node _T_21841 = add(_T_21840, _T_21810) @[exu_mul_ctl.scala 137:112] + node _T_21842 = add(_T_21841, _T_21811) @[exu_mul_ctl.scala 137:112] + node _T_21843 = add(_T_21842, _T_21812) @[exu_mul_ctl.scala 137:112] + node _T_21844 = add(_T_21843, _T_21813) @[exu_mul_ctl.scala 137:112] + node _T_21845 = add(_T_21844, _T_21814) @[exu_mul_ctl.scala 137:112] + node _T_21846 = add(_T_21845, _T_21815) @[exu_mul_ctl.scala 137:112] + node _T_21847 = add(_T_21846, _T_21816) @[exu_mul_ctl.scala 137:112] + node _T_21848 = add(_T_21847, _T_21817) @[exu_mul_ctl.scala 137:112] + node _T_21849 = add(_T_21848, _T_21818) @[exu_mul_ctl.scala 137:112] + node _T_21850 = add(_T_21849, _T_21819) @[exu_mul_ctl.scala 137:112] + node _T_21851 = add(_T_21850, _T_21820) @[exu_mul_ctl.scala 137:112] + node _T_21852 = add(_T_21851, _T_21821) @[exu_mul_ctl.scala 137:112] + node _T_21853 = add(_T_21852, _T_21822) @[exu_mul_ctl.scala 137:112] + node _T_21854 = add(_T_21853, _T_21823) @[exu_mul_ctl.scala 137:112] + node _T_21855 = add(_T_21854, _T_21824) @[exu_mul_ctl.scala 137:112] + node _T_21856 = add(_T_21855, _T_21825) @[exu_mul_ctl.scala 137:112] + node _T_21857 = add(_T_21856, _T_21826) @[exu_mul_ctl.scala 137:112] + node _T_21858 = add(_T_21857, _T_21827) @[exu_mul_ctl.scala 137:112] + node _T_21859 = add(_T_21858, _T_21828) @[exu_mul_ctl.scala 137:112] + node _T_21860 = add(_T_21859, _T_21829) @[exu_mul_ctl.scala 137:112] + node _T_21861 = add(_T_21860, _T_21830) @[exu_mul_ctl.scala 137:112] + node _T_21862 = add(_T_21861, _T_21831) @[exu_mul_ctl.scala 137:112] + node _T_21863 = add(_T_21862, _T_21832) @[exu_mul_ctl.scala 137:112] + node _T_21864 = add(_T_21863, _T_21833) @[exu_mul_ctl.scala 137:112] + node _T_21865 = add(_T_21864, _T_21834) @[exu_mul_ctl.scala 137:112] + node _T_21866 = add(_T_21865, _T_21835) @[exu_mul_ctl.scala 137:112] + node _T_21867 = add(_T_21866, _T_21836) @[exu_mul_ctl.scala 137:112] + node _T_21868 = eq(_T_21867, UInt<5>("h013")) @[exu_mul_ctl.scala 138:87] + node _T_21869 = bits(_T_21868, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21870 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_21871 = mux(_T_21869, _T_21870, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_21872 = mux(_T_21803, _T_21804, _T_21871) @[Mux.scala 98:16] + node _T_21873 = mux(_T_21739, _T_21740, _T_21872) @[Mux.scala 98:16] + node _T_21874 = mux(_T_21677, _T_21678, _T_21873) @[Mux.scala 98:16] + node _T_21875 = mux(_T_21617, _T_21618, _T_21874) @[Mux.scala 98:16] + node _T_21876 = mux(_T_21559, _T_21560, _T_21875) @[Mux.scala 98:16] + node _T_21877 = mux(_T_21503, _T_21504, _T_21876) @[Mux.scala 98:16] + node _T_21878 = mux(_T_21449, _T_21450, _T_21877) @[Mux.scala 98:16] + node _T_21879 = mux(_T_21397, _T_21398, _T_21878) @[Mux.scala 98:16] + node _T_21880 = mux(_T_21347, _T_21348, _T_21879) @[Mux.scala 98:16] + node _T_21881 = mux(_T_21299, _T_21300, _T_21880) @[Mux.scala 98:16] + node _T_21882 = mux(_T_21253, _T_21254, _T_21881) @[Mux.scala 98:16] + node _T_21883 = mux(_T_21209, _T_21210, _T_21882) @[Mux.scala 98:16] + node _T_21884 = mux(_T_21167, _T_21168, _T_21883) @[Mux.scala 98:16] + node _T_21885 = mux(_T_21127, _T_21128, _T_21884) @[Mux.scala 98:16] + node _T_21886 = mux(_T_21089, _T_21090, _T_21885) @[Mux.scala 98:16] + node _T_21887 = mux(_T_21053, _T_21054, _T_21886) @[Mux.scala 98:16] + node _T_21888 = mux(_T_21019, _T_21020, _T_21887) @[Mux.scala 98:16] + node _T_21889 = mux(_T_20987, _T_20988, _T_21888) @[Mux.scala 98:16] + node _T_21890 = mux(_T_20957, _T_20958, _T_21889) @[Mux.scala 98:16] + node _T_21891 = mux(_T_20929, _T_20930, _T_21890) @[Mux.scala 98:16] + node _T_21892 = mux(_T_20903, _T_20904, _T_21891) @[Mux.scala 98:16] + node _T_21893 = mux(_T_20879, _T_20880, _T_21892) @[Mux.scala 98:16] + node _T_21894 = mux(_T_20857, _T_20858, _T_21893) @[Mux.scala 98:16] + node _T_21895 = mux(_T_20837, _T_20838, _T_21894) @[Mux.scala 98:16] + node _T_21896 = mux(_T_20819, _T_20820, _T_21895) @[Mux.scala 98:16] + node _T_21897 = mux(_T_20803, _T_20804, _T_21896) @[Mux.scala 98:16] + node _T_21898 = mux(_T_20789, _T_20790, _T_21897) @[Mux.scala 98:16] + node _T_21899 = mux(_T_20777, _T_20778, _T_21898) @[Mux.scala 98:16] + node _T_21900 = mux(_T_20767, _T_20768, _T_21899) @[Mux.scala 98:16] + node _T_21901 = mux(_T_20759, _T_20760, _T_21900) @[Mux.scala 98:16] + node _T_21902 = mux(_T_20753, _T_20754, _T_21901) @[Mux.scala 98:16] + node _T_21903 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_21904 = eq(_T_21903, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21905 = bits(_T_21904, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21906 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_21907 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21908 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21909 = add(_T_21907, _T_21908) @[exu_mul_ctl.scala 137:112] + node _T_21910 = eq(_T_21909, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21911 = bits(_T_21910, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21912 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_21913 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21914 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21915 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21916 = add(_T_21913, _T_21914) @[exu_mul_ctl.scala 137:112] + node _T_21917 = add(_T_21916, _T_21915) @[exu_mul_ctl.scala 137:112] + node _T_21918 = eq(_T_21917, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21919 = bits(_T_21918, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21920 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_21921 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21922 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21923 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21924 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21925 = add(_T_21921, _T_21922) @[exu_mul_ctl.scala 137:112] + node _T_21926 = add(_T_21925, _T_21923) @[exu_mul_ctl.scala 137:112] + node _T_21927 = add(_T_21926, _T_21924) @[exu_mul_ctl.scala 137:112] + node _T_21928 = eq(_T_21927, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21929 = bits(_T_21928, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21930 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_21931 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21932 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21933 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21934 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21935 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21936 = add(_T_21931, _T_21932) @[exu_mul_ctl.scala 137:112] + node _T_21937 = add(_T_21936, _T_21933) @[exu_mul_ctl.scala 137:112] + node _T_21938 = add(_T_21937, _T_21934) @[exu_mul_ctl.scala 137:112] + node _T_21939 = add(_T_21938, _T_21935) @[exu_mul_ctl.scala 137:112] + node _T_21940 = eq(_T_21939, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21941 = bits(_T_21940, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21942 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_21943 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21944 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21945 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21946 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21947 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21948 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21949 = add(_T_21943, _T_21944) @[exu_mul_ctl.scala 137:112] + node _T_21950 = add(_T_21949, _T_21945) @[exu_mul_ctl.scala 137:112] + node _T_21951 = add(_T_21950, _T_21946) @[exu_mul_ctl.scala 137:112] + node _T_21952 = add(_T_21951, _T_21947) @[exu_mul_ctl.scala 137:112] + node _T_21953 = add(_T_21952, _T_21948) @[exu_mul_ctl.scala 137:112] + node _T_21954 = eq(_T_21953, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21955 = bits(_T_21954, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21956 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_21957 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21958 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21959 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21960 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21961 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21962 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21963 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21964 = add(_T_21957, _T_21958) @[exu_mul_ctl.scala 137:112] + node _T_21965 = add(_T_21964, _T_21959) @[exu_mul_ctl.scala 137:112] + node _T_21966 = add(_T_21965, _T_21960) @[exu_mul_ctl.scala 137:112] + node _T_21967 = add(_T_21966, _T_21961) @[exu_mul_ctl.scala 137:112] + node _T_21968 = add(_T_21967, _T_21962) @[exu_mul_ctl.scala 137:112] + node _T_21969 = add(_T_21968, _T_21963) @[exu_mul_ctl.scala 137:112] + node _T_21970 = eq(_T_21969, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21971 = bits(_T_21970, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21972 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_21973 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21974 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21975 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21976 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21977 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21978 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21979 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21980 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21981 = add(_T_21973, _T_21974) @[exu_mul_ctl.scala 137:112] + node _T_21982 = add(_T_21981, _T_21975) @[exu_mul_ctl.scala 137:112] + node _T_21983 = add(_T_21982, _T_21976) @[exu_mul_ctl.scala 137:112] + node _T_21984 = add(_T_21983, _T_21977) @[exu_mul_ctl.scala 137:112] + node _T_21985 = add(_T_21984, _T_21978) @[exu_mul_ctl.scala 137:112] + node _T_21986 = add(_T_21985, _T_21979) @[exu_mul_ctl.scala 137:112] + node _T_21987 = add(_T_21986, _T_21980) @[exu_mul_ctl.scala 137:112] + node _T_21988 = eq(_T_21987, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_21989 = bits(_T_21988, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_21990 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_21991 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_21992 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_21993 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_21994 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_21995 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_21996 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_21997 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_21998 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_21999 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22000 = add(_T_21991, _T_21992) @[exu_mul_ctl.scala 137:112] + node _T_22001 = add(_T_22000, _T_21993) @[exu_mul_ctl.scala 137:112] + node _T_22002 = add(_T_22001, _T_21994) @[exu_mul_ctl.scala 137:112] + node _T_22003 = add(_T_22002, _T_21995) @[exu_mul_ctl.scala 137:112] + node _T_22004 = add(_T_22003, _T_21996) @[exu_mul_ctl.scala 137:112] + node _T_22005 = add(_T_22004, _T_21997) @[exu_mul_ctl.scala 137:112] + node _T_22006 = add(_T_22005, _T_21998) @[exu_mul_ctl.scala 137:112] + node _T_22007 = add(_T_22006, _T_21999) @[exu_mul_ctl.scala 137:112] + node _T_22008 = eq(_T_22007, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22009 = bits(_T_22008, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22010 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_22011 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22012 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22013 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22014 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22015 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22016 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22017 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22018 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22019 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22020 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22021 = add(_T_22011, _T_22012) @[exu_mul_ctl.scala 137:112] + node _T_22022 = add(_T_22021, _T_22013) @[exu_mul_ctl.scala 137:112] + node _T_22023 = add(_T_22022, _T_22014) @[exu_mul_ctl.scala 137:112] + node _T_22024 = add(_T_22023, _T_22015) @[exu_mul_ctl.scala 137:112] + node _T_22025 = add(_T_22024, _T_22016) @[exu_mul_ctl.scala 137:112] + node _T_22026 = add(_T_22025, _T_22017) @[exu_mul_ctl.scala 137:112] + node _T_22027 = add(_T_22026, _T_22018) @[exu_mul_ctl.scala 137:112] + node _T_22028 = add(_T_22027, _T_22019) @[exu_mul_ctl.scala 137:112] + node _T_22029 = add(_T_22028, _T_22020) @[exu_mul_ctl.scala 137:112] + node _T_22030 = eq(_T_22029, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22031 = bits(_T_22030, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22032 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_22033 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22034 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22035 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22036 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22037 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22038 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22039 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22040 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22041 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22042 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22043 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22044 = add(_T_22033, _T_22034) @[exu_mul_ctl.scala 137:112] + node _T_22045 = add(_T_22044, _T_22035) @[exu_mul_ctl.scala 137:112] + node _T_22046 = add(_T_22045, _T_22036) @[exu_mul_ctl.scala 137:112] + node _T_22047 = add(_T_22046, _T_22037) @[exu_mul_ctl.scala 137:112] + node _T_22048 = add(_T_22047, _T_22038) @[exu_mul_ctl.scala 137:112] + node _T_22049 = add(_T_22048, _T_22039) @[exu_mul_ctl.scala 137:112] + node _T_22050 = add(_T_22049, _T_22040) @[exu_mul_ctl.scala 137:112] + node _T_22051 = add(_T_22050, _T_22041) @[exu_mul_ctl.scala 137:112] + node _T_22052 = add(_T_22051, _T_22042) @[exu_mul_ctl.scala 137:112] + node _T_22053 = add(_T_22052, _T_22043) @[exu_mul_ctl.scala 137:112] + node _T_22054 = eq(_T_22053, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22055 = bits(_T_22054, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22056 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_22057 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22058 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22059 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22060 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22061 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22062 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22063 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22064 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22065 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22066 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22067 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22068 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22069 = add(_T_22057, _T_22058) @[exu_mul_ctl.scala 137:112] + node _T_22070 = add(_T_22069, _T_22059) @[exu_mul_ctl.scala 137:112] + node _T_22071 = add(_T_22070, _T_22060) @[exu_mul_ctl.scala 137:112] + node _T_22072 = add(_T_22071, _T_22061) @[exu_mul_ctl.scala 137:112] + node _T_22073 = add(_T_22072, _T_22062) @[exu_mul_ctl.scala 137:112] + node _T_22074 = add(_T_22073, _T_22063) @[exu_mul_ctl.scala 137:112] + node _T_22075 = add(_T_22074, _T_22064) @[exu_mul_ctl.scala 137:112] + node _T_22076 = add(_T_22075, _T_22065) @[exu_mul_ctl.scala 137:112] + node _T_22077 = add(_T_22076, _T_22066) @[exu_mul_ctl.scala 137:112] + node _T_22078 = add(_T_22077, _T_22067) @[exu_mul_ctl.scala 137:112] + node _T_22079 = add(_T_22078, _T_22068) @[exu_mul_ctl.scala 137:112] + node _T_22080 = eq(_T_22079, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22081 = bits(_T_22080, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22082 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_22083 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22084 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22085 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22086 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22087 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22088 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22089 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22090 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22091 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22092 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22093 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22094 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22095 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22096 = add(_T_22083, _T_22084) @[exu_mul_ctl.scala 137:112] + node _T_22097 = add(_T_22096, _T_22085) @[exu_mul_ctl.scala 137:112] + node _T_22098 = add(_T_22097, _T_22086) @[exu_mul_ctl.scala 137:112] + node _T_22099 = add(_T_22098, _T_22087) @[exu_mul_ctl.scala 137:112] + node _T_22100 = add(_T_22099, _T_22088) @[exu_mul_ctl.scala 137:112] + node _T_22101 = add(_T_22100, _T_22089) @[exu_mul_ctl.scala 137:112] + node _T_22102 = add(_T_22101, _T_22090) @[exu_mul_ctl.scala 137:112] + node _T_22103 = add(_T_22102, _T_22091) @[exu_mul_ctl.scala 137:112] + node _T_22104 = add(_T_22103, _T_22092) @[exu_mul_ctl.scala 137:112] + node _T_22105 = add(_T_22104, _T_22093) @[exu_mul_ctl.scala 137:112] + node _T_22106 = add(_T_22105, _T_22094) @[exu_mul_ctl.scala 137:112] + node _T_22107 = add(_T_22106, _T_22095) @[exu_mul_ctl.scala 137:112] + node _T_22108 = eq(_T_22107, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22109 = bits(_T_22108, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22110 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_22111 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22112 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22113 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22114 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22115 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22116 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22117 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22118 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22119 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22120 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22121 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22122 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22123 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22124 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22125 = add(_T_22111, _T_22112) @[exu_mul_ctl.scala 137:112] + node _T_22126 = add(_T_22125, _T_22113) @[exu_mul_ctl.scala 137:112] + node _T_22127 = add(_T_22126, _T_22114) @[exu_mul_ctl.scala 137:112] + node _T_22128 = add(_T_22127, _T_22115) @[exu_mul_ctl.scala 137:112] + node _T_22129 = add(_T_22128, _T_22116) @[exu_mul_ctl.scala 137:112] + node _T_22130 = add(_T_22129, _T_22117) @[exu_mul_ctl.scala 137:112] + node _T_22131 = add(_T_22130, _T_22118) @[exu_mul_ctl.scala 137:112] + node _T_22132 = add(_T_22131, _T_22119) @[exu_mul_ctl.scala 137:112] + node _T_22133 = add(_T_22132, _T_22120) @[exu_mul_ctl.scala 137:112] + node _T_22134 = add(_T_22133, _T_22121) @[exu_mul_ctl.scala 137:112] + node _T_22135 = add(_T_22134, _T_22122) @[exu_mul_ctl.scala 137:112] + node _T_22136 = add(_T_22135, _T_22123) @[exu_mul_ctl.scala 137:112] + node _T_22137 = add(_T_22136, _T_22124) @[exu_mul_ctl.scala 137:112] + node _T_22138 = eq(_T_22137, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22139 = bits(_T_22138, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22140 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_22141 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22142 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22143 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22144 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22145 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22146 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22147 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22148 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22149 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22150 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22151 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22152 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22153 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22154 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22155 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22156 = add(_T_22141, _T_22142) @[exu_mul_ctl.scala 137:112] + node _T_22157 = add(_T_22156, _T_22143) @[exu_mul_ctl.scala 137:112] + node _T_22158 = add(_T_22157, _T_22144) @[exu_mul_ctl.scala 137:112] + node _T_22159 = add(_T_22158, _T_22145) @[exu_mul_ctl.scala 137:112] + node _T_22160 = add(_T_22159, _T_22146) @[exu_mul_ctl.scala 137:112] + node _T_22161 = add(_T_22160, _T_22147) @[exu_mul_ctl.scala 137:112] + node _T_22162 = add(_T_22161, _T_22148) @[exu_mul_ctl.scala 137:112] + node _T_22163 = add(_T_22162, _T_22149) @[exu_mul_ctl.scala 137:112] + node _T_22164 = add(_T_22163, _T_22150) @[exu_mul_ctl.scala 137:112] + node _T_22165 = add(_T_22164, _T_22151) @[exu_mul_ctl.scala 137:112] + node _T_22166 = add(_T_22165, _T_22152) @[exu_mul_ctl.scala 137:112] + node _T_22167 = add(_T_22166, _T_22153) @[exu_mul_ctl.scala 137:112] + node _T_22168 = add(_T_22167, _T_22154) @[exu_mul_ctl.scala 137:112] + node _T_22169 = add(_T_22168, _T_22155) @[exu_mul_ctl.scala 137:112] + node _T_22170 = eq(_T_22169, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22171 = bits(_T_22170, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22172 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_22173 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22174 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22175 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22176 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22177 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22178 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22179 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22180 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22181 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22182 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22183 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22184 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22185 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22186 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22187 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22188 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22189 = add(_T_22173, _T_22174) @[exu_mul_ctl.scala 137:112] + node _T_22190 = add(_T_22189, _T_22175) @[exu_mul_ctl.scala 137:112] + node _T_22191 = add(_T_22190, _T_22176) @[exu_mul_ctl.scala 137:112] + node _T_22192 = add(_T_22191, _T_22177) @[exu_mul_ctl.scala 137:112] + node _T_22193 = add(_T_22192, _T_22178) @[exu_mul_ctl.scala 137:112] + node _T_22194 = add(_T_22193, _T_22179) @[exu_mul_ctl.scala 137:112] + node _T_22195 = add(_T_22194, _T_22180) @[exu_mul_ctl.scala 137:112] + node _T_22196 = add(_T_22195, _T_22181) @[exu_mul_ctl.scala 137:112] + node _T_22197 = add(_T_22196, _T_22182) @[exu_mul_ctl.scala 137:112] + node _T_22198 = add(_T_22197, _T_22183) @[exu_mul_ctl.scala 137:112] + node _T_22199 = add(_T_22198, _T_22184) @[exu_mul_ctl.scala 137:112] + node _T_22200 = add(_T_22199, _T_22185) @[exu_mul_ctl.scala 137:112] + node _T_22201 = add(_T_22200, _T_22186) @[exu_mul_ctl.scala 137:112] + node _T_22202 = add(_T_22201, _T_22187) @[exu_mul_ctl.scala 137:112] + node _T_22203 = add(_T_22202, _T_22188) @[exu_mul_ctl.scala 137:112] + node _T_22204 = eq(_T_22203, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22205 = bits(_T_22204, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22206 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_22207 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22208 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22209 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22210 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22211 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22212 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22213 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22214 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22215 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22216 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22217 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22218 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22219 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22220 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22221 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22222 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22223 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22224 = add(_T_22207, _T_22208) @[exu_mul_ctl.scala 137:112] + node _T_22225 = add(_T_22224, _T_22209) @[exu_mul_ctl.scala 137:112] + node _T_22226 = add(_T_22225, _T_22210) @[exu_mul_ctl.scala 137:112] + node _T_22227 = add(_T_22226, _T_22211) @[exu_mul_ctl.scala 137:112] + node _T_22228 = add(_T_22227, _T_22212) @[exu_mul_ctl.scala 137:112] + node _T_22229 = add(_T_22228, _T_22213) @[exu_mul_ctl.scala 137:112] + node _T_22230 = add(_T_22229, _T_22214) @[exu_mul_ctl.scala 137:112] + node _T_22231 = add(_T_22230, _T_22215) @[exu_mul_ctl.scala 137:112] + node _T_22232 = add(_T_22231, _T_22216) @[exu_mul_ctl.scala 137:112] + node _T_22233 = add(_T_22232, _T_22217) @[exu_mul_ctl.scala 137:112] + node _T_22234 = add(_T_22233, _T_22218) @[exu_mul_ctl.scala 137:112] + node _T_22235 = add(_T_22234, _T_22219) @[exu_mul_ctl.scala 137:112] + node _T_22236 = add(_T_22235, _T_22220) @[exu_mul_ctl.scala 137:112] + node _T_22237 = add(_T_22236, _T_22221) @[exu_mul_ctl.scala 137:112] + node _T_22238 = add(_T_22237, _T_22222) @[exu_mul_ctl.scala 137:112] + node _T_22239 = add(_T_22238, _T_22223) @[exu_mul_ctl.scala 137:112] + node _T_22240 = eq(_T_22239, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22241 = bits(_T_22240, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22242 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_22243 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22244 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22245 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22246 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22247 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22248 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22249 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22250 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22251 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22252 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22253 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22254 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22255 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22256 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22257 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22258 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22259 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22260 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22261 = add(_T_22243, _T_22244) @[exu_mul_ctl.scala 137:112] + node _T_22262 = add(_T_22261, _T_22245) @[exu_mul_ctl.scala 137:112] + node _T_22263 = add(_T_22262, _T_22246) @[exu_mul_ctl.scala 137:112] + node _T_22264 = add(_T_22263, _T_22247) @[exu_mul_ctl.scala 137:112] + node _T_22265 = add(_T_22264, _T_22248) @[exu_mul_ctl.scala 137:112] + node _T_22266 = add(_T_22265, _T_22249) @[exu_mul_ctl.scala 137:112] + node _T_22267 = add(_T_22266, _T_22250) @[exu_mul_ctl.scala 137:112] + node _T_22268 = add(_T_22267, _T_22251) @[exu_mul_ctl.scala 137:112] + node _T_22269 = add(_T_22268, _T_22252) @[exu_mul_ctl.scala 137:112] + node _T_22270 = add(_T_22269, _T_22253) @[exu_mul_ctl.scala 137:112] + node _T_22271 = add(_T_22270, _T_22254) @[exu_mul_ctl.scala 137:112] + node _T_22272 = add(_T_22271, _T_22255) @[exu_mul_ctl.scala 137:112] + node _T_22273 = add(_T_22272, _T_22256) @[exu_mul_ctl.scala 137:112] + node _T_22274 = add(_T_22273, _T_22257) @[exu_mul_ctl.scala 137:112] + node _T_22275 = add(_T_22274, _T_22258) @[exu_mul_ctl.scala 137:112] + node _T_22276 = add(_T_22275, _T_22259) @[exu_mul_ctl.scala 137:112] + node _T_22277 = add(_T_22276, _T_22260) @[exu_mul_ctl.scala 137:112] + node _T_22278 = eq(_T_22277, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22279 = bits(_T_22278, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22280 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_22281 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22282 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22283 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22284 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22285 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22286 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22287 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22288 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22289 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22290 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22291 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22292 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22293 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22294 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22295 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22296 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22297 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22298 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22299 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22300 = add(_T_22281, _T_22282) @[exu_mul_ctl.scala 137:112] + node _T_22301 = add(_T_22300, _T_22283) @[exu_mul_ctl.scala 137:112] + node _T_22302 = add(_T_22301, _T_22284) @[exu_mul_ctl.scala 137:112] + node _T_22303 = add(_T_22302, _T_22285) @[exu_mul_ctl.scala 137:112] + node _T_22304 = add(_T_22303, _T_22286) @[exu_mul_ctl.scala 137:112] + node _T_22305 = add(_T_22304, _T_22287) @[exu_mul_ctl.scala 137:112] + node _T_22306 = add(_T_22305, _T_22288) @[exu_mul_ctl.scala 137:112] + node _T_22307 = add(_T_22306, _T_22289) @[exu_mul_ctl.scala 137:112] + node _T_22308 = add(_T_22307, _T_22290) @[exu_mul_ctl.scala 137:112] + node _T_22309 = add(_T_22308, _T_22291) @[exu_mul_ctl.scala 137:112] + node _T_22310 = add(_T_22309, _T_22292) @[exu_mul_ctl.scala 137:112] + node _T_22311 = add(_T_22310, _T_22293) @[exu_mul_ctl.scala 137:112] + node _T_22312 = add(_T_22311, _T_22294) @[exu_mul_ctl.scala 137:112] + node _T_22313 = add(_T_22312, _T_22295) @[exu_mul_ctl.scala 137:112] + node _T_22314 = add(_T_22313, _T_22296) @[exu_mul_ctl.scala 137:112] + node _T_22315 = add(_T_22314, _T_22297) @[exu_mul_ctl.scala 137:112] + node _T_22316 = add(_T_22315, _T_22298) @[exu_mul_ctl.scala 137:112] + node _T_22317 = add(_T_22316, _T_22299) @[exu_mul_ctl.scala 137:112] + node _T_22318 = eq(_T_22317, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22319 = bits(_T_22318, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22320 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_22321 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22322 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22323 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22324 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22325 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22326 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22327 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22328 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22329 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22330 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22331 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22332 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22333 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22334 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22335 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22336 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22337 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22338 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22339 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22340 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22341 = add(_T_22321, _T_22322) @[exu_mul_ctl.scala 137:112] + node _T_22342 = add(_T_22341, _T_22323) @[exu_mul_ctl.scala 137:112] + node _T_22343 = add(_T_22342, _T_22324) @[exu_mul_ctl.scala 137:112] + node _T_22344 = add(_T_22343, _T_22325) @[exu_mul_ctl.scala 137:112] + node _T_22345 = add(_T_22344, _T_22326) @[exu_mul_ctl.scala 137:112] + node _T_22346 = add(_T_22345, _T_22327) @[exu_mul_ctl.scala 137:112] + node _T_22347 = add(_T_22346, _T_22328) @[exu_mul_ctl.scala 137:112] + node _T_22348 = add(_T_22347, _T_22329) @[exu_mul_ctl.scala 137:112] + node _T_22349 = add(_T_22348, _T_22330) @[exu_mul_ctl.scala 137:112] + node _T_22350 = add(_T_22349, _T_22331) @[exu_mul_ctl.scala 137:112] + node _T_22351 = add(_T_22350, _T_22332) @[exu_mul_ctl.scala 137:112] + node _T_22352 = add(_T_22351, _T_22333) @[exu_mul_ctl.scala 137:112] + node _T_22353 = add(_T_22352, _T_22334) @[exu_mul_ctl.scala 137:112] + node _T_22354 = add(_T_22353, _T_22335) @[exu_mul_ctl.scala 137:112] + node _T_22355 = add(_T_22354, _T_22336) @[exu_mul_ctl.scala 137:112] + node _T_22356 = add(_T_22355, _T_22337) @[exu_mul_ctl.scala 137:112] + node _T_22357 = add(_T_22356, _T_22338) @[exu_mul_ctl.scala 137:112] + node _T_22358 = add(_T_22357, _T_22339) @[exu_mul_ctl.scala 137:112] + node _T_22359 = add(_T_22358, _T_22340) @[exu_mul_ctl.scala 137:112] + node _T_22360 = eq(_T_22359, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22361 = bits(_T_22360, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22362 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_22363 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22364 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22365 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22366 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22367 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22368 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22369 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22370 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22371 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22372 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22373 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22374 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22375 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22376 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22377 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22378 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22379 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22380 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22381 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22382 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22383 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22384 = add(_T_22363, _T_22364) @[exu_mul_ctl.scala 137:112] + node _T_22385 = add(_T_22384, _T_22365) @[exu_mul_ctl.scala 137:112] + node _T_22386 = add(_T_22385, _T_22366) @[exu_mul_ctl.scala 137:112] + node _T_22387 = add(_T_22386, _T_22367) @[exu_mul_ctl.scala 137:112] + node _T_22388 = add(_T_22387, _T_22368) @[exu_mul_ctl.scala 137:112] + node _T_22389 = add(_T_22388, _T_22369) @[exu_mul_ctl.scala 137:112] + node _T_22390 = add(_T_22389, _T_22370) @[exu_mul_ctl.scala 137:112] + node _T_22391 = add(_T_22390, _T_22371) @[exu_mul_ctl.scala 137:112] + node _T_22392 = add(_T_22391, _T_22372) @[exu_mul_ctl.scala 137:112] + node _T_22393 = add(_T_22392, _T_22373) @[exu_mul_ctl.scala 137:112] + node _T_22394 = add(_T_22393, _T_22374) @[exu_mul_ctl.scala 137:112] + node _T_22395 = add(_T_22394, _T_22375) @[exu_mul_ctl.scala 137:112] + node _T_22396 = add(_T_22395, _T_22376) @[exu_mul_ctl.scala 137:112] + node _T_22397 = add(_T_22396, _T_22377) @[exu_mul_ctl.scala 137:112] + node _T_22398 = add(_T_22397, _T_22378) @[exu_mul_ctl.scala 137:112] + node _T_22399 = add(_T_22398, _T_22379) @[exu_mul_ctl.scala 137:112] + node _T_22400 = add(_T_22399, _T_22380) @[exu_mul_ctl.scala 137:112] + node _T_22401 = add(_T_22400, _T_22381) @[exu_mul_ctl.scala 137:112] + node _T_22402 = add(_T_22401, _T_22382) @[exu_mul_ctl.scala 137:112] + node _T_22403 = add(_T_22402, _T_22383) @[exu_mul_ctl.scala 137:112] + node _T_22404 = eq(_T_22403, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22405 = bits(_T_22404, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22406 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_22407 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22408 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22409 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22410 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22411 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22412 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22413 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22414 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22415 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22416 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22417 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22418 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22419 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22420 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22421 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22422 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22423 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22424 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22425 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22426 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22427 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22428 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22429 = add(_T_22407, _T_22408) @[exu_mul_ctl.scala 137:112] + node _T_22430 = add(_T_22429, _T_22409) @[exu_mul_ctl.scala 137:112] + node _T_22431 = add(_T_22430, _T_22410) @[exu_mul_ctl.scala 137:112] + node _T_22432 = add(_T_22431, _T_22411) @[exu_mul_ctl.scala 137:112] + node _T_22433 = add(_T_22432, _T_22412) @[exu_mul_ctl.scala 137:112] + node _T_22434 = add(_T_22433, _T_22413) @[exu_mul_ctl.scala 137:112] + node _T_22435 = add(_T_22434, _T_22414) @[exu_mul_ctl.scala 137:112] + node _T_22436 = add(_T_22435, _T_22415) @[exu_mul_ctl.scala 137:112] + node _T_22437 = add(_T_22436, _T_22416) @[exu_mul_ctl.scala 137:112] + node _T_22438 = add(_T_22437, _T_22417) @[exu_mul_ctl.scala 137:112] + node _T_22439 = add(_T_22438, _T_22418) @[exu_mul_ctl.scala 137:112] + node _T_22440 = add(_T_22439, _T_22419) @[exu_mul_ctl.scala 137:112] + node _T_22441 = add(_T_22440, _T_22420) @[exu_mul_ctl.scala 137:112] + node _T_22442 = add(_T_22441, _T_22421) @[exu_mul_ctl.scala 137:112] + node _T_22443 = add(_T_22442, _T_22422) @[exu_mul_ctl.scala 137:112] + node _T_22444 = add(_T_22443, _T_22423) @[exu_mul_ctl.scala 137:112] + node _T_22445 = add(_T_22444, _T_22424) @[exu_mul_ctl.scala 137:112] + node _T_22446 = add(_T_22445, _T_22425) @[exu_mul_ctl.scala 137:112] + node _T_22447 = add(_T_22446, _T_22426) @[exu_mul_ctl.scala 137:112] + node _T_22448 = add(_T_22447, _T_22427) @[exu_mul_ctl.scala 137:112] + node _T_22449 = add(_T_22448, _T_22428) @[exu_mul_ctl.scala 137:112] + node _T_22450 = eq(_T_22449, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22451 = bits(_T_22450, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22452 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_22453 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22454 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22455 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22456 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22457 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22458 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22459 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22460 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22461 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22462 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22463 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22464 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22465 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22466 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22467 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22468 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22469 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22470 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22471 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22472 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22473 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22474 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22475 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22476 = add(_T_22453, _T_22454) @[exu_mul_ctl.scala 137:112] + node _T_22477 = add(_T_22476, _T_22455) @[exu_mul_ctl.scala 137:112] + node _T_22478 = add(_T_22477, _T_22456) @[exu_mul_ctl.scala 137:112] + node _T_22479 = add(_T_22478, _T_22457) @[exu_mul_ctl.scala 137:112] + node _T_22480 = add(_T_22479, _T_22458) @[exu_mul_ctl.scala 137:112] + node _T_22481 = add(_T_22480, _T_22459) @[exu_mul_ctl.scala 137:112] + node _T_22482 = add(_T_22481, _T_22460) @[exu_mul_ctl.scala 137:112] + node _T_22483 = add(_T_22482, _T_22461) @[exu_mul_ctl.scala 137:112] + node _T_22484 = add(_T_22483, _T_22462) @[exu_mul_ctl.scala 137:112] + node _T_22485 = add(_T_22484, _T_22463) @[exu_mul_ctl.scala 137:112] + node _T_22486 = add(_T_22485, _T_22464) @[exu_mul_ctl.scala 137:112] + node _T_22487 = add(_T_22486, _T_22465) @[exu_mul_ctl.scala 137:112] + node _T_22488 = add(_T_22487, _T_22466) @[exu_mul_ctl.scala 137:112] + node _T_22489 = add(_T_22488, _T_22467) @[exu_mul_ctl.scala 137:112] + node _T_22490 = add(_T_22489, _T_22468) @[exu_mul_ctl.scala 137:112] + node _T_22491 = add(_T_22490, _T_22469) @[exu_mul_ctl.scala 137:112] + node _T_22492 = add(_T_22491, _T_22470) @[exu_mul_ctl.scala 137:112] + node _T_22493 = add(_T_22492, _T_22471) @[exu_mul_ctl.scala 137:112] + node _T_22494 = add(_T_22493, _T_22472) @[exu_mul_ctl.scala 137:112] + node _T_22495 = add(_T_22494, _T_22473) @[exu_mul_ctl.scala 137:112] + node _T_22496 = add(_T_22495, _T_22474) @[exu_mul_ctl.scala 137:112] + node _T_22497 = add(_T_22496, _T_22475) @[exu_mul_ctl.scala 137:112] + node _T_22498 = eq(_T_22497, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22499 = bits(_T_22498, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22500 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_22501 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22502 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22503 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22504 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22505 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22506 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22507 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22508 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22509 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22510 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22511 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22512 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22513 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22514 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22515 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22516 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22517 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22518 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22519 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22520 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22521 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22522 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22523 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22524 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22525 = add(_T_22501, _T_22502) @[exu_mul_ctl.scala 137:112] + node _T_22526 = add(_T_22525, _T_22503) @[exu_mul_ctl.scala 137:112] + node _T_22527 = add(_T_22526, _T_22504) @[exu_mul_ctl.scala 137:112] + node _T_22528 = add(_T_22527, _T_22505) @[exu_mul_ctl.scala 137:112] + node _T_22529 = add(_T_22528, _T_22506) @[exu_mul_ctl.scala 137:112] + node _T_22530 = add(_T_22529, _T_22507) @[exu_mul_ctl.scala 137:112] + node _T_22531 = add(_T_22530, _T_22508) @[exu_mul_ctl.scala 137:112] + node _T_22532 = add(_T_22531, _T_22509) @[exu_mul_ctl.scala 137:112] + node _T_22533 = add(_T_22532, _T_22510) @[exu_mul_ctl.scala 137:112] + node _T_22534 = add(_T_22533, _T_22511) @[exu_mul_ctl.scala 137:112] + node _T_22535 = add(_T_22534, _T_22512) @[exu_mul_ctl.scala 137:112] + node _T_22536 = add(_T_22535, _T_22513) @[exu_mul_ctl.scala 137:112] + node _T_22537 = add(_T_22536, _T_22514) @[exu_mul_ctl.scala 137:112] + node _T_22538 = add(_T_22537, _T_22515) @[exu_mul_ctl.scala 137:112] + node _T_22539 = add(_T_22538, _T_22516) @[exu_mul_ctl.scala 137:112] + node _T_22540 = add(_T_22539, _T_22517) @[exu_mul_ctl.scala 137:112] + node _T_22541 = add(_T_22540, _T_22518) @[exu_mul_ctl.scala 137:112] + node _T_22542 = add(_T_22541, _T_22519) @[exu_mul_ctl.scala 137:112] + node _T_22543 = add(_T_22542, _T_22520) @[exu_mul_ctl.scala 137:112] + node _T_22544 = add(_T_22543, _T_22521) @[exu_mul_ctl.scala 137:112] + node _T_22545 = add(_T_22544, _T_22522) @[exu_mul_ctl.scala 137:112] + node _T_22546 = add(_T_22545, _T_22523) @[exu_mul_ctl.scala 137:112] + node _T_22547 = add(_T_22546, _T_22524) @[exu_mul_ctl.scala 137:112] + node _T_22548 = eq(_T_22547, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22549 = bits(_T_22548, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22550 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_22551 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22552 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22553 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22554 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22555 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22556 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22557 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22558 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22559 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22560 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22561 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22562 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22563 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22564 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22565 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22566 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22567 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22568 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22569 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22570 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22571 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22572 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22573 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22574 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22575 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22576 = add(_T_22551, _T_22552) @[exu_mul_ctl.scala 137:112] + node _T_22577 = add(_T_22576, _T_22553) @[exu_mul_ctl.scala 137:112] + node _T_22578 = add(_T_22577, _T_22554) @[exu_mul_ctl.scala 137:112] + node _T_22579 = add(_T_22578, _T_22555) @[exu_mul_ctl.scala 137:112] + node _T_22580 = add(_T_22579, _T_22556) @[exu_mul_ctl.scala 137:112] + node _T_22581 = add(_T_22580, _T_22557) @[exu_mul_ctl.scala 137:112] + node _T_22582 = add(_T_22581, _T_22558) @[exu_mul_ctl.scala 137:112] + node _T_22583 = add(_T_22582, _T_22559) @[exu_mul_ctl.scala 137:112] + node _T_22584 = add(_T_22583, _T_22560) @[exu_mul_ctl.scala 137:112] + node _T_22585 = add(_T_22584, _T_22561) @[exu_mul_ctl.scala 137:112] + node _T_22586 = add(_T_22585, _T_22562) @[exu_mul_ctl.scala 137:112] + node _T_22587 = add(_T_22586, _T_22563) @[exu_mul_ctl.scala 137:112] + node _T_22588 = add(_T_22587, _T_22564) @[exu_mul_ctl.scala 137:112] + node _T_22589 = add(_T_22588, _T_22565) @[exu_mul_ctl.scala 137:112] + node _T_22590 = add(_T_22589, _T_22566) @[exu_mul_ctl.scala 137:112] + node _T_22591 = add(_T_22590, _T_22567) @[exu_mul_ctl.scala 137:112] + node _T_22592 = add(_T_22591, _T_22568) @[exu_mul_ctl.scala 137:112] + node _T_22593 = add(_T_22592, _T_22569) @[exu_mul_ctl.scala 137:112] + node _T_22594 = add(_T_22593, _T_22570) @[exu_mul_ctl.scala 137:112] + node _T_22595 = add(_T_22594, _T_22571) @[exu_mul_ctl.scala 137:112] + node _T_22596 = add(_T_22595, _T_22572) @[exu_mul_ctl.scala 137:112] + node _T_22597 = add(_T_22596, _T_22573) @[exu_mul_ctl.scala 137:112] + node _T_22598 = add(_T_22597, _T_22574) @[exu_mul_ctl.scala 137:112] + node _T_22599 = add(_T_22598, _T_22575) @[exu_mul_ctl.scala 137:112] + node _T_22600 = eq(_T_22599, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22601 = bits(_T_22600, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22602 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_22603 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22604 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22605 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22606 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22607 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22608 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22609 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22610 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22611 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22612 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22613 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22614 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22615 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22616 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22617 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22618 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22619 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22620 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22621 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22622 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22623 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22624 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22625 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22626 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22627 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22628 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22629 = add(_T_22603, _T_22604) @[exu_mul_ctl.scala 137:112] + node _T_22630 = add(_T_22629, _T_22605) @[exu_mul_ctl.scala 137:112] + node _T_22631 = add(_T_22630, _T_22606) @[exu_mul_ctl.scala 137:112] + node _T_22632 = add(_T_22631, _T_22607) @[exu_mul_ctl.scala 137:112] + node _T_22633 = add(_T_22632, _T_22608) @[exu_mul_ctl.scala 137:112] + node _T_22634 = add(_T_22633, _T_22609) @[exu_mul_ctl.scala 137:112] + node _T_22635 = add(_T_22634, _T_22610) @[exu_mul_ctl.scala 137:112] + node _T_22636 = add(_T_22635, _T_22611) @[exu_mul_ctl.scala 137:112] + node _T_22637 = add(_T_22636, _T_22612) @[exu_mul_ctl.scala 137:112] + node _T_22638 = add(_T_22637, _T_22613) @[exu_mul_ctl.scala 137:112] + node _T_22639 = add(_T_22638, _T_22614) @[exu_mul_ctl.scala 137:112] + node _T_22640 = add(_T_22639, _T_22615) @[exu_mul_ctl.scala 137:112] + node _T_22641 = add(_T_22640, _T_22616) @[exu_mul_ctl.scala 137:112] + node _T_22642 = add(_T_22641, _T_22617) @[exu_mul_ctl.scala 137:112] + node _T_22643 = add(_T_22642, _T_22618) @[exu_mul_ctl.scala 137:112] + node _T_22644 = add(_T_22643, _T_22619) @[exu_mul_ctl.scala 137:112] + node _T_22645 = add(_T_22644, _T_22620) @[exu_mul_ctl.scala 137:112] + node _T_22646 = add(_T_22645, _T_22621) @[exu_mul_ctl.scala 137:112] + node _T_22647 = add(_T_22646, _T_22622) @[exu_mul_ctl.scala 137:112] + node _T_22648 = add(_T_22647, _T_22623) @[exu_mul_ctl.scala 137:112] + node _T_22649 = add(_T_22648, _T_22624) @[exu_mul_ctl.scala 137:112] + node _T_22650 = add(_T_22649, _T_22625) @[exu_mul_ctl.scala 137:112] + node _T_22651 = add(_T_22650, _T_22626) @[exu_mul_ctl.scala 137:112] + node _T_22652 = add(_T_22651, _T_22627) @[exu_mul_ctl.scala 137:112] + node _T_22653 = add(_T_22652, _T_22628) @[exu_mul_ctl.scala 137:112] + node _T_22654 = eq(_T_22653, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22655 = bits(_T_22654, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22656 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_22657 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22658 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22659 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22660 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22661 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22662 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22663 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22664 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22665 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22666 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22667 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22668 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22669 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22670 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22671 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22672 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22673 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22674 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22675 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22676 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22677 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22678 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22679 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22680 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22681 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22682 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22683 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22684 = add(_T_22657, _T_22658) @[exu_mul_ctl.scala 137:112] + node _T_22685 = add(_T_22684, _T_22659) @[exu_mul_ctl.scala 137:112] + node _T_22686 = add(_T_22685, _T_22660) @[exu_mul_ctl.scala 137:112] + node _T_22687 = add(_T_22686, _T_22661) @[exu_mul_ctl.scala 137:112] + node _T_22688 = add(_T_22687, _T_22662) @[exu_mul_ctl.scala 137:112] + node _T_22689 = add(_T_22688, _T_22663) @[exu_mul_ctl.scala 137:112] + node _T_22690 = add(_T_22689, _T_22664) @[exu_mul_ctl.scala 137:112] + node _T_22691 = add(_T_22690, _T_22665) @[exu_mul_ctl.scala 137:112] + node _T_22692 = add(_T_22691, _T_22666) @[exu_mul_ctl.scala 137:112] + node _T_22693 = add(_T_22692, _T_22667) @[exu_mul_ctl.scala 137:112] + node _T_22694 = add(_T_22693, _T_22668) @[exu_mul_ctl.scala 137:112] + node _T_22695 = add(_T_22694, _T_22669) @[exu_mul_ctl.scala 137:112] + node _T_22696 = add(_T_22695, _T_22670) @[exu_mul_ctl.scala 137:112] + node _T_22697 = add(_T_22696, _T_22671) @[exu_mul_ctl.scala 137:112] + node _T_22698 = add(_T_22697, _T_22672) @[exu_mul_ctl.scala 137:112] + node _T_22699 = add(_T_22698, _T_22673) @[exu_mul_ctl.scala 137:112] + node _T_22700 = add(_T_22699, _T_22674) @[exu_mul_ctl.scala 137:112] + node _T_22701 = add(_T_22700, _T_22675) @[exu_mul_ctl.scala 137:112] + node _T_22702 = add(_T_22701, _T_22676) @[exu_mul_ctl.scala 137:112] + node _T_22703 = add(_T_22702, _T_22677) @[exu_mul_ctl.scala 137:112] + node _T_22704 = add(_T_22703, _T_22678) @[exu_mul_ctl.scala 137:112] + node _T_22705 = add(_T_22704, _T_22679) @[exu_mul_ctl.scala 137:112] + node _T_22706 = add(_T_22705, _T_22680) @[exu_mul_ctl.scala 137:112] + node _T_22707 = add(_T_22706, _T_22681) @[exu_mul_ctl.scala 137:112] + node _T_22708 = add(_T_22707, _T_22682) @[exu_mul_ctl.scala 137:112] + node _T_22709 = add(_T_22708, _T_22683) @[exu_mul_ctl.scala 137:112] + node _T_22710 = eq(_T_22709, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22711 = bits(_T_22710, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22712 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_22713 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22714 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22715 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22716 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22717 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22718 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22719 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22720 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22721 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22722 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22723 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22724 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22725 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22726 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22727 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22728 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22729 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22730 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22731 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22732 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22733 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22734 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22735 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22736 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22737 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22738 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22739 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22740 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_22741 = add(_T_22713, _T_22714) @[exu_mul_ctl.scala 137:112] + node _T_22742 = add(_T_22741, _T_22715) @[exu_mul_ctl.scala 137:112] + node _T_22743 = add(_T_22742, _T_22716) @[exu_mul_ctl.scala 137:112] + node _T_22744 = add(_T_22743, _T_22717) @[exu_mul_ctl.scala 137:112] + node _T_22745 = add(_T_22744, _T_22718) @[exu_mul_ctl.scala 137:112] + node _T_22746 = add(_T_22745, _T_22719) @[exu_mul_ctl.scala 137:112] + node _T_22747 = add(_T_22746, _T_22720) @[exu_mul_ctl.scala 137:112] + node _T_22748 = add(_T_22747, _T_22721) @[exu_mul_ctl.scala 137:112] + node _T_22749 = add(_T_22748, _T_22722) @[exu_mul_ctl.scala 137:112] + node _T_22750 = add(_T_22749, _T_22723) @[exu_mul_ctl.scala 137:112] + node _T_22751 = add(_T_22750, _T_22724) @[exu_mul_ctl.scala 137:112] + node _T_22752 = add(_T_22751, _T_22725) @[exu_mul_ctl.scala 137:112] + node _T_22753 = add(_T_22752, _T_22726) @[exu_mul_ctl.scala 137:112] + node _T_22754 = add(_T_22753, _T_22727) @[exu_mul_ctl.scala 137:112] + node _T_22755 = add(_T_22754, _T_22728) @[exu_mul_ctl.scala 137:112] + node _T_22756 = add(_T_22755, _T_22729) @[exu_mul_ctl.scala 137:112] + node _T_22757 = add(_T_22756, _T_22730) @[exu_mul_ctl.scala 137:112] + node _T_22758 = add(_T_22757, _T_22731) @[exu_mul_ctl.scala 137:112] + node _T_22759 = add(_T_22758, _T_22732) @[exu_mul_ctl.scala 137:112] + node _T_22760 = add(_T_22759, _T_22733) @[exu_mul_ctl.scala 137:112] + node _T_22761 = add(_T_22760, _T_22734) @[exu_mul_ctl.scala 137:112] + node _T_22762 = add(_T_22761, _T_22735) @[exu_mul_ctl.scala 137:112] + node _T_22763 = add(_T_22762, _T_22736) @[exu_mul_ctl.scala 137:112] + node _T_22764 = add(_T_22763, _T_22737) @[exu_mul_ctl.scala 137:112] + node _T_22765 = add(_T_22764, _T_22738) @[exu_mul_ctl.scala 137:112] + node _T_22766 = add(_T_22765, _T_22739) @[exu_mul_ctl.scala 137:112] + node _T_22767 = add(_T_22766, _T_22740) @[exu_mul_ctl.scala 137:112] + node _T_22768 = eq(_T_22767, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22769 = bits(_T_22768, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22770 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_22771 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22772 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22773 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22774 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22775 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22776 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22777 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22778 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22779 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22780 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22781 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22782 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22783 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22784 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22785 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22786 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22787 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22788 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22789 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22790 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22791 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22792 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22793 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22794 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22795 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22796 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22797 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22798 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_22799 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_22800 = add(_T_22771, _T_22772) @[exu_mul_ctl.scala 137:112] + node _T_22801 = add(_T_22800, _T_22773) @[exu_mul_ctl.scala 137:112] + node _T_22802 = add(_T_22801, _T_22774) @[exu_mul_ctl.scala 137:112] + node _T_22803 = add(_T_22802, _T_22775) @[exu_mul_ctl.scala 137:112] + node _T_22804 = add(_T_22803, _T_22776) @[exu_mul_ctl.scala 137:112] + node _T_22805 = add(_T_22804, _T_22777) @[exu_mul_ctl.scala 137:112] + node _T_22806 = add(_T_22805, _T_22778) @[exu_mul_ctl.scala 137:112] + node _T_22807 = add(_T_22806, _T_22779) @[exu_mul_ctl.scala 137:112] + node _T_22808 = add(_T_22807, _T_22780) @[exu_mul_ctl.scala 137:112] + node _T_22809 = add(_T_22808, _T_22781) @[exu_mul_ctl.scala 137:112] + node _T_22810 = add(_T_22809, _T_22782) @[exu_mul_ctl.scala 137:112] + node _T_22811 = add(_T_22810, _T_22783) @[exu_mul_ctl.scala 137:112] + node _T_22812 = add(_T_22811, _T_22784) @[exu_mul_ctl.scala 137:112] + node _T_22813 = add(_T_22812, _T_22785) @[exu_mul_ctl.scala 137:112] + node _T_22814 = add(_T_22813, _T_22786) @[exu_mul_ctl.scala 137:112] + node _T_22815 = add(_T_22814, _T_22787) @[exu_mul_ctl.scala 137:112] + node _T_22816 = add(_T_22815, _T_22788) @[exu_mul_ctl.scala 137:112] + node _T_22817 = add(_T_22816, _T_22789) @[exu_mul_ctl.scala 137:112] + node _T_22818 = add(_T_22817, _T_22790) @[exu_mul_ctl.scala 137:112] + node _T_22819 = add(_T_22818, _T_22791) @[exu_mul_ctl.scala 137:112] + node _T_22820 = add(_T_22819, _T_22792) @[exu_mul_ctl.scala 137:112] + node _T_22821 = add(_T_22820, _T_22793) @[exu_mul_ctl.scala 137:112] + node _T_22822 = add(_T_22821, _T_22794) @[exu_mul_ctl.scala 137:112] + node _T_22823 = add(_T_22822, _T_22795) @[exu_mul_ctl.scala 137:112] + node _T_22824 = add(_T_22823, _T_22796) @[exu_mul_ctl.scala 137:112] + node _T_22825 = add(_T_22824, _T_22797) @[exu_mul_ctl.scala 137:112] + node _T_22826 = add(_T_22825, _T_22798) @[exu_mul_ctl.scala 137:112] + node _T_22827 = add(_T_22826, _T_22799) @[exu_mul_ctl.scala 137:112] + node _T_22828 = eq(_T_22827, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22829 = bits(_T_22828, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22830 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_22831 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22832 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22833 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22834 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22835 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22836 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22837 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22838 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22839 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22840 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22841 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22842 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22843 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22844 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22845 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22846 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22847 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22848 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22849 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22850 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22851 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22852 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22853 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22854 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22855 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22856 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22857 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22858 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_22859 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_22860 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_22861 = add(_T_22831, _T_22832) @[exu_mul_ctl.scala 137:112] + node _T_22862 = add(_T_22861, _T_22833) @[exu_mul_ctl.scala 137:112] + node _T_22863 = add(_T_22862, _T_22834) @[exu_mul_ctl.scala 137:112] + node _T_22864 = add(_T_22863, _T_22835) @[exu_mul_ctl.scala 137:112] + node _T_22865 = add(_T_22864, _T_22836) @[exu_mul_ctl.scala 137:112] + node _T_22866 = add(_T_22865, _T_22837) @[exu_mul_ctl.scala 137:112] + node _T_22867 = add(_T_22866, _T_22838) @[exu_mul_ctl.scala 137:112] + node _T_22868 = add(_T_22867, _T_22839) @[exu_mul_ctl.scala 137:112] + node _T_22869 = add(_T_22868, _T_22840) @[exu_mul_ctl.scala 137:112] + node _T_22870 = add(_T_22869, _T_22841) @[exu_mul_ctl.scala 137:112] + node _T_22871 = add(_T_22870, _T_22842) @[exu_mul_ctl.scala 137:112] + node _T_22872 = add(_T_22871, _T_22843) @[exu_mul_ctl.scala 137:112] + node _T_22873 = add(_T_22872, _T_22844) @[exu_mul_ctl.scala 137:112] + node _T_22874 = add(_T_22873, _T_22845) @[exu_mul_ctl.scala 137:112] + node _T_22875 = add(_T_22874, _T_22846) @[exu_mul_ctl.scala 137:112] + node _T_22876 = add(_T_22875, _T_22847) @[exu_mul_ctl.scala 137:112] + node _T_22877 = add(_T_22876, _T_22848) @[exu_mul_ctl.scala 137:112] + node _T_22878 = add(_T_22877, _T_22849) @[exu_mul_ctl.scala 137:112] + node _T_22879 = add(_T_22878, _T_22850) @[exu_mul_ctl.scala 137:112] + node _T_22880 = add(_T_22879, _T_22851) @[exu_mul_ctl.scala 137:112] + node _T_22881 = add(_T_22880, _T_22852) @[exu_mul_ctl.scala 137:112] + node _T_22882 = add(_T_22881, _T_22853) @[exu_mul_ctl.scala 137:112] + node _T_22883 = add(_T_22882, _T_22854) @[exu_mul_ctl.scala 137:112] + node _T_22884 = add(_T_22883, _T_22855) @[exu_mul_ctl.scala 137:112] + node _T_22885 = add(_T_22884, _T_22856) @[exu_mul_ctl.scala 137:112] + node _T_22886 = add(_T_22885, _T_22857) @[exu_mul_ctl.scala 137:112] + node _T_22887 = add(_T_22886, _T_22858) @[exu_mul_ctl.scala 137:112] + node _T_22888 = add(_T_22887, _T_22859) @[exu_mul_ctl.scala 137:112] + node _T_22889 = add(_T_22888, _T_22860) @[exu_mul_ctl.scala 137:112] + node _T_22890 = eq(_T_22889, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22891 = bits(_T_22890, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22892 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_22893 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22894 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22895 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22896 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22897 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22898 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22899 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22900 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22901 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22902 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22903 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22904 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22905 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22906 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22907 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22908 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22909 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22910 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22911 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22912 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22913 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22914 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22915 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22916 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22917 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22918 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22919 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22920 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_22921 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_22922 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_22923 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_22924 = add(_T_22893, _T_22894) @[exu_mul_ctl.scala 137:112] + node _T_22925 = add(_T_22924, _T_22895) @[exu_mul_ctl.scala 137:112] + node _T_22926 = add(_T_22925, _T_22896) @[exu_mul_ctl.scala 137:112] + node _T_22927 = add(_T_22926, _T_22897) @[exu_mul_ctl.scala 137:112] + node _T_22928 = add(_T_22927, _T_22898) @[exu_mul_ctl.scala 137:112] + node _T_22929 = add(_T_22928, _T_22899) @[exu_mul_ctl.scala 137:112] + node _T_22930 = add(_T_22929, _T_22900) @[exu_mul_ctl.scala 137:112] + node _T_22931 = add(_T_22930, _T_22901) @[exu_mul_ctl.scala 137:112] + node _T_22932 = add(_T_22931, _T_22902) @[exu_mul_ctl.scala 137:112] + node _T_22933 = add(_T_22932, _T_22903) @[exu_mul_ctl.scala 137:112] + node _T_22934 = add(_T_22933, _T_22904) @[exu_mul_ctl.scala 137:112] + node _T_22935 = add(_T_22934, _T_22905) @[exu_mul_ctl.scala 137:112] + node _T_22936 = add(_T_22935, _T_22906) @[exu_mul_ctl.scala 137:112] + node _T_22937 = add(_T_22936, _T_22907) @[exu_mul_ctl.scala 137:112] + node _T_22938 = add(_T_22937, _T_22908) @[exu_mul_ctl.scala 137:112] + node _T_22939 = add(_T_22938, _T_22909) @[exu_mul_ctl.scala 137:112] + node _T_22940 = add(_T_22939, _T_22910) @[exu_mul_ctl.scala 137:112] + node _T_22941 = add(_T_22940, _T_22911) @[exu_mul_ctl.scala 137:112] + node _T_22942 = add(_T_22941, _T_22912) @[exu_mul_ctl.scala 137:112] + node _T_22943 = add(_T_22942, _T_22913) @[exu_mul_ctl.scala 137:112] + node _T_22944 = add(_T_22943, _T_22914) @[exu_mul_ctl.scala 137:112] + node _T_22945 = add(_T_22944, _T_22915) @[exu_mul_ctl.scala 137:112] + node _T_22946 = add(_T_22945, _T_22916) @[exu_mul_ctl.scala 137:112] + node _T_22947 = add(_T_22946, _T_22917) @[exu_mul_ctl.scala 137:112] + node _T_22948 = add(_T_22947, _T_22918) @[exu_mul_ctl.scala 137:112] + node _T_22949 = add(_T_22948, _T_22919) @[exu_mul_ctl.scala 137:112] + node _T_22950 = add(_T_22949, _T_22920) @[exu_mul_ctl.scala 137:112] + node _T_22951 = add(_T_22950, _T_22921) @[exu_mul_ctl.scala 137:112] + node _T_22952 = add(_T_22951, _T_22922) @[exu_mul_ctl.scala 137:112] + node _T_22953 = add(_T_22952, _T_22923) @[exu_mul_ctl.scala 137:112] + node _T_22954 = eq(_T_22953, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_22955 = bits(_T_22954, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_22956 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_22957 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_22958 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_22959 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_22960 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_22961 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_22962 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_22963 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_22964 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_22965 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_22966 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_22967 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_22968 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_22969 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_22970 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_22971 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_22972 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_22973 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_22974 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_22975 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_22976 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_22977 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_22978 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_22979 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_22980 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_22981 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_22982 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_22983 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_22984 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_22985 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_22986 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_22987 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_22988 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_22989 = add(_T_22957, _T_22958) @[exu_mul_ctl.scala 137:112] + node _T_22990 = add(_T_22989, _T_22959) @[exu_mul_ctl.scala 137:112] + node _T_22991 = add(_T_22990, _T_22960) @[exu_mul_ctl.scala 137:112] + node _T_22992 = add(_T_22991, _T_22961) @[exu_mul_ctl.scala 137:112] + node _T_22993 = add(_T_22992, _T_22962) @[exu_mul_ctl.scala 137:112] + node _T_22994 = add(_T_22993, _T_22963) @[exu_mul_ctl.scala 137:112] + node _T_22995 = add(_T_22994, _T_22964) @[exu_mul_ctl.scala 137:112] + node _T_22996 = add(_T_22995, _T_22965) @[exu_mul_ctl.scala 137:112] + node _T_22997 = add(_T_22996, _T_22966) @[exu_mul_ctl.scala 137:112] + node _T_22998 = add(_T_22997, _T_22967) @[exu_mul_ctl.scala 137:112] + node _T_22999 = add(_T_22998, _T_22968) @[exu_mul_ctl.scala 137:112] + node _T_23000 = add(_T_22999, _T_22969) @[exu_mul_ctl.scala 137:112] + node _T_23001 = add(_T_23000, _T_22970) @[exu_mul_ctl.scala 137:112] + node _T_23002 = add(_T_23001, _T_22971) @[exu_mul_ctl.scala 137:112] + node _T_23003 = add(_T_23002, _T_22972) @[exu_mul_ctl.scala 137:112] + node _T_23004 = add(_T_23003, _T_22973) @[exu_mul_ctl.scala 137:112] + node _T_23005 = add(_T_23004, _T_22974) @[exu_mul_ctl.scala 137:112] + node _T_23006 = add(_T_23005, _T_22975) @[exu_mul_ctl.scala 137:112] + node _T_23007 = add(_T_23006, _T_22976) @[exu_mul_ctl.scala 137:112] + node _T_23008 = add(_T_23007, _T_22977) @[exu_mul_ctl.scala 137:112] + node _T_23009 = add(_T_23008, _T_22978) @[exu_mul_ctl.scala 137:112] + node _T_23010 = add(_T_23009, _T_22979) @[exu_mul_ctl.scala 137:112] + node _T_23011 = add(_T_23010, _T_22980) @[exu_mul_ctl.scala 137:112] + node _T_23012 = add(_T_23011, _T_22981) @[exu_mul_ctl.scala 137:112] + node _T_23013 = add(_T_23012, _T_22982) @[exu_mul_ctl.scala 137:112] + node _T_23014 = add(_T_23013, _T_22983) @[exu_mul_ctl.scala 137:112] + node _T_23015 = add(_T_23014, _T_22984) @[exu_mul_ctl.scala 137:112] + node _T_23016 = add(_T_23015, _T_22985) @[exu_mul_ctl.scala 137:112] + node _T_23017 = add(_T_23016, _T_22986) @[exu_mul_ctl.scala 137:112] + node _T_23018 = add(_T_23017, _T_22987) @[exu_mul_ctl.scala 137:112] + node _T_23019 = add(_T_23018, _T_22988) @[exu_mul_ctl.scala 137:112] + node _T_23020 = eq(_T_23019, UInt<5>("h014")) @[exu_mul_ctl.scala 138:87] + node _T_23021 = bits(_T_23020, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23022 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_23023 = mux(_T_23021, _T_23022, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_23024 = mux(_T_22955, _T_22956, _T_23023) @[Mux.scala 98:16] + node _T_23025 = mux(_T_22891, _T_22892, _T_23024) @[Mux.scala 98:16] + node _T_23026 = mux(_T_22829, _T_22830, _T_23025) @[Mux.scala 98:16] + node _T_23027 = mux(_T_22769, _T_22770, _T_23026) @[Mux.scala 98:16] + node _T_23028 = mux(_T_22711, _T_22712, _T_23027) @[Mux.scala 98:16] + node _T_23029 = mux(_T_22655, _T_22656, _T_23028) @[Mux.scala 98:16] + node _T_23030 = mux(_T_22601, _T_22602, _T_23029) @[Mux.scala 98:16] + node _T_23031 = mux(_T_22549, _T_22550, _T_23030) @[Mux.scala 98:16] + node _T_23032 = mux(_T_22499, _T_22500, _T_23031) @[Mux.scala 98:16] + node _T_23033 = mux(_T_22451, _T_22452, _T_23032) @[Mux.scala 98:16] + node _T_23034 = mux(_T_22405, _T_22406, _T_23033) @[Mux.scala 98:16] + node _T_23035 = mux(_T_22361, _T_22362, _T_23034) @[Mux.scala 98:16] + node _T_23036 = mux(_T_22319, _T_22320, _T_23035) @[Mux.scala 98:16] + node _T_23037 = mux(_T_22279, _T_22280, _T_23036) @[Mux.scala 98:16] + node _T_23038 = mux(_T_22241, _T_22242, _T_23037) @[Mux.scala 98:16] + node _T_23039 = mux(_T_22205, _T_22206, _T_23038) @[Mux.scala 98:16] + node _T_23040 = mux(_T_22171, _T_22172, _T_23039) @[Mux.scala 98:16] + node _T_23041 = mux(_T_22139, _T_22140, _T_23040) @[Mux.scala 98:16] + node _T_23042 = mux(_T_22109, _T_22110, _T_23041) @[Mux.scala 98:16] + node _T_23043 = mux(_T_22081, _T_22082, _T_23042) @[Mux.scala 98:16] + node _T_23044 = mux(_T_22055, _T_22056, _T_23043) @[Mux.scala 98:16] + node _T_23045 = mux(_T_22031, _T_22032, _T_23044) @[Mux.scala 98:16] + node _T_23046 = mux(_T_22009, _T_22010, _T_23045) @[Mux.scala 98:16] + node _T_23047 = mux(_T_21989, _T_21990, _T_23046) @[Mux.scala 98:16] + node _T_23048 = mux(_T_21971, _T_21972, _T_23047) @[Mux.scala 98:16] + node _T_23049 = mux(_T_21955, _T_21956, _T_23048) @[Mux.scala 98:16] + node _T_23050 = mux(_T_21941, _T_21942, _T_23049) @[Mux.scala 98:16] + node _T_23051 = mux(_T_21929, _T_21930, _T_23050) @[Mux.scala 98:16] + node _T_23052 = mux(_T_21919, _T_21920, _T_23051) @[Mux.scala 98:16] + node _T_23053 = mux(_T_21911, _T_21912, _T_23052) @[Mux.scala 98:16] + node _T_23054 = mux(_T_21905, _T_21906, _T_23053) @[Mux.scala 98:16] + node _T_23055 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_23056 = eq(_T_23055, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23057 = bits(_T_23056, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23058 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_23059 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23060 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23061 = add(_T_23059, _T_23060) @[exu_mul_ctl.scala 137:112] + node _T_23062 = eq(_T_23061, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23063 = bits(_T_23062, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23064 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_23065 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23066 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23067 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23068 = add(_T_23065, _T_23066) @[exu_mul_ctl.scala 137:112] + node _T_23069 = add(_T_23068, _T_23067) @[exu_mul_ctl.scala 137:112] + node _T_23070 = eq(_T_23069, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23071 = bits(_T_23070, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23072 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_23073 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23074 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23075 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23076 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23077 = add(_T_23073, _T_23074) @[exu_mul_ctl.scala 137:112] + node _T_23078 = add(_T_23077, _T_23075) @[exu_mul_ctl.scala 137:112] + node _T_23079 = add(_T_23078, _T_23076) @[exu_mul_ctl.scala 137:112] + node _T_23080 = eq(_T_23079, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23081 = bits(_T_23080, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23082 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_23083 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23084 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23085 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23086 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23087 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23088 = add(_T_23083, _T_23084) @[exu_mul_ctl.scala 137:112] + node _T_23089 = add(_T_23088, _T_23085) @[exu_mul_ctl.scala 137:112] + node _T_23090 = add(_T_23089, _T_23086) @[exu_mul_ctl.scala 137:112] + node _T_23091 = add(_T_23090, _T_23087) @[exu_mul_ctl.scala 137:112] + node _T_23092 = eq(_T_23091, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23093 = bits(_T_23092, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23094 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_23095 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23096 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23097 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23098 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23099 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23100 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23101 = add(_T_23095, _T_23096) @[exu_mul_ctl.scala 137:112] + node _T_23102 = add(_T_23101, _T_23097) @[exu_mul_ctl.scala 137:112] + node _T_23103 = add(_T_23102, _T_23098) @[exu_mul_ctl.scala 137:112] + node _T_23104 = add(_T_23103, _T_23099) @[exu_mul_ctl.scala 137:112] + node _T_23105 = add(_T_23104, _T_23100) @[exu_mul_ctl.scala 137:112] + node _T_23106 = eq(_T_23105, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23107 = bits(_T_23106, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23108 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_23109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23116 = add(_T_23109, _T_23110) @[exu_mul_ctl.scala 137:112] + node _T_23117 = add(_T_23116, _T_23111) @[exu_mul_ctl.scala 137:112] + node _T_23118 = add(_T_23117, _T_23112) @[exu_mul_ctl.scala 137:112] + node _T_23119 = add(_T_23118, _T_23113) @[exu_mul_ctl.scala 137:112] + node _T_23120 = add(_T_23119, _T_23114) @[exu_mul_ctl.scala 137:112] + node _T_23121 = add(_T_23120, _T_23115) @[exu_mul_ctl.scala 137:112] + node _T_23122 = eq(_T_23121, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23123 = bits(_T_23122, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23124 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_23125 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23126 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23127 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23128 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23129 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23130 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23131 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23132 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23133 = add(_T_23125, _T_23126) @[exu_mul_ctl.scala 137:112] + node _T_23134 = add(_T_23133, _T_23127) @[exu_mul_ctl.scala 137:112] + node _T_23135 = add(_T_23134, _T_23128) @[exu_mul_ctl.scala 137:112] + node _T_23136 = add(_T_23135, _T_23129) @[exu_mul_ctl.scala 137:112] + node _T_23137 = add(_T_23136, _T_23130) @[exu_mul_ctl.scala 137:112] + node _T_23138 = add(_T_23137, _T_23131) @[exu_mul_ctl.scala 137:112] + node _T_23139 = add(_T_23138, _T_23132) @[exu_mul_ctl.scala 137:112] + node _T_23140 = eq(_T_23139, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23141 = bits(_T_23140, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23142 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_23143 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23144 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23145 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23146 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23147 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23148 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23149 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23150 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23151 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23152 = add(_T_23143, _T_23144) @[exu_mul_ctl.scala 137:112] + node _T_23153 = add(_T_23152, _T_23145) @[exu_mul_ctl.scala 137:112] + node _T_23154 = add(_T_23153, _T_23146) @[exu_mul_ctl.scala 137:112] + node _T_23155 = add(_T_23154, _T_23147) @[exu_mul_ctl.scala 137:112] + node _T_23156 = add(_T_23155, _T_23148) @[exu_mul_ctl.scala 137:112] + node _T_23157 = add(_T_23156, _T_23149) @[exu_mul_ctl.scala 137:112] + node _T_23158 = add(_T_23157, _T_23150) @[exu_mul_ctl.scala 137:112] + node _T_23159 = add(_T_23158, _T_23151) @[exu_mul_ctl.scala 137:112] + node _T_23160 = eq(_T_23159, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23161 = bits(_T_23160, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23162 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_23163 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23164 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23165 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23166 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23167 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23168 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23169 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23170 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23171 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23172 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23173 = add(_T_23163, _T_23164) @[exu_mul_ctl.scala 137:112] + node _T_23174 = add(_T_23173, _T_23165) @[exu_mul_ctl.scala 137:112] + node _T_23175 = add(_T_23174, _T_23166) @[exu_mul_ctl.scala 137:112] + node _T_23176 = add(_T_23175, _T_23167) @[exu_mul_ctl.scala 137:112] + node _T_23177 = add(_T_23176, _T_23168) @[exu_mul_ctl.scala 137:112] + node _T_23178 = add(_T_23177, _T_23169) @[exu_mul_ctl.scala 137:112] + node _T_23179 = add(_T_23178, _T_23170) @[exu_mul_ctl.scala 137:112] + node _T_23180 = add(_T_23179, _T_23171) @[exu_mul_ctl.scala 137:112] + node _T_23181 = add(_T_23180, _T_23172) @[exu_mul_ctl.scala 137:112] + node _T_23182 = eq(_T_23181, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23183 = bits(_T_23182, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23184 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_23185 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23186 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23187 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23188 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23189 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23190 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23191 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23192 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23193 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23194 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23195 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23196 = add(_T_23185, _T_23186) @[exu_mul_ctl.scala 137:112] + node _T_23197 = add(_T_23196, _T_23187) @[exu_mul_ctl.scala 137:112] + node _T_23198 = add(_T_23197, _T_23188) @[exu_mul_ctl.scala 137:112] + node _T_23199 = add(_T_23198, _T_23189) @[exu_mul_ctl.scala 137:112] + node _T_23200 = add(_T_23199, _T_23190) @[exu_mul_ctl.scala 137:112] + node _T_23201 = add(_T_23200, _T_23191) @[exu_mul_ctl.scala 137:112] + node _T_23202 = add(_T_23201, _T_23192) @[exu_mul_ctl.scala 137:112] + node _T_23203 = add(_T_23202, _T_23193) @[exu_mul_ctl.scala 137:112] + node _T_23204 = add(_T_23203, _T_23194) @[exu_mul_ctl.scala 137:112] + node _T_23205 = add(_T_23204, _T_23195) @[exu_mul_ctl.scala 137:112] + node _T_23206 = eq(_T_23205, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23207 = bits(_T_23206, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23208 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_23209 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23210 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23211 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23212 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23213 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23214 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23215 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23216 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23217 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23218 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23219 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23220 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23221 = add(_T_23209, _T_23210) @[exu_mul_ctl.scala 137:112] + node _T_23222 = add(_T_23221, _T_23211) @[exu_mul_ctl.scala 137:112] + node _T_23223 = add(_T_23222, _T_23212) @[exu_mul_ctl.scala 137:112] + node _T_23224 = add(_T_23223, _T_23213) @[exu_mul_ctl.scala 137:112] + node _T_23225 = add(_T_23224, _T_23214) @[exu_mul_ctl.scala 137:112] + node _T_23226 = add(_T_23225, _T_23215) @[exu_mul_ctl.scala 137:112] + node _T_23227 = add(_T_23226, _T_23216) @[exu_mul_ctl.scala 137:112] + node _T_23228 = add(_T_23227, _T_23217) @[exu_mul_ctl.scala 137:112] + node _T_23229 = add(_T_23228, _T_23218) @[exu_mul_ctl.scala 137:112] + node _T_23230 = add(_T_23229, _T_23219) @[exu_mul_ctl.scala 137:112] + node _T_23231 = add(_T_23230, _T_23220) @[exu_mul_ctl.scala 137:112] + node _T_23232 = eq(_T_23231, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23233 = bits(_T_23232, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23234 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_23235 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23236 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23237 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23238 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23239 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23240 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23241 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23242 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23243 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23244 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23245 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23246 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23247 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23248 = add(_T_23235, _T_23236) @[exu_mul_ctl.scala 137:112] + node _T_23249 = add(_T_23248, _T_23237) @[exu_mul_ctl.scala 137:112] + node _T_23250 = add(_T_23249, _T_23238) @[exu_mul_ctl.scala 137:112] + node _T_23251 = add(_T_23250, _T_23239) @[exu_mul_ctl.scala 137:112] + node _T_23252 = add(_T_23251, _T_23240) @[exu_mul_ctl.scala 137:112] + node _T_23253 = add(_T_23252, _T_23241) @[exu_mul_ctl.scala 137:112] + node _T_23254 = add(_T_23253, _T_23242) @[exu_mul_ctl.scala 137:112] + node _T_23255 = add(_T_23254, _T_23243) @[exu_mul_ctl.scala 137:112] + node _T_23256 = add(_T_23255, _T_23244) @[exu_mul_ctl.scala 137:112] + node _T_23257 = add(_T_23256, _T_23245) @[exu_mul_ctl.scala 137:112] + node _T_23258 = add(_T_23257, _T_23246) @[exu_mul_ctl.scala 137:112] + node _T_23259 = add(_T_23258, _T_23247) @[exu_mul_ctl.scala 137:112] + node _T_23260 = eq(_T_23259, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23261 = bits(_T_23260, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23262 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_23263 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23264 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23265 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23266 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23267 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23268 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23269 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23270 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23271 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23272 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23273 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23274 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23275 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23276 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23277 = add(_T_23263, _T_23264) @[exu_mul_ctl.scala 137:112] + node _T_23278 = add(_T_23277, _T_23265) @[exu_mul_ctl.scala 137:112] + node _T_23279 = add(_T_23278, _T_23266) @[exu_mul_ctl.scala 137:112] + node _T_23280 = add(_T_23279, _T_23267) @[exu_mul_ctl.scala 137:112] + node _T_23281 = add(_T_23280, _T_23268) @[exu_mul_ctl.scala 137:112] + node _T_23282 = add(_T_23281, _T_23269) @[exu_mul_ctl.scala 137:112] + node _T_23283 = add(_T_23282, _T_23270) @[exu_mul_ctl.scala 137:112] + node _T_23284 = add(_T_23283, _T_23271) @[exu_mul_ctl.scala 137:112] + node _T_23285 = add(_T_23284, _T_23272) @[exu_mul_ctl.scala 137:112] + node _T_23286 = add(_T_23285, _T_23273) @[exu_mul_ctl.scala 137:112] + node _T_23287 = add(_T_23286, _T_23274) @[exu_mul_ctl.scala 137:112] + node _T_23288 = add(_T_23287, _T_23275) @[exu_mul_ctl.scala 137:112] + node _T_23289 = add(_T_23288, _T_23276) @[exu_mul_ctl.scala 137:112] + node _T_23290 = eq(_T_23289, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23291 = bits(_T_23290, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23292 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_23293 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23294 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23295 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23296 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23297 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23298 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23299 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23300 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23301 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23302 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23303 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23304 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23305 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23306 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23307 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23308 = add(_T_23293, _T_23294) @[exu_mul_ctl.scala 137:112] + node _T_23309 = add(_T_23308, _T_23295) @[exu_mul_ctl.scala 137:112] + node _T_23310 = add(_T_23309, _T_23296) @[exu_mul_ctl.scala 137:112] + node _T_23311 = add(_T_23310, _T_23297) @[exu_mul_ctl.scala 137:112] + node _T_23312 = add(_T_23311, _T_23298) @[exu_mul_ctl.scala 137:112] + node _T_23313 = add(_T_23312, _T_23299) @[exu_mul_ctl.scala 137:112] + node _T_23314 = add(_T_23313, _T_23300) @[exu_mul_ctl.scala 137:112] + node _T_23315 = add(_T_23314, _T_23301) @[exu_mul_ctl.scala 137:112] + node _T_23316 = add(_T_23315, _T_23302) @[exu_mul_ctl.scala 137:112] + node _T_23317 = add(_T_23316, _T_23303) @[exu_mul_ctl.scala 137:112] + node _T_23318 = add(_T_23317, _T_23304) @[exu_mul_ctl.scala 137:112] + node _T_23319 = add(_T_23318, _T_23305) @[exu_mul_ctl.scala 137:112] + node _T_23320 = add(_T_23319, _T_23306) @[exu_mul_ctl.scala 137:112] + node _T_23321 = add(_T_23320, _T_23307) @[exu_mul_ctl.scala 137:112] + node _T_23322 = eq(_T_23321, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23323 = bits(_T_23322, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23324 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_23325 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23326 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23327 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23328 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23329 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23330 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23331 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23332 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23333 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23334 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23335 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23336 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23337 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23338 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23339 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23340 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23341 = add(_T_23325, _T_23326) @[exu_mul_ctl.scala 137:112] + node _T_23342 = add(_T_23341, _T_23327) @[exu_mul_ctl.scala 137:112] + node _T_23343 = add(_T_23342, _T_23328) @[exu_mul_ctl.scala 137:112] + node _T_23344 = add(_T_23343, _T_23329) @[exu_mul_ctl.scala 137:112] + node _T_23345 = add(_T_23344, _T_23330) @[exu_mul_ctl.scala 137:112] + node _T_23346 = add(_T_23345, _T_23331) @[exu_mul_ctl.scala 137:112] + node _T_23347 = add(_T_23346, _T_23332) @[exu_mul_ctl.scala 137:112] + node _T_23348 = add(_T_23347, _T_23333) @[exu_mul_ctl.scala 137:112] + node _T_23349 = add(_T_23348, _T_23334) @[exu_mul_ctl.scala 137:112] + node _T_23350 = add(_T_23349, _T_23335) @[exu_mul_ctl.scala 137:112] + node _T_23351 = add(_T_23350, _T_23336) @[exu_mul_ctl.scala 137:112] + node _T_23352 = add(_T_23351, _T_23337) @[exu_mul_ctl.scala 137:112] + node _T_23353 = add(_T_23352, _T_23338) @[exu_mul_ctl.scala 137:112] + node _T_23354 = add(_T_23353, _T_23339) @[exu_mul_ctl.scala 137:112] + node _T_23355 = add(_T_23354, _T_23340) @[exu_mul_ctl.scala 137:112] + node _T_23356 = eq(_T_23355, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23357 = bits(_T_23356, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23358 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_23359 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23360 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23361 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23362 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23363 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23364 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23365 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23366 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23367 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23368 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23369 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23370 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23371 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23372 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23373 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23374 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23375 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23376 = add(_T_23359, _T_23360) @[exu_mul_ctl.scala 137:112] + node _T_23377 = add(_T_23376, _T_23361) @[exu_mul_ctl.scala 137:112] + node _T_23378 = add(_T_23377, _T_23362) @[exu_mul_ctl.scala 137:112] + node _T_23379 = add(_T_23378, _T_23363) @[exu_mul_ctl.scala 137:112] + node _T_23380 = add(_T_23379, _T_23364) @[exu_mul_ctl.scala 137:112] + node _T_23381 = add(_T_23380, _T_23365) @[exu_mul_ctl.scala 137:112] + node _T_23382 = add(_T_23381, _T_23366) @[exu_mul_ctl.scala 137:112] + node _T_23383 = add(_T_23382, _T_23367) @[exu_mul_ctl.scala 137:112] + node _T_23384 = add(_T_23383, _T_23368) @[exu_mul_ctl.scala 137:112] + node _T_23385 = add(_T_23384, _T_23369) @[exu_mul_ctl.scala 137:112] + node _T_23386 = add(_T_23385, _T_23370) @[exu_mul_ctl.scala 137:112] + node _T_23387 = add(_T_23386, _T_23371) @[exu_mul_ctl.scala 137:112] + node _T_23388 = add(_T_23387, _T_23372) @[exu_mul_ctl.scala 137:112] + node _T_23389 = add(_T_23388, _T_23373) @[exu_mul_ctl.scala 137:112] + node _T_23390 = add(_T_23389, _T_23374) @[exu_mul_ctl.scala 137:112] + node _T_23391 = add(_T_23390, _T_23375) @[exu_mul_ctl.scala 137:112] + node _T_23392 = eq(_T_23391, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23393 = bits(_T_23392, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23394 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_23395 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23396 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23397 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23398 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23399 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23400 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23401 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23402 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23403 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23404 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23405 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23406 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23407 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23408 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23409 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23410 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23411 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23412 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23413 = add(_T_23395, _T_23396) @[exu_mul_ctl.scala 137:112] + node _T_23414 = add(_T_23413, _T_23397) @[exu_mul_ctl.scala 137:112] + node _T_23415 = add(_T_23414, _T_23398) @[exu_mul_ctl.scala 137:112] + node _T_23416 = add(_T_23415, _T_23399) @[exu_mul_ctl.scala 137:112] + node _T_23417 = add(_T_23416, _T_23400) @[exu_mul_ctl.scala 137:112] + node _T_23418 = add(_T_23417, _T_23401) @[exu_mul_ctl.scala 137:112] + node _T_23419 = add(_T_23418, _T_23402) @[exu_mul_ctl.scala 137:112] + node _T_23420 = add(_T_23419, _T_23403) @[exu_mul_ctl.scala 137:112] + node _T_23421 = add(_T_23420, _T_23404) @[exu_mul_ctl.scala 137:112] + node _T_23422 = add(_T_23421, _T_23405) @[exu_mul_ctl.scala 137:112] + node _T_23423 = add(_T_23422, _T_23406) @[exu_mul_ctl.scala 137:112] + node _T_23424 = add(_T_23423, _T_23407) @[exu_mul_ctl.scala 137:112] + node _T_23425 = add(_T_23424, _T_23408) @[exu_mul_ctl.scala 137:112] + node _T_23426 = add(_T_23425, _T_23409) @[exu_mul_ctl.scala 137:112] + node _T_23427 = add(_T_23426, _T_23410) @[exu_mul_ctl.scala 137:112] + node _T_23428 = add(_T_23427, _T_23411) @[exu_mul_ctl.scala 137:112] + node _T_23429 = add(_T_23428, _T_23412) @[exu_mul_ctl.scala 137:112] + node _T_23430 = eq(_T_23429, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23431 = bits(_T_23430, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23432 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_23433 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23434 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23435 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23436 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23437 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23438 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23439 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23440 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23441 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23442 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23443 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23444 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23445 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23446 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23447 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23448 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23449 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23450 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23451 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23452 = add(_T_23433, _T_23434) @[exu_mul_ctl.scala 137:112] + node _T_23453 = add(_T_23452, _T_23435) @[exu_mul_ctl.scala 137:112] + node _T_23454 = add(_T_23453, _T_23436) @[exu_mul_ctl.scala 137:112] + node _T_23455 = add(_T_23454, _T_23437) @[exu_mul_ctl.scala 137:112] + node _T_23456 = add(_T_23455, _T_23438) @[exu_mul_ctl.scala 137:112] + node _T_23457 = add(_T_23456, _T_23439) @[exu_mul_ctl.scala 137:112] + node _T_23458 = add(_T_23457, _T_23440) @[exu_mul_ctl.scala 137:112] + node _T_23459 = add(_T_23458, _T_23441) @[exu_mul_ctl.scala 137:112] + node _T_23460 = add(_T_23459, _T_23442) @[exu_mul_ctl.scala 137:112] + node _T_23461 = add(_T_23460, _T_23443) @[exu_mul_ctl.scala 137:112] + node _T_23462 = add(_T_23461, _T_23444) @[exu_mul_ctl.scala 137:112] + node _T_23463 = add(_T_23462, _T_23445) @[exu_mul_ctl.scala 137:112] + node _T_23464 = add(_T_23463, _T_23446) @[exu_mul_ctl.scala 137:112] + node _T_23465 = add(_T_23464, _T_23447) @[exu_mul_ctl.scala 137:112] + node _T_23466 = add(_T_23465, _T_23448) @[exu_mul_ctl.scala 137:112] + node _T_23467 = add(_T_23466, _T_23449) @[exu_mul_ctl.scala 137:112] + node _T_23468 = add(_T_23467, _T_23450) @[exu_mul_ctl.scala 137:112] + node _T_23469 = add(_T_23468, _T_23451) @[exu_mul_ctl.scala 137:112] + node _T_23470 = eq(_T_23469, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23471 = bits(_T_23470, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23472 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_23473 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23474 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23475 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23476 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23477 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23478 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23479 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23480 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23481 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23482 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23483 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23484 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23485 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23486 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23487 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23488 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23489 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23490 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23491 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23492 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23493 = add(_T_23473, _T_23474) @[exu_mul_ctl.scala 137:112] + node _T_23494 = add(_T_23493, _T_23475) @[exu_mul_ctl.scala 137:112] + node _T_23495 = add(_T_23494, _T_23476) @[exu_mul_ctl.scala 137:112] + node _T_23496 = add(_T_23495, _T_23477) @[exu_mul_ctl.scala 137:112] + node _T_23497 = add(_T_23496, _T_23478) @[exu_mul_ctl.scala 137:112] + node _T_23498 = add(_T_23497, _T_23479) @[exu_mul_ctl.scala 137:112] + node _T_23499 = add(_T_23498, _T_23480) @[exu_mul_ctl.scala 137:112] + node _T_23500 = add(_T_23499, _T_23481) @[exu_mul_ctl.scala 137:112] + node _T_23501 = add(_T_23500, _T_23482) @[exu_mul_ctl.scala 137:112] + node _T_23502 = add(_T_23501, _T_23483) @[exu_mul_ctl.scala 137:112] + node _T_23503 = add(_T_23502, _T_23484) @[exu_mul_ctl.scala 137:112] + node _T_23504 = add(_T_23503, _T_23485) @[exu_mul_ctl.scala 137:112] + node _T_23505 = add(_T_23504, _T_23486) @[exu_mul_ctl.scala 137:112] + node _T_23506 = add(_T_23505, _T_23487) @[exu_mul_ctl.scala 137:112] + node _T_23507 = add(_T_23506, _T_23488) @[exu_mul_ctl.scala 137:112] + node _T_23508 = add(_T_23507, _T_23489) @[exu_mul_ctl.scala 137:112] + node _T_23509 = add(_T_23508, _T_23490) @[exu_mul_ctl.scala 137:112] + node _T_23510 = add(_T_23509, _T_23491) @[exu_mul_ctl.scala 137:112] + node _T_23511 = add(_T_23510, _T_23492) @[exu_mul_ctl.scala 137:112] + node _T_23512 = eq(_T_23511, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23513 = bits(_T_23512, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23514 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_23515 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23516 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23517 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23518 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23519 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23520 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23521 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23522 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23523 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23524 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23525 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23526 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23527 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23528 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23529 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23530 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23531 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23532 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23533 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23534 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23535 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23536 = add(_T_23515, _T_23516) @[exu_mul_ctl.scala 137:112] + node _T_23537 = add(_T_23536, _T_23517) @[exu_mul_ctl.scala 137:112] + node _T_23538 = add(_T_23537, _T_23518) @[exu_mul_ctl.scala 137:112] + node _T_23539 = add(_T_23538, _T_23519) @[exu_mul_ctl.scala 137:112] + node _T_23540 = add(_T_23539, _T_23520) @[exu_mul_ctl.scala 137:112] + node _T_23541 = add(_T_23540, _T_23521) @[exu_mul_ctl.scala 137:112] + node _T_23542 = add(_T_23541, _T_23522) @[exu_mul_ctl.scala 137:112] + node _T_23543 = add(_T_23542, _T_23523) @[exu_mul_ctl.scala 137:112] + node _T_23544 = add(_T_23543, _T_23524) @[exu_mul_ctl.scala 137:112] + node _T_23545 = add(_T_23544, _T_23525) @[exu_mul_ctl.scala 137:112] + node _T_23546 = add(_T_23545, _T_23526) @[exu_mul_ctl.scala 137:112] + node _T_23547 = add(_T_23546, _T_23527) @[exu_mul_ctl.scala 137:112] + node _T_23548 = add(_T_23547, _T_23528) @[exu_mul_ctl.scala 137:112] + node _T_23549 = add(_T_23548, _T_23529) @[exu_mul_ctl.scala 137:112] + node _T_23550 = add(_T_23549, _T_23530) @[exu_mul_ctl.scala 137:112] + node _T_23551 = add(_T_23550, _T_23531) @[exu_mul_ctl.scala 137:112] + node _T_23552 = add(_T_23551, _T_23532) @[exu_mul_ctl.scala 137:112] + node _T_23553 = add(_T_23552, _T_23533) @[exu_mul_ctl.scala 137:112] + node _T_23554 = add(_T_23553, _T_23534) @[exu_mul_ctl.scala 137:112] + node _T_23555 = add(_T_23554, _T_23535) @[exu_mul_ctl.scala 137:112] + node _T_23556 = eq(_T_23555, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23557 = bits(_T_23556, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23558 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_23559 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23560 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23561 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23562 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23563 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23564 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23565 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23566 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23567 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23568 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23569 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23570 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23571 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23572 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23573 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23574 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23575 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23576 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23577 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23578 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23579 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23580 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23581 = add(_T_23559, _T_23560) @[exu_mul_ctl.scala 137:112] + node _T_23582 = add(_T_23581, _T_23561) @[exu_mul_ctl.scala 137:112] + node _T_23583 = add(_T_23582, _T_23562) @[exu_mul_ctl.scala 137:112] + node _T_23584 = add(_T_23583, _T_23563) @[exu_mul_ctl.scala 137:112] + node _T_23585 = add(_T_23584, _T_23564) @[exu_mul_ctl.scala 137:112] + node _T_23586 = add(_T_23585, _T_23565) @[exu_mul_ctl.scala 137:112] + node _T_23587 = add(_T_23586, _T_23566) @[exu_mul_ctl.scala 137:112] + node _T_23588 = add(_T_23587, _T_23567) @[exu_mul_ctl.scala 137:112] + node _T_23589 = add(_T_23588, _T_23568) @[exu_mul_ctl.scala 137:112] + node _T_23590 = add(_T_23589, _T_23569) @[exu_mul_ctl.scala 137:112] + node _T_23591 = add(_T_23590, _T_23570) @[exu_mul_ctl.scala 137:112] + node _T_23592 = add(_T_23591, _T_23571) @[exu_mul_ctl.scala 137:112] + node _T_23593 = add(_T_23592, _T_23572) @[exu_mul_ctl.scala 137:112] + node _T_23594 = add(_T_23593, _T_23573) @[exu_mul_ctl.scala 137:112] + node _T_23595 = add(_T_23594, _T_23574) @[exu_mul_ctl.scala 137:112] + node _T_23596 = add(_T_23595, _T_23575) @[exu_mul_ctl.scala 137:112] + node _T_23597 = add(_T_23596, _T_23576) @[exu_mul_ctl.scala 137:112] + node _T_23598 = add(_T_23597, _T_23577) @[exu_mul_ctl.scala 137:112] + node _T_23599 = add(_T_23598, _T_23578) @[exu_mul_ctl.scala 137:112] + node _T_23600 = add(_T_23599, _T_23579) @[exu_mul_ctl.scala 137:112] + node _T_23601 = add(_T_23600, _T_23580) @[exu_mul_ctl.scala 137:112] + node _T_23602 = eq(_T_23601, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23603 = bits(_T_23602, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23604 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_23605 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23606 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23607 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23608 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23609 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23610 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23611 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23612 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23613 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23614 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23615 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23616 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23617 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23618 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23619 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23620 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23621 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23622 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23623 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23624 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23625 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23626 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23627 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23628 = add(_T_23605, _T_23606) @[exu_mul_ctl.scala 137:112] + node _T_23629 = add(_T_23628, _T_23607) @[exu_mul_ctl.scala 137:112] + node _T_23630 = add(_T_23629, _T_23608) @[exu_mul_ctl.scala 137:112] + node _T_23631 = add(_T_23630, _T_23609) @[exu_mul_ctl.scala 137:112] + node _T_23632 = add(_T_23631, _T_23610) @[exu_mul_ctl.scala 137:112] + node _T_23633 = add(_T_23632, _T_23611) @[exu_mul_ctl.scala 137:112] + node _T_23634 = add(_T_23633, _T_23612) @[exu_mul_ctl.scala 137:112] + node _T_23635 = add(_T_23634, _T_23613) @[exu_mul_ctl.scala 137:112] + node _T_23636 = add(_T_23635, _T_23614) @[exu_mul_ctl.scala 137:112] + node _T_23637 = add(_T_23636, _T_23615) @[exu_mul_ctl.scala 137:112] + node _T_23638 = add(_T_23637, _T_23616) @[exu_mul_ctl.scala 137:112] + node _T_23639 = add(_T_23638, _T_23617) @[exu_mul_ctl.scala 137:112] + node _T_23640 = add(_T_23639, _T_23618) @[exu_mul_ctl.scala 137:112] + node _T_23641 = add(_T_23640, _T_23619) @[exu_mul_ctl.scala 137:112] + node _T_23642 = add(_T_23641, _T_23620) @[exu_mul_ctl.scala 137:112] + node _T_23643 = add(_T_23642, _T_23621) @[exu_mul_ctl.scala 137:112] + node _T_23644 = add(_T_23643, _T_23622) @[exu_mul_ctl.scala 137:112] + node _T_23645 = add(_T_23644, _T_23623) @[exu_mul_ctl.scala 137:112] + node _T_23646 = add(_T_23645, _T_23624) @[exu_mul_ctl.scala 137:112] + node _T_23647 = add(_T_23646, _T_23625) @[exu_mul_ctl.scala 137:112] + node _T_23648 = add(_T_23647, _T_23626) @[exu_mul_ctl.scala 137:112] + node _T_23649 = add(_T_23648, _T_23627) @[exu_mul_ctl.scala 137:112] + node _T_23650 = eq(_T_23649, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23651 = bits(_T_23650, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23652 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_23653 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23654 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23655 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23656 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23657 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23658 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23659 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23660 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23661 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23662 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23663 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23664 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23665 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23666 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23667 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23668 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23669 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23670 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23671 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23672 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23673 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23674 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23675 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23676 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23677 = add(_T_23653, _T_23654) @[exu_mul_ctl.scala 137:112] + node _T_23678 = add(_T_23677, _T_23655) @[exu_mul_ctl.scala 137:112] + node _T_23679 = add(_T_23678, _T_23656) @[exu_mul_ctl.scala 137:112] + node _T_23680 = add(_T_23679, _T_23657) @[exu_mul_ctl.scala 137:112] + node _T_23681 = add(_T_23680, _T_23658) @[exu_mul_ctl.scala 137:112] + node _T_23682 = add(_T_23681, _T_23659) @[exu_mul_ctl.scala 137:112] + node _T_23683 = add(_T_23682, _T_23660) @[exu_mul_ctl.scala 137:112] + node _T_23684 = add(_T_23683, _T_23661) @[exu_mul_ctl.scala 137:112] + node _T_23685 = add(_T_23684, _T_23662) @[exu_mul_ctl.scala 137:112] + node _T_23686 = add(_T_23685, _T_23663) @[exu_mul_ctl.scala 137:112] + node _T_23687 = add(_T_23686, _T_23664) @[exu_mul_ctl.scala 137:112] + node _T_23688 = add(_T_23687, _T_23665) @[exu_mul_ctl.scala 137:112] + node _T_23689 = add(_T_23688, _T_23666) @[exu_mul_ctl.scala 137:112] + node _T_23690 = add(_T_23689, _T_23667) @[exu_mul_ctl.scala 137:112] + node _T_23691 = add(_T_23690, _T_23668) @[exu_mul_ctl.scala 137:112] + node _T_23692 = add(_T_23691, _T_23669) @[exu_mul_ctl.scala 137:112] + node _T_23693 = add(_T_23692, _T_23670) @[exu_mul_ctl.scala 137:112] + node _T_23694 = add(_T_23693, _T_23671) @[exu_mul_ctl.scala 137:112] + node _T_23695 = add(_T_23694, _T_23672) @[exu_mul_ctl.scala 137:112] + node _T_23696 = add(_T_23695, _T_23673) @[exu_mul_ctl.scala 137:112] + node _T_23697 = add(_T_23696, _T_23674) @[exu_mul_ctl.scala 137:112] + node _T_23698 = add(_T_23697, _T_23675) @[exu_mul_ctl.scala 137:112] + node _T_23699 = add(_T_23698, _T_23676) @[exu_mul_ctl.scala 137:112] + node _T_23700 = eq(_T_23699, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23701 = bits(_T_23700, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23702 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_23703 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23704 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23705 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23706 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23707 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23708 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23709 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23710 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23711 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23712 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23713 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23714 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23715 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23716 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23717 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23718 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23719 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23720 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23721 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23722 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23723 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23724 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23725 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23726 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23727 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_23728 = add(_T_23703, _T_23704) @[exu_mul_ctl.scala 137:112] + node _T_23729 = add(_T_23728, _T_23705) @[exu_mul_ctl.scala 137:112] + node _T_23730 = add(_T_23729, _T_23706) @[exu_mul_ctl.scala 137:112] + node _T_23731 = add(_T_23730, _T_23707) @[exu_mul_ctl.scala 137:112] + node _T_23732 = add(_T_23731, _T_23708) @[exu_mul_ctl.scala 137:112] + node _T_23733 = add(_T_23732, _T_23709) @[exu_mul_ctl.scala 137:112] + node _T_23734 = add(_T_23733, _T_23710) @[exu_mul_ctl.scala 137:112] + node _T_23735 = add(_T_23734, _T_23711) @[exu_mul_ctl.scala 137:112] + node _T_23736 = add(_T_23735, _T_23712) @[exu_mul_ctl.scala 137:112] + node _T_23737 = add(_T_23736, _T_23713) @[exu_mul_ctl.scala 137:112] + node _T_23738 = add(_T_23737, _T_23714) @[exu_mul_ctl.scala 137:112] + node _T_23739 = add(_T_23738, _T_23715) @[exu_mul_ctl.scala 137:112] + node _T_23740 = add(_T_23739, _T_23716) @[exu_mul_ctl.scala 137:112] + node _T_23741 = add(_T_23740, _T_23717) @[exu_mul_ctl.scala 137:112] + node _T_23742 = add(_T_23741, _T_23718) @[exu_mul_ctl.scala 137:112] + node _T_23743 = add(_T_23742, _T_23719) @[exu_mul_ctl.scala 137:112] + node _T_23744 = add(_T_23743, _T_23720) @[exu_mul_ctl.scala 137:112] + node _T_23745 = add(_T_23744, _T_23721) @[exu_mul_ctl.scala 137:112] + node _T_23746 = add(_T_23745, _T_23722) @[exu_mul_ctl.scala 137:112] + node _T_23747 = add(_T_23746, _T_23723) @[exu_mul_ctl.scala 137:112] + node _T_23748 = add(_T_23747, _T_23724) @[exu_mul_ctl.scala 137:112] + node _T_23749 = add(_T_23748, _T_23725) @[exu_mul_ctl.scala 137:112] + node _T_23750 = add(_T_23749, _T_23726) @[exu_mul_ctl.scala 137:112] + node _T_23751 = add(_T_23750, _T_23727) @[exu_mul_ctl.scala 137:112] + node _T_23752 = eq(_T_23751, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23753 = bits(_T_23752, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23754 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_23755 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23756 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23757 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23758 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23759 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23760 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23761 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23762 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23763 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23764 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23765 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23766 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23767 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23768 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23769 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23770 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23771 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23772 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23773 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23774 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23775 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23776 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23777 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23778 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23779 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_23780 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_23781 = add(_T_23755, _T_23756) @[exu_mul_ctl.scala 137:112] + node _T_23782 = add(_T_23781, _T_23757) @[exu_mul_ctl.scala 137:112] + node _T_23783 = add(_T_23782, _T_23758) @[exu_mul_ctl.scala 137:112] + node _T_23784 = add(_T_23783, _T_23759) @[exu_mul_ctl.scala 137:112] + node _T_23785 = add(_T_23784, _T_23760) @[exu_mul_ctl.scala 137:112] + node _T_23786 = add(_T_23785, _T_23761) @[exu_mul_ctl.scala 137:112] + node _T_23787 = add(_T_23786, _T_23762) @[exu_mul_ctl.scala 137:112] + node _T_23788 = add(_T_23787, _T_23763) @[exu_mul_ctl.scala 137:112] + node _T_23789 = add(_T_23788, _T_23764) @[exu_mul_ctl.scala 137:112] + node _T_23790 = add(_T_23789, _T_23765) @[exu_mul_ctl.scala 137:112] + node _T_23791 = add(_T_23790, _T_23766) @[exu_mul_ctl.scala 137:112] + node _T_23792 = add(_T_23791, _T_23767) @[exu_mul_ctl.scala 137:112] + node _T_23793 = add(_T_23792, _T_23768) @[exu_mul_ctl.scala 137:112] + node _T_23794 = add(_T_23793, _T_23769) @[exu_mul_ctl.scala 137:112] + node _T_23795 = add(_T_23794, _T_23770) @[exu_mul_ctl.scala 137:112] + node _T_23796 = add(_T_23795, _T_23771) @[exu_mul_ctl.scala 137:112] + node _T_23797 = add(_T_23796, _T_23772) @[exu_mul_ctl.scala 137:112] + node _T_23798 = add(_T_23797, _T_23773) @[exu_mul_ctl.scala 137:112] + node _T_23799 = add(_T_23798, _T_23774) @[exu_mul_ctl.scala 137:112] + node _T_23800 = add(_T_23799, _T_23775) @[exu_mul_ctl.scala 137:112] + node _T_23801 = add(_T_23800, _T_23776) @[exu_mul_ctl.scala 137:112] + node _T_23802 = add(_T_23801, _T_23777) @[exu_mul_ctl.scala 137:112] + node _T_23803 = add(_T_23802, _T_23778) @[exu_mul_ctl.scala 137:112] + node _T_23804 = add(_T_23803, _T_23779) @[exu_mul_ctl.scala 137:112] + node _T_23805 = add(_T_23804, _T_23780) @[exu_mul_ctl.scala 137:112] + node _T_23806 = eq(_T_23805, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23807 = bits(_T_23806, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23808 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_23809 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23810 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23811 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23812 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23813 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23814 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23815 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23816 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23817 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23818 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23819 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23820 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23821 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23822 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23823 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23824 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23825 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23826 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23827 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23828 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23829 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23830 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23831 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23832 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23833 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_23834 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_23835 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_23836 = add(_T_23809, _T_23810) @[exu_mul_ctl.scala 137:112] + node _T_23837 = add(_T_23836, _T_23811) @[exu_mul_ctl.scala 137:112] + node _T_23838 = add(_T_23837, _T_23812) @[exu_mul_ctl.scala 137:112] + node _T_23839 = add(_T_23838, _T_23813) @[exu_mul_ctl.scala 137:112] + node _T_23840 = add(_T_23839, _T_23814) @[exu_mul_ctl.scala 137:112] + node _T_23841 = add(_T_23840, _T_23815) @[exu_mul_ctl.scala 137:112] + node _T_23842 = add(_T_23841, _T_23816) @[exu_mul_ctl.scala 137:112] + node _T_23843 = add(_T_23842, _T_23817) @[exu_mul_ctl.scala 137:112] + node _T_23844 = add(_T_23843, _T_23818) @[exu_mul_ctl.scala 137:112] + node _T_23845 = add(_T_23844, _T_23819) @[exu_mul_ctl.scala 137:112] + node _T_23846 = add(_T_23845, _T_23820) @[exu_mul_ctl.scala 137:112] + node _T_23847 = add(_T_23846, _T_23821) @[exu_mul_ctl.scala 137:112] + node _T_23848 = add(_T_23847, _T_23822) @[exu_mul_ctl.scala 137:112] + node _T_23849 = add(_T_23848, _T_23823) @[exu_mul_ctl.scala 137:112] + node _T_23850 = add(_T_23849, _T_23824) @[exu_mul_ctl.scala 137:112] + node _T_23851 = add(_T_23850, _T_23825) @[exu_mul_ctl.scala 137:112] + node _T_23852 = add(_T_23851, _T_23826) @[exu_mul_ctl.scala 137:112] + node _T_23853 = add(_T_23852, _T_23827) @[exu_mul_ctl.scala 137:112] + node _T_23854 = add(_T_23853, _T_23828) @[exu_mul_ctl.scala 137:112] + node _T_23855 = add(_T_23854, _T_23829) @[exu_mul_ctl.scala 137:112] + node _T_23856 = add(_T_23855, _T_23830) @[exu_mul_ctl.scala 137:112] + node _T_23857 = add(_T_23856, _T_23831) @[exu_mul_ctl.scala 137:112] + node _T_23858 = add(_T_23857, _T_23832) @[exu_mul_ctl.scala 137:112] + node _T_23859 = add(_T_23858, _T_23833) @[exu_mul_ctl.scala 137:112] + node _T_23860 = add(_T_23859, _T_23834) @[exu_mul_ctl.scala 137:112] + node _T_23861 = add(_T_23860, _T_23835) @[exu_mul_ctl.scala 137:112] + node _T_23862 = eq(_T_23861, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23863 = bits(_T_23862, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23864 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_23865 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23866 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23867 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23868 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23869 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23870 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23871 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23872 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23873 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23874 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23875 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23876 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23877 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23878 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23879 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23880 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23881 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23882 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23883 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23884 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23885 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23886 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23887 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23888 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23889 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_23890 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_23891 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_23892 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_23893 = add(_T_23865, _T_23866) @[exu_mul_ctl.scala 137:112] + node _T_23894 = add(_T_23893, _T_23867) @[exu_mul_ctl.scala 137:112] + node _T_23895 = add(_T_23894, _T_23868) @[exu_mul_ctl.scala 137:112] + node _T_23896 = add(_T_23895, _T_23869) @[exu_mul_ctl.scala 137:112] + node _T_23897 = add(_T_23896, _T_23870) @[exu_mul_ctl.scala 137:112] + node _T_23898 = add(_T_23897, _T_23871) @[exu_mul_ctl.scala 137:112] + node _T_23899 = add(_T_23898, _T_23872) @[exu_mul_ctl.scala 137:112] + node _T_23900 = add(_T_23899, _T_23873) @[exu_mul_ctl.scala 137:112] + node _T_23901 = add(_T_23900, _T_23874) @[exu_mul_ctl.scala 137:112] + node _T_23902 = add(_T_23901, _T_23875) @[exu_mul_ctl.scala 137:112] + node _T_23903 = add(_T_23902, _T_23876) @[exu_mul_ctl.scala 137:112] + node _T_23904 = add(_T_23903, _T_23877) @[exu_mul_ctl.scala 137:112] + node _T_23905 = add(_T_23904, _T_23878) @[exu_mul_ctl.scala 137:112] + node _T_23906 = add(_T_23905, _T_23879) @[exu_mul_ctl.scala 137:112] + node _T_23907 = add(_T_23906, _T_23880) @[exu_mul_ctl.scala 137:112] + node _T_23908 = add(_T_23907, _T_23881) @[exu_mul_ctl.scala 137:112] + node _T_23909 = add(_T_23908, _T_23882) @[exu_mul_ctl.scala 137:112] + node _T_23910 = add(_T_23909, _T_23883) @[exu_mul_ctl.scala 137:112] + node _T_23911 = add(_T_23910, _T_23884) @[exu_mul_ctl.scala 137:112] + node _T_23912 = add(_T_23911, _T_23885) @[exu_mul_ctl.scala 137:112] + node _T_23913 = add(_T_23912, _T_23886) @[exu_mul_ctl.scala 137:112] + node _T_23914 = add(_T_23913, _T_23887) @[exu_mul_ctl.scala 137:112] + node _T_23915 = add(_T_23914, _T_23888) @[exu_mul_ctl.scala 137:112] + node _T_23916 = add(_T_23915, _T_23889) @[exu_mul_ctl.scala 137:112] + node _T_23917 = add(_T_23916, _T_23890) @[exu_mul_ctl.scala 137:112] + node _T_23918 = add(_T_23917, _T_23891) @[exu_mul_ctl.scala 137:112] + node _T_23919 = add(_T_23918, _T_23892) @[exu_mul_ctl.scala 137:112] + node _T_23920 = eq(_T_23919, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23921 = bits(_T_23920, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23922 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_23923 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23924 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23925 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23926 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23927 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23928 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23929 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23930 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23931 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23932 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23933 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23934 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23935 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23936 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23937 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23938 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23939 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_23940 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_23941 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_23942 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_23943 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_23944 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_23945 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_23946 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_23947 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_23948 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_23949 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_23950 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_23951 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_23952 = add(_T_23923, _T_23924) @[exu_mul_ctl.scala 137:112] + node _T_23953 = add(_T_23952, _T_23925) @[exu_mul_ctl.scala 137:112] + node _T_23954 = add(_T_23953, _T_23926) @[exu_mul_ctl.scala 137:112] + node _T_23955 = add(_T_23954, _T_23927) @[exu_mul_ctl.scala 137:112] + node _T_23956 = add(_T_23955, _T_23928) @[exu_mul_ctl.scala 137:112] + node _T_23957 = add(_T_23956, _T_23929) @[exu_mul_ctl.scala 137:112] + node _T_23958 = add(_T_23957, _T_23930) @[exu_mul_ctl.scala 137:112] + node _T_23959 = add(_T_23958, _T_23931) @[exu_mul_ctl.scala 137:112] + node _T_23960 = add(_T_23959, _T_23932) @[exu_mul_ctl.scala 137:112] + node _T_23961 = add(_T_23960, _T_23933) @[exu_mul_ctl.scala 137:112] + node _T_23962 = add(_T_23961, _T_23934) @[exu_mul_ctl.scala 137:112] + node _T_23963 = add(_T_23962, _T_23935) @[exu_mul_ctl.scala 137:112] + node _T_23964 = add(_T_23963, _T_23936) @[exu_mul_ctl.scala 137:112] + node _T_23965 = add(_T_23964, _T_23937) @[exu_mul_ctl.scala 137:112] + node _T_23966 = add(_T_23965, _T_23938) @[exu_mul_ctl.scala 137:112] + node _T_23967 = add(_T_23966, _T_23939) @[exu_mul_ctl.scala 137:112] + node _T_23968 = add(_T_23967, _T_23940) @[exu_mul_ctl.scala 137:112] + node _T_23969 = add(_T_23968, _T_23941) @[exu_mul_ctl.scala 137:112] + node _T_23970 = add(_T_23969, _T_23942) @[exu_mul_ctl.scala 137:112] + node _T_23971 = add(_T_23970, _T_23943) @[exu_mul_ctl.scala 137:112] + node _T_23972 = add(_T_23971, _T_23944) @[exu_mul_ctl.scala 137:112] + node _T_23973 = add(_T_23972, _T_23945) @[exu_mul_ctl.scala 137:112] + node _T_23974 = add(_T_23973, _T_23946) @[exu_mul_ctl.scala 137:112] + node _T_23975 = add(_T_23974, _T_23947) @[exu_mul_ctl.scala 137:112] + node _T_23976 = add(_T_23975, _T_23948) @[exu_mul_ctl.scala 137:112] + node _T_23977 = add(_T_23976, _T_23949) @[exu_mul_ctl.scala 137:112] + node _T_23978 = add(_T_23977, _T_23950) @[exu_mul_ctl.scala 137:112] + node _T_23979 = add(_T_23978, _T_23951) @[exu_mul_ctl.scala 137:112] + node _T_23980 = eq(_T_23979, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_23981 = bits(_T_23980, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_23982 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_23983 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_23984 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_23985 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_23986 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_23987 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_23988 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_23989 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_23990 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_23991 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_23992 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_23993 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_23994 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_23995 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_23996 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_23997 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_23998 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_23999 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24000 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24001 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24002 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24003 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24004 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24005 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24006 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24007 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24008 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_24009 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_24010 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_24011 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_24012 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_24013 = add(_T_23983, _T_23984) @[exu_mul_ctl.scala 137:112] + node _T_24014 = add(_T_24013, _T_23985) @[exu_mul_ctl.scala 137:112] + node _T_24015 = add(_T_24014, _T_23986) @[exu_mul_ctl.scala 137:112] + node _T_24016 = add(_T_24015, _T_23987) @[exu_mul_ctl.scala 137:112] + node _T_24017 = add(_T_24016, _T_23988) @[exu_mul_ctl.scala 137:112] + node _T_24018 = add(_T_24017, _T_23989) @[exu_mul_ctl.scala 137:112] + node _T_24019 = add(_T_24018, _T_23990) @[exu_mul_ctl.scala 137:112] + node _T_24020 = add(_T_24019, _T_23991) @[exu_mul_ctl.scala 137:112] + node _T_24021 = add(_T_24020, _T_23992) @[exu_mul_ctl.scala 137:112] + node _T_24022 = add(_T_24021, _T_23993) @[exu_mul_ctl.scala 137:112] + node _T_24023 = add(_T_24022, _T_23994) @[exu_mul_ctl.scala 137:112] + node _T_24024 = add(_T_24023, _T_23995) @[exu_mul_ctl.scala 137:112] + node _T_24025 = add(_T_24024, _T_23996) @[exu_mul_ctl.scala 137:112] + node _T_24026 = add(_T_24025, _T_23997) @[exu_mul_ctl.scala 137:112] + node _T_24027 = add(_T_24026, _T_23998) @[exu_mul_ctl.scala 137:112] + node _T_24028 = add(_T_24027, _T_23999) @[exu_mul_ctl.scala 137:112] + node _T_24029 = add(_T_24028, _T_24000) @[exu_mul_ctl.scala 137:112] + node _T_24030 = add(_T_24029, _T_24001) @[exu_mul_ctl.scala 137:112] + node _T_24031 = add(_T_24030, _T_24002) @[exu_mul_ctl.scala 137:112] + node _T_24032 = add(_T_24031, _T_24003) @[exu_mul_ctl.scala 137:112] + node _T_24033 = add(_T_24032, _T_24004) @[exu_mul_ctl.scala 137:112] + node _T_24034 = add(_T_24033, _T_24005) @[exu_mul_ctl.scala 137:112] + node _T_24035 = add(_T_24034, _T_24006) @[exu_mul_ctl.scala 137:112] + node _T_24036 = add(_T_24035, _T_24007) @[exu_mul_ctl.scala 137:112] + node _T_24037 = add(_T_24036, _T_24008) @[exu_mul_ctl.scala 137:112] + node _T_24038 = add(_T_24037, _T_24009) @[exu_mul_ctl.scala 137:112] + node _T_24039 = add(_T_24038, _T_24010) @[exu_mul_ctl.scala 137:112] + node _T_24040 = add(_T_24039, _T_24011) @[exu_mul_ctl.scala 137:112] + node _T_24041 = add(_T_24040, _T_24012) @[exu_mul_ctl.scala 137:112] + node _T_24042 = eq(_T_24041, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_24043 = bits(_T_24042, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24044 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_24045 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24046 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24047 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24048 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24049 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24050 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24051 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24052 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24053 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24054 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24055 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24056 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24057 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24058 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24059 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24060 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24061 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24062 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24063 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24064 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24065 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24066 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24067 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24068 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24069 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24070 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_24071 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_24072 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_24073 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_24074 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_24075 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_24076 = add(_T_24045, _T_24046) @[exu_mul_ctl.scala 137:112] + node _T_24077 = add(_T_24076, _T_24047) @[exu_mul_ctl.scala 137:112] + node _T_24078 = add(_T_24077, _T_24048) @[exu_mul_ctl.scala 137:112] + node _T_24079 = add(_T_24078, _T_24049) @[exu_mul_ctl.scala 137:112] + node _T_24080 = add(_T_24079, _T_24050) @[exu_mul_ctl.scala 137:112] + node _T_24081 = add(_T_24080, _T_24051) @[exu_mul_ctl.scala 137:112] + node _T_24082 = add(_T_24081, _T_24052) @[exu_mul_ctl.scala 137:112] + node _T_24083 = add(_T_24082, _T_24053) @[exu_mul_ctl.scala 137:112] + node _T_24084 = add(_T_24083, _T_24054) @[exu_mul_ctl.scala 137:112] + node _T_24085 = add(_T_24084, _T_24055) @[exu_mul_ctl.scala 137:112] + node _T_24086 = add(_T_24085, _T_24056) @[exu_mul_ctl.scala 137:112] + node _T_24087 = add(_T_24086, _T_24057) @[exu_mul_ctl.scala 137:112] + node _T_24088 = add(_T_24087, _T_24058) @[exu_mul_ctl.scala 137:112] + node _T_24089 = add(_T_24088, _T_24059) @[exu_mul_ctl.scala 137:112] + node _T_24090 = add(_T_24089, _T_24060) @[exu_mul_ctl.scala 137:112] + node _T_24091 = add(_T_24090, _T_24061) @[exu_mul_ctl.scala 137:112] + node _T_24092 = add(_T_24091, _T_24062) @[exu_mul_ctl.scala 137:112] + node _T_24093 = add(_T_24092, _T_24063) @[exu_mul_ctl.scala 137:112] + node _T_24094 = add(_T_24093, _T_24064) @[exu_mul_ctl.scala 137:112] + node _T_24095 = add(_T_24094, _T_24065) @[exu_mul_ctl.scala 137:112] + node _T_24096 = add(_T_24095, _T_24066) @[exu_mul_ctl.scala 137:112] + node _T_24097 = add(_T_24096, _T_24067) @[exu_mul_ctl.scala 137:112] + node _T_24098 = add(_T_24097, _T_24068) @[exu_mul_ctl.scala 137:112] + node _T_24099 = add(_T_24098, _T_24069) @[exu_mul_ctl.scala 137:112] + node _T_24100 = add(_T_24099, _T_24070) @[exu_mul_ctl.scala 137:112] + node _T_24101 = add(_T_24100, _T_24071) @[exu_mul_ctl.scala 137:112] + node _T_24102 = add(_T_24101, _T_24072) @[exu_mul_ctl.scala 137:112] + node _T_24103 = add(_T_24102, _T_24073) @[exu_mul_ctl.scala 137:112] + node _T_24104 = add(_T_24103, _T_24074) @[exu_mul_ctl.scala 137:112] + node _T_24105 = add(_T_24104, _T_24075) @[exu_mul_ctl.scala 137:112] + node _T_24106 = eq(_T_24105, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_24107 = bits(_T_24106, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24108 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_24109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24116 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24117 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24118 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24119 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24120 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24121 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24122 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24123 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24124 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24125 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24126 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24127 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24128 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24129 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24130 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24131 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24132 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24133 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24134 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_24135 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_24136 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_24137 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_24138 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_24139 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_24140 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_24141 = add(_T_24109, _T_24110) @[exu_mul_ctl.scala 137:112] + node _T_24142 = add(_T_24141, _T_24111) @[exu_mul_ctl.scala 137:112] + node _T_24143 = add(_T_24142, _T_24112) @[exu_mul_ctl.scala 137:112] + node _T_24144 = add(_T_24143, _T_24113) @[exu_mul_ctl.scala 137:112] + node _T_24145 = add(_T_24144, _T_24114) @[exu_mul_ctl.scala 137:112] + node _T_24146 = add(_T_24145, _T_24115) @[exu_mul_ctl.scala 137:112] + node _T_24147 = add(_T_24146, _T_24116) @[exu_mul_ctl.scala 137:112] + node _T_24148 = add(_T_24147, _T_24117) @[exu_mul_ctl.scala 137:112] + node _T_24149 = add(_T_24148, _T_24118) @[exu_mul_ctl.scala 137:112] + node _T_24150 = add(_T_24149, _T_24119) @[exu_mul_ctl.scala 137:112] + node _T_24151 = add(_T_24150, _T_24120) @[exu_mul_ctl.scala 137:112] + node _T_24152 = add(_T_24151, _T_24121) @[exu_mul_ctl.scala 137:112] + node _T_24153 = add(_T_24152, _T_24122) @[exu_mul_ctl.scala 137:112] + node _T_24154 = add(_T_24153, _T_24123) @[exu_mul_ctl.scala 137:112] + node _T_24155 = add(_T_24154, _T_24124) @[exu_mul_ctl.scala 137:112] + node _T_24156 = add(_T_24155, _T_24125) @[exu_mul_ctl.scala 137:112] + node _T_24157 = add(_T_24156, _T_24126) @[exu_mul_ctl.scala 137:112] + node _T_24158 = add(_T_24157, _T_24127) @[exu_mul_ctl.scala 137:112] + node _T_24159 = add(_T_24158, _T_24128) @[exu_mul_ctl.scala 137:112] + node _T_24160 = add(_T_24159, _T_24129) @[exu_mul_ctl.scala 137:112] + node _T_24161 = add(_T_24160, _T_24130) @[exu_mul_ctl.scala 137:112] + node _T_24162 = add(_T_24161, _T_24131) @[exu_mul_ctl.scala 137:112] + node _T_24163 = add(_T_24162, _T_24132) @[exu_mul_ctl.scala 137:112] + node _T_24164 = add(_T_24163, _T_24133) @[exu_mul_ctl.scala 137:112] + node _T_24165 = add(_T_24164, _T_24134) @[exu_mul_ctl.scala 137:112] + node _T_24166 = add(_T_24165, _T_24135) @[exu_mul_ctl.scala 137:112] + node _T_24167 = add(_T_24166, _T_24136) @[exu_mul_ctl.scala 137:112] + node _T_24168 = add(_T_24167, _T_24137) @[exu_mul_ctl.scala 137:112] + node _T_24169 = add(_T_24168, _T_24138) @[exu_mul_ctl.scala 137:112] + node _T_24170 = add(_T_24169, _T_24139) @[exu_mul_ctl.scala 137:112] + node _T_24171 = add(_T_24170, _T_24140) @[exu_mul_ctl.scala 137:112] + node _T_24172 = eq(_T_24171, UInt<5>("h015")) @[exu_mul_ctl.scala 138:87] + node _T_24173 = bits(_T_24172, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24174 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_24175 = mux(_T_24173, _T_24174, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_24176 = mux(_T_24107, _T_24108, _T_24175) @[Mux.scala 98:16] + node _T_24177 = mux(_T_24043, _T_24044, _T_24176) @[Mux.scala 98:16] + node _T_24178 = mux(_T_23981, _T_23982, _T_24177) @[Mux.scala 98:16] + node _T_24179 = mux(_T_23921, _T_23922, _T_24178) @[Mux.scala 98:16] + node _T_24180 = mux(_T_23863, _T_23864, _T_24179) @[Mux.scala 98:16] + node _T_24181 = mux(_T_23807, _T_23808, _T_24180) @[Mux.scala 98:16] + node _T_24182 = mux(_T_23753, _T_23754, _T_24181) @[Mux.scala 98:16] + node _T_24183 = mux(_T_23701, _T_23702, _T_24182) @[Mux.scala 98:16] + node _T_24184 = mux(_T_23651, _T_23652, _T_24183) @[Mux.scala 98:16] + node _T_24185 = mux(_T_23603, _T_23604, _T_24184) @[Mux.scala 98:16] + node _T_24186 = mux(_T_23557, _T_23558, _T_24185) @[Mux.scala 98:16] + node _T_24187 = mux(_T_23513, _T_23514, _T_24186) @[Mux.scala 98:16] + node _T_24188 = mux(_T_23471, _T_23472, _T_24187) @[Mux.scala 98:16] + node _T_24189 = mux(_T_23431, _T_23432, _T_24188) @[Mux.scala 98:16] + node _T_24190 = mux(_T_23393, _T_23394, _T_24189) @[Mux.scala 98:16] + node _T_24191 = mux(_T_23357, _T_23358, _T_24190) @[Mux.scala 98:16] + node _T_24192 = mux(_T_23323, _T_23324, _T_24191) @[Mux.scala 98:16] + node _T_24193 = mux(_T_23291, _T_23292, _T_24192) @[Mux.scala 98:16] + node _T_24194 = mux(_T_23261, _T_23262, _T_24193) @[Mux.scala 98:16] + node _T_24195 = mux(_T_23233, _T_23234, _T_24194) @[Mux.scala 98:16] + node _T_24196 = mux(_T_23207, _T_23208, _T_24195) @[Mux.scala 98:16] + node _T_24197 = mux(_T_23183, _T_23184, _T_24196) @[Mux.scala 98:16] + node _T_24198 = mux(_T_23161, _T_23162, _T_24197) @[Mux.scala 98:16] + node _T_24199 = mux(_T_23141, _T_23142, _T_24198) @[Mux.scala 98:16] + node _T_24200 = mux(_T_23123, _T_23124, _T_24199) @[Mux.scala 98:16] + node _T_24201 = mux(_T_23107, _T_23108, _T_24200) @[Mux.scala 98:16] + node _T_24202 = mux(_T_23093, _T_23094, _T_24201) @[Mux.scala 98:16] + node _T_24203 = mux(_T_23081, _T_23082, _T_24202) @[Mux.scala 98:16] + node _T_24204 = mux(_T_23071, _T_23072, _T_24203) @[Mux.scala 98:16] + node _T_24205 = mux(_T_23063, _T_23064, _T_24204) @[Mux.scala 98:16] + node _T_24206 = mux(_T_23057, _T_23058, _T_24205) @[Mux.scala 98:16] + node _T_24207 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_24208 = eq(_T_24207, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24209 = bits(_T_24208, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24210 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_24211 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24212 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24213 = add(_T_24211, _T_24212) @[exu_mul_ctl.scala 137:112] + node _T_24214 = eq(_T_24213, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24215 = bits(_T_24214, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24216 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_24217 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24218 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24219 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24220 = add(_T_24217, _T_24218) @[exu_mul_ctl.scala 137:112] + node _T_24221 = add(_T_24220, _T_24219) @[exu_mul_ctl.scala 137:112] + node _T_24222 = eq(_T_24221, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24223 = bits(_T_24222, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24224 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_24225 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24226 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24227 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24228 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24229 = add(_T_24225, _T_24226) @[exu_mul_ctl.scala 137:112] + node _T_24230 = add(_T_24229, _T_24227) @[exu_mul_ctl.scala 137:112] + node _T_24231 = add(_T_24230, _T_24228) @[exu_mul_ctl.scala 137:112] + node _T_24232 = eq(_T_24231, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24233 = bits(_T_24232, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24234 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_24235 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24236 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24237 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24238 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24239 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24240 = add(_T_24235, _T_24236) @[exu_mul_ctl.scala 137:112] + node _T_24241 = add(_T_24240, _T_24237) @[exu_mul_ctl.scala 137:112] + node _T_24242 = add(_T_24241, _T_24238) @[exu_mul_ctl.scala 137:112] + node _T_24243 = add(_T_24242, _T_24239) @[exu_mul_ctl.scala 137:112] + node _T_24244 = eq(_T_24243, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24245 = bits(_T_24244, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24246 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_24247 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24248 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24249 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24250 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24251 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24252 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24253 = add(_T_24247, _T_24248) @[exu_mul_ctl.scala 137:112] + node _T_24254 = add(_T_24253, _T_24249) @[exu_mul_ctl.scala 137:112] + node _T_24255 = add(_T_24254, _T_24250) @[exu_mul_ctl.scala 137:112] + node _T_24256 = add(_T_24255, _T_24251) @[exu_mul_ctl.scala 137:112] + node _T_24257 = add(_T_24256, _T_24252) @[exu_mul_ctl.scala 137:112] + node _T_24258 = eq(_T_24257, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24259 = bits(_T_24258, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24260 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_24261 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24262 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24263 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24264 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24265 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24266 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24267 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24268 = add(_T_24261, _T_24262) @[exu_mul_ctl.scala 137:112] + node _T_24269 = add(_T_24268, _T_24263) @[exu_mul_ctl.scala 137:112] + node _T_24270 = add(_T_24269, _T_24264) @[exu_mul_ctl.scala 137:112] + node _T_24271 = add(_T_24270, _T_24265) @[exu_mul_ctl.scala 137:112] + node _T_24272 = add(_T_24271, _T_24266) @[exu_mul_ctl.scala 137:112] + node _T_24273 = add(_T_24272, _T_24267) @[exu_mul_ctl.scala 137:112] + node _T_24274 = eq(_T_24273, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24275 = bits(_T_24274, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24276 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_24277 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24278 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24279 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24280 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24281 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24282 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24283 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24284 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24285 = add(_T_24277, _T_24278) @[exu_mul_ctl.scala 137:112] + node _T_24286 = add(_T_24285, _T_24279) @[exu_mul_ctl.scala 137:112] + node _T_24287 = add(_T_24286, _T_24280) @[exu_mul_ctl.scala 137:112] + node _T_24288 = add(_T_24287, _T_24281) @[exu_mul_ctl.scala 137:112] + node _T_24289 = add(_T_24288, _T_24282) @[exu_mul_ctl.scala 137:112] + node _T_24290 = add(_T_24289, _T_24283) @[exu_mul_ctl.scala 137:112] + node _T_24291 = add(_T_24290, _T_24284) @[exu_mul_ctl.scala 137:112] + node _T_24292 = eq(_T_24291, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24293 = bits(_T_24292, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24294 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_24295 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24296 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24297 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24298 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24299 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24300 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24301 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24302 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24303 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24304 = add(_T_24295, _T_24296) @[exu_mul_ctl.scala 137:112] + node _T_24305 = add(_T_24304, _T_24297) @[exu_mul_ctl.scala 137:112] + node _T_24306 = add(_T_24305, _T_24298) @[exu_mul_ctl.scala 137:112] + node _T_24307 = add(_T_24306, _T_24299) @[exu_mul_ctl.scala 137:112] + node _T_24308 = add(_T_24307, _T_24300) @[exu_mul_ctl.scala 137:112] + node _T_24309 = add(_T_24308, _T_24301) @[exu_mul_ctl.scala 137:112] + node _T_24310 = add(_T_24309, _T_24302) @[exu_mul_ctl.scala 137:112] + node _T_24311 = add(_T_24310, _T_24303) @[exu_mul_ctl.scala 137:112] + node _T_24312 = eq(_T_24311, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24313 = bits(_T_24312, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24314 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_24315 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24316 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24317 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24318 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24319 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24320 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24321 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24322 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24323 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24324 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24325 = add(_T_24315, _T_24316) @[exu_mul_ctl.scala 137:112] + node _T_24326 = add(_T_24325, _T_24317) @[exu_mul_ctl.scala 137:112] + node _T_24327 = add(_T_24326, _T_24318) @[exu_mul_ctl.scala 137:112] + node _T_24328 = add(_T_24327, _T_24319) @[exu_mul_ctl.scala 137:112] + node _T_24329 = add(_T_24328, _T_24320) @[exu_mul_ctl.scala 137:112] + node _T_24330 = add(_T_24329, _T_24321) @[exu_mul_ctl.scala 137:112] + node _T_24331 = add(_T_24330, _T_24322) @[exu_mul_ctl.scala 137:112] + node _T_24332 = add(_T_24331, _T_24323) @[exu_mul_ctl.scala 137:112] + node _T_24333 = add(_T_24332, _T_24324) @[exu_mul_ctl.scala 137:112] + node _T_24334 = eq(_T_24333, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24335 = bits(_T_24334, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24336 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_24337 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24338 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24339 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24340 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24341 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24342 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24343 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24344 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24345 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24346 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24347 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24348 = add(_T_24337, _T_24338) @[exu_mul_ctl.scala 137:112] + node _T_24349 = add(_T_24348, _T_24339) @[exu_mul_ctl.scala 137:112] + node _T_24350 = add(_T_24349, _T_24340) @[exu_mul_ctl.scala 137:112] + node _T_24351 = add(_T_24350, _T_24341) @[exu_mul_ctl.scala 137:112] + node _T_24352 = add(_T_24351, _T_24342) @[exu_mul_ctl.scala 137:112] + node _T_24353 = add(_T_24352, _T_24343) @[exu_mul_ctl.scala 137:112] + node _T_24354 = add(_T_24353, _T_24344) @[exu_mul_ctl.scala 137:112] + node _T_24355 = add(_T_24354, _T_24345) @[exu_mul_ctl.scala 137:112] + node _T_24356 = add(_T_24355, _T_24346) @[exu_mul_ctl.scala 137:112] + node _T_24357 = add(_T_24356, _T_24347) @[exu_mul_ctl.scala 137:112] + node _T_24358 = eq(_T_24357, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24359 = bits(_T_24358, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24360 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_24361 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24362 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24363 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24364 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24365 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24366 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24367 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24368 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24369 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24370 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24371 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24372 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24373 = add(_T_24361, _T_24362) @[exu_mul_ctl.scala 137:112] + node _T_24374 = add(_T_24373, _T_24363) @[exu_mul_ctl.scala 137:112] + node _T_24375 = add(_T_24374, _T_24364) @[exu_mul_ctl.scala 137:112] + node _T_24376 = add(_T_24375, _T_24365) @[exu_mul_ctl.scala 137:112] + node _T_24377 = add(_T_24376, _T_24366) @[exu_mul_ctl.scala 137:112] + node _T_24378 = add(_T_24377, _T_24367) @[exu_mul_ctl.scala 137:112] + node _T_24379 = add(_T_24378, _T_24368) @[exu_mul_ctl.scala 137:112] + node _T_24380 = add(_T_24379, _T_24369) @[exu_mul_ctl.scala 137:112] + node _T_24381 = add(_T_24380, _T_24370) @[exu_mul_ctl.scala 137:112] + node _T_24382 = add(_T_24381, _T_24371) @[exu_mul_ctl.scala 137:112] + node _T_24383 = add(_T_24382, _T_24372) @[exu_mul_ctl.scala 137:112] + node _T_24384 = eq(_T_24383, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24385 = bits(_T_24384, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24386 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_24387 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24388 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24389 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24390 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24391 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24392 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24393 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24394 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24395 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24396 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24397 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24398 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24399 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24400 = add(_T_24387, _T_24388) @[exu_mul_ctl.scala 137:112] + node _T_24401 = add(_T_24400, _T_24389) @[exu_mul_ctl.scala 137:112] + node _T_24402 = add(_T_24401, _T_24390) @[exu_mul_ctl.scala 137:112] + node _T_24403 = add(_T_24402, _T_24391) @[exu_mul_ctl.scala 137:112] + node _T_24404 = add(_T_24403, _T_24392) @[exu_mul_ctl.scala 137:112] + node _T_24405 = add(_T_24404, _T_24393) @[exu_mul_ctl.scala 137:112] + node _T_24406 = add(_T_24405, _T_24394) @[exu_mul_ctl.scala 137:112] + node _T_24407 = add(_T_24406, _T_24395) @[exu_mul_ctl.scala 137:112] + node _T_24408 = add(_T_24407, _T_24396) @[exu_mul_ctl.scala 137:112] + node _T_24409 = add(_T_24408, _T_24397) @[exu_mul_ctl.scala 137:112] + node _T_24410 = add(_T_24409, _T_24398) @[exu_mul_ctl.scala 137:112] + node _T_24411 = add(_T_24410, _T_24399) @[exu_mul_ctl.scala 137:112] + node _T_24412 = eq(_T_24411, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24413 = bits(_T_24412, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24414 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_24415 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24416 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24417 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24418 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24419 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24420 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24421 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24422 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24423 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24424 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24425 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24426 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24427 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24428 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24429 = add(_T_24415, _T_24416) @[exu_mul_ctl.scala 137:112] + node _T_24430 = add(_T_24429, _T_24417) @[exu_mul_ctl.scala 137:112] + node _T_24431 = add(_T_24430, _T_24418) @[exu_mul_ctl.scala 137:112] + node _T_24432 = add(_T_24431, _T_24419) @[exu_mul_ctl.scala 137:112] + node _T_24433 = add(_T_24432, _T_24420) @[exu_mul_ctl.scala 137:112] + node _T_24434 = add(_T_24433, _T_24421) @[exu_mul_ctl.scala 137:112] + node _T_24435 = add(_T_24434, _T_24422) @[exu_mul_ctl.scala 137:112] + node _T_24436 = add(_T_24435, _T_24423) @[exu_mul_ctl.scala 137:112] + node _T_24437 = add(_T_24436, _T_24424) @[exu_mul_ctl.scala 137:112] + node _T_24438 = add(_T_24437, _T_24425) @[exu_mul_ctl.scala 137:112] + node _T_24439 = add(_T_24438, _T_24426) @[exu_mul_ctl.scala 137:112] + node _T_24440 = add(_T_24439, _T_24427) @[exu_mul_ctl.scala 137:112] + node _T_24441 = add(_T_24440, _T_24428) @[exu_mul_ctl.scala 137:112] + node _T_24442 = eq(_T_24441, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24443 = bits(_T_24442, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24444 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_24445 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24446 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24447 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24448 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24449 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24450 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24451 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24452 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24453 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24454 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24455 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24456 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24457 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24458 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24459 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24460 = add(_T_24445, _T_24446) @[exu_mul_ctl.scala 137:112] + node _T_24461 = add(_T_24460, _T_24447) @[exu_mul_ctl.scala 137:112] + node _T_24462 = add(_T_24461, _T_24448) @[exu_mul_ctl.scala 137:112] + node _T_24463 = add(_T_24462, _T_24449) @[exu_mul_ctl.scala 137:112] + node _T_24464 = add(_T_24463, _T_24450) @[exu_mul_ctl.scala 137:112] + node _T_24465 = add(_T_24464, _T_24451) @[exu_mul_ctl.scala 137:112] + node _T_24466 = add(_T_24465, _T_24452) @[exu_mul_ctl.scala 137:112] + node _T_24467 = add(_T_24466, _T_24453) @[exu_mul_ctl.scala 137:112] + node _T_24468 = add(_T_24467, _T_24454) @[exu_mul_ctl.scala 137:112] + node _T_24469 = add(_T_24468, _T_24455) @[exu_mul_ctl.scala 137:112] + node _T_24470 = add(_T_24469, _T_24456) @[exu_mul_ctl.scala 137:112] + node _T_24471 = add(_T_24470, _T_24457) @[exu_mul_ctl.scala 137:112] + node _T_24472 = add(_T_24471, _T_24458) @[exu_mul_ctl.scala 137:112] + node _T_24473 = add(_T_24472, _T_24459) @[exu_mul_ctl.scala 137:112] + node _T_24474 = eq(_T_24473, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24475 = bits(_T_24474, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24476 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_24477 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24478 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24479 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24480 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24481 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24482 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24483 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24484 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24485 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24486 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24487 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24488 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24489 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24490 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24491 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24492 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24493 = add(_T_24477, _T_24478) @[exu_mul_ctl.scala 137:112] + node _T_24494 = add(_T_24493, _T_24479) @[exu_mul_ctl.scala 137:112] + node _T_24495 = add(_T_24494, _T_24480) @[exu_mul_ctl.scala 137:112] + node _T_24496 = add(_T_24495, _T_24481) @[exu_mul_ctl.scala 137:112] + node _T_24497 = add(_T_24496, _T_24482) @[exu_mul_ctl.scala 137:112] + node _T_24498 = add(_T_24497, _T_24483) @[exu_mul_ctl.scala 137:112] + node _T_24499 = add(_T_24498, _T_24484) @[exu_mul_ctl.scala 137:112] + node _T_24500 = add(_T_24499, _T_24485) @[exu_mul_ctl.scala 137:112] + node _T_24501 = add(_T_24500, _T_24486) @[exu_mul_ctl.scala 137:112] + node _T_24502 = add(_T_24501, _T_24487) @[exu_mul_ctl.scala 137:112] + node _T_24503 = add(_T_24502, _T_24488) @[exu_mul_ctl.scala 137:112] + node _T_24504 = add(_T_24503, _T_24489) @[exu_mul_ctl.scala 137:112] + node _T_24505 = add(_T_24504, _T_24490) @[exu_mul_ctl.scala 137:112] + node _T_24506 = add(_T_24505, _T_24491) @[exu_mul_ctl.scala 137:112] + node _T_24507 = add(_T_24506, _T_24492) @[exu_mul_ctl.scala 137:112] + node _T_24508 = eq(_T_24507, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24509 = bits(_T_24508, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24510 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_24511 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24512 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24513 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24514 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24515 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24516 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24517 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24518 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24519 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24520 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24521 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24522 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24523 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24524 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24525 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24526 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24527 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24528 = add(_T_24511, _T_24512) @[exu_mul_ctl.scala 137:112] + node _T_24529 = add(_T_24528, _T_24513) @[exu_mul_ctl.scala 137:112] + node _T_24530 = add(_T_24529, _T_24514) @[exu_mul_ctl.scala 137:112] + node _T_24531 = add(_T_24530, _T_24515) @[exu_mul_ctl.scala 137:112] + node _T_24532 = add(_T_24531, _T_24516) @[exu_mul_ctl.scala 137:112] + node _T_24533 = add(_T_24532, _T_24517) @[exu_mul_ctl.scala 137:112] + node _T_24534 = add(_T_24533, _T_24518) @[exu_mul_ctl.scala 137:112] + node _T_24535 = add(_T_24534, _T_24519) @[exu_mul_ctl.scala 137:112] + node _T_24536 = add(_T_24535, _T_24520) @[exu_mul_ctl.scala 137:112] + node _T_24537 = add(_T_24536, _T_24521) @[exu_mul_ctl.scala 137:112] + node _T_24538 = add(_T_24537, _T_24522) @[exu_mul_ctl.scala 137:112] + node _T_24539 = add(_T_24538, _T_24523) @[exu_mul_ctl.scala 137:112] + node _T_24540 = add(_T_24539, _T_24524) @[exu_mul_ctl.scala 137:112] + node _T_24541 = add(_T_24540, _T_24525) @[exu_mul_ctl.scala 137:112] + node _T_24542 = add(_T_24541, _T_24526) @[exu_mul_ctl.scala 137:112] + node _T_24543 = add(_T_24542, _T_24527) @[exu_mul_ctl.scala 137:112] + node _T_24544 = eq(_T_24543, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24545 = bits(_T_24544, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24546 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_24547 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24548 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24549 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24550 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24551 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24552 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24553 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24554 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24555 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24556 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24557 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24558 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24559 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24560 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24561 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24562 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24563 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24564 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24565 = add(_T_24547, _T_24548) @[exu_mul_ctl.scala 137:112] + node _T_24566 = add(_T_24565, _T_24549) @[exu_mul_ctl.scala 137:112] + node _T_24567 = add(_T_24566, _T_24550) @[exu_mul_ctl.scala 137:112] + node _T_24568 = add(_T_24567, _T_24551) @[exu_mul_ctl.scala 137:112] + node _T_24569 = add(_T_24568, _T_24552) @[exu_mul_ctl.scala 137:112] + node _T_24570 = add(_T_24569, _T_24553) @[exu_mul_ctl.scala 137:112] + node _T_24571 = add(_T_24570, _T_24554) @[exu_mul_ctl.scala 137:112] + node _T_24572 = add(_T_24571, _T_24555) @[exu_mul_ctl.scala 137:112] + node _T_24573 = add(_T_24572, _T_24556) @[exu_mul_ctl.scala 137:112] + node _T_24574 = add(_T_24573, _T_24557) @[exu_mul_ctl.scala 137:112] + node _T_24575 = add(_T_24574, _T_24558) @[exu_mul_ctl.scala 137:112] + node _T_24576 = add(_T_24575, _T_24559) @[exu_mul_ctl.scala 137:112] + node _T_24577 = add(_T_24576, _T_24560) @[exu_mul_ctl.scala 137:112] + node _T_24578 = add(_T_24577, _T_24561) @[exu_mul_ctl.scala 137:112] + node _T_24579 = add(_T_24578, _T_24562) @[exu_mul_ctl.scala 137:112] + node _T_24580 = add(_T_24579, _T_24563) @[exu_mul_ctl.scala 137:112] + node _T_24581 = add(_T_24580, _T_24564) @[exu_mul_ctl.scala 137:112] + node _T_24582 = eq(_T_24581, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24583 = bits(_T_24582, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24584 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_24585 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24586 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24587 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24588 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24589 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24590 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24591 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24592 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24593 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24594 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24595 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24596 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24597 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24598 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24599 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24600 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24601 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24602 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24603 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24604 = add(_T_24585, _T_24586) @[exu_mul_ctl.scala 137:112] + node _T_24605 = add(_T_24604, _T_24587) @[exu_mul_ctl.scala 137:112] + node _T_24606 = add(_T_24605, _T_24588) @[exu_mul_ctl.scala 137:112] + node _T_24607 = add(_T_24606, _T_24589) @[exu_mul_ctl.scala 137:112] + node _T_24608 = add(_T_24607, _T_24590) @[exu_mul_ctl.scala 137:112] + node _T_24609 = add(_T_24608, _T_24591) @[exu_mul_ctl.scala 137:112] + node _T_24610 = add(_T_24609, _T_24592) @[exu_mul_ctl.scala 137:112] + node _T_24611 = add(_T_24610, _T_24593) @[exu_mul_ctl.scala 137:112] + node _T_24612 = add(_T_24611, _T_24594) @[exu_mul_ctl.scala 137:112] + node _T_24613 = add(_T_24612, _T_24595) @[exu_mul_ctl.scala 137:112] + node _T_24614 = add(_T_24613, _T_24596) @[exu_mul_ctl.scala 137:112] + node _T_24615 = add(_T_24614, _T_24597) @[exu_mul_ctl.scala 137:112] + node _T_24616 = add(_T_24615, _T_24598) @[exu_mul_ctl.scala 137:112] + node _T_24617 = add(_T_24616, _T_24599) @[exu_mul_ctl.scala 137:112] + node _T_24618 = add(_T_24617, _T_24600) @[exu_mul_ctl.scala 137:112] + node _T_24619 = add(_T_24618, _T_24601) @[exu_mul_ctl.scala 137:112] + node _T_24620 = add(_T_24619, _T_24602) @[exu_mul_ctl.scala 137:112] + node _T_24621 = add(_T_24620, _T_24603) @[exu_mul_ctl.scala 137:112] + node _T_24622 = eq(_T_24621, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24623 = bits(_T_24622, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24624 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_24625 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24626 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24627 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24628 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24629 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24630 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24631 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24632 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24633 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24634 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24635 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24636 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24637 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24638 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24639 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24640 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24641 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24642 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24643 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24644 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24645 = add(_T_24625, _T_24626) @[exu_mul_ctl.scala 137:112] + node _T_24646 = add(_T_24645, _T_24627) @[exu_mul_ctl.scala 137:112] + node _T_24647 = add(_T_24646, _T_24628) @[exu_mul_ctl.scala 137:112] + node _T_24648 = add(_T_24647, _T_24629) @[exu_mul_ctl.scala 137:112] + node _T_24649 = add(_T_24648, _T_24630) @[exu_mul_ctl.scala 137:112] + node _T_24650 = add(_T_24649, _T_24631) @[exu_mul_ctl.scala 137:112] + node _T_24651 = add(_T_24650, _T_24632) @[exu_mul_ctl.scala 137:112] + node _T_24652 = add(_T_24651, _T_24633) @[exu_mul_ctl.scala 137:112] + node _T_24653 = add(_T_24652, _T_24634) @[exu_mul_ctl.scala 137:112] + node _T_24654 = add(_T_24653, _T_24635) @[exu_mul_ctl.scala 137:112] + node _T_24655 = add(_T_24654, _T_24636) @[exu_mul_ctl.scala 137:112] + node _T_24656 = add(_T_24655, _T_24637) @[exu_mul_ctl.scala 137:112] + node _T_24657 = add(_T_24656, _T_24638) @[exu_mul_ctl.scala 137:112] + node _T_24658 = add(_T_24657, _T_24639) @[exu_mul_ctl.scala 137:112] + node _T_24659 = add(_T_24658, _T_24640) @[exu_mul_ctl.scala 137:112] + node _T_24660 = add(_T_24659, _T_24641) @[exu_mul_ctl.scala 137:112] + node _T_24661 = add(_T_24660, _T_24642) @[exu_mul_ctl.scala 137:112] + node _T_24662 = add(_T_24661, _T_24643) @[exu_mul_ctl.scala 137:112] + node _T_24663 = add(_T_24662, _T_24644) @[exu_mul_ctl.scala 137:112] + node _T_24664 = eq(_T_24663, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24665 = bits(_T_24664, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24666 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_24667 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24668 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24669 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24670 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24671 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24672 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24673 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24674 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24675 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24676 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24677 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24678 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24679 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24680 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24681 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24682 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24683 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24684 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24685 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24686 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24687 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24688 = add(_T_24667, _T_24668) @[exu_mul_ctl.scala 137:112] + node _T_24689 = add(_T_24688, _T_24669) @[exu_mul_ctl.scala 137:112] + node _T_24690 = add(_T_24689, _T_24670) @[exu_mul_ctl.scala 137:112] + node _T_24691 = add(_T_24690, _T_24671) @[exu_mul_ctl.scala 137:112] + node _T_24692 = add(_T_24691, _T_24672) @[exu_mul_ctl.scala 137:112] + node _T_24693 = add(_T_24692, _T_24673) @[exu_mul_ctl.scala 137:112] + node _T_24694 = add(_T_24693, _T_24674) @[exu_mul_ctl.scala 137:112] + node _T_24695 = add(_T_24694, _T_24675) @[exu_mul_ctl.scala 137:112] + node _T_24696 = add(_T_24695, _T_24676) @[exu_mul_ctl.scala 137:112] + node _T_24697 = add(_T_24696, _T_24677) @[exu_mul_ctl.scala 137:112] + node _T_24698 = add(_T_24697, _T_24678) @[exu_mul_ctl.scala 137:112] + node _T_24699 = add(_T_24698, _T_24679) @[exu_mul_ctl.scala 137:112] + node _T_24700 = add(_T_24699, _T_24680) @[exu_mul_ctl.scala 137:112] + node _T_24701 = add(_T_24700, _T_24681) @[exu_mul_ctl.scala 137:112] + node _T_24702 = add(_T_24701, _T_24682) @[exu_mul_ctl.scala 137:112] + node _T_24703 = add(_T_24702, _T_24683) @[exu_mul_ctl.scala 137:112] + node _T_24704 = add(_T_24703, _T_24684) @[exu_mul_ctl.scala 137:112] + node _T_24705 = add(_T_24704, _T_24685) @[exu_mul_ctl.scala 137:112] + node _T_24706 = add(_T_24705, _T_24686) @[exu_mul_ctl.scala 137:112] + node _T_24707 = add(_T_24706, _T_24687) @[exu_mul_ctl.scala 137:112] + node _T_24708 = eq(_T_24707, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24709 = bits(_T_24708, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24710 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_24711 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24712 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24713 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24714 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24715 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24716 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24717 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24718 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24719 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24720 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24721 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24722 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24723 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24724 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24725 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24726 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24727 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24728 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24729 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24730 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24731 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24732 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24733 = add(_T_24711, _T_24712) @[exu_mul_ctl.scala 137:112] + node _T_24734 = add(_T_24733, _T_24713) @[exu_mul_ctl.scala 137:112] + node _T_24735 = add(_T_24734, _T_24714) @[exu_mul_ctl.scala 137:112] + node _T_24736 = add(_T_24735, _T_24715) @[exu_mul_ctl.scala 137:112] + node _T_24737 = add(_T_24736, _T_24716) @[exu_mul_ctl.scala 137:112] + node _T_24738 = add(_T_24737, _T_24717) @[exu_mul_ctl.scala 137:112] + node _T_24739 = add(_T_24738, _T_24718) @[exu_mul_ctl.scala 137:112] + node _T_24740 = add(_T_24739, _T_24719) @[exu_mul_ctl.scala 137:112] + node _T_24741 = add(_T_24740, _T_24720) @[exu_mul_ctl.scala 137:112] + node _T_24742 = add(_T_24741, _T_24721) @[exu_mul_ctl.scala 137:112] + node _T_24743 = add(_T_24742, _T_24722) @[exu_mul_ctl.scala 137:112] + node _T_24744 = add(_T_24743, _T_24723) @[exu_mul_ctl.scala 137:112] + node _T_24745 = add(_T_24744, _T_24724) @[exu_mul_ctl.scala 137:112] + node _T_24746 = add(_T_24745, _T_24725) @[exu_mul_ctl.scala 137:112] + node _T_24747 = add(_T_24746, _T_24726) @[exu_mul_ctl.scala 137:112] + node _T_24748 = add(_T_24747, _T_24727) @[exu_mul_ctl.scala 137:112] + node _T_24749 = add(_T_24748, _T_24728) @[exu_mul_ctl.scala 137:112] + node _T_24750 = add(_T_24749, _T_24729) @[exu_mul_ctl.scala 137:112] + node _T_24751 = add(_T_24750, _T_24730) @[exu_mul_ctl.scala 137:112] + node _T_24752 = add(_T_24751, _T_24731) @[exu_mul_ctl.scala 137:112] + node _T_24753 = add(_T_24752, _T_24732) @[exu_mul_ctl.scala 137:112] + node _T_24754 = eq(_T_24753, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24755 = bits(_T_24754, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24756 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_24757 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24758 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24759 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24760 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24761 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24762 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24763 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24764 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24765 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24766 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24767 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24768 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24769 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24770 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24771 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24772 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24773 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24774 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24775 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24776 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24777 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24778 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24779 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24780 = add(_T_24757, _T_24758) @[exu_mul_ctl.scala 137:112] + node _T_24781 = add(_T_24780, _T_24759) @[exu_mul_ctl.scala 137:112] + node _T_24782 = add(_T_24781, _T_24760) @[exu_mul_ctl.scala 137:112] + node _T_24783 = add(_T_24782, _T_24761) @[exu_mul_ctl.scala 137:112] + node _T_24784 = add(_T_24783, _T_24762) @[exu_mul_ctl.scala 137:112] + node _T_24785 = add(_T_24784, _T_24763) @[exu_mul_ctl.scala 137:112] + node _T_24786 = add(_T_24785, _T_24764) @[exu_mul_ctl.scala 137:112] + node _T_24787 = add(_T_24786, _T_24765) @[exu_mul_ctl.scala 137:112] + node _T_24788 = add(_T_24787, _T_24766) @[exu_mul_ctl.scala 137:112] + node _T_24789 = add(_T_24788, _T_24767) @[exu_mul_ctl.scala 137:112] + node _T_24790 = add(_T_24789, _T_24768) @[exu_mul_ctl.scala 137:112] + node _T_24791 = add(_T_24790, _T_24769) @[exu_mul_ctl.scala 137:112] + node _T_24792 = add(_T_24791, _T_24770) @[exu_mul_ctl.scala 137:112] + node _T_24793 = add(_T_24792, _T_24771) @[exu_mul_ctl.scala 137:112] + node _T_24794 = add(_T_24793, _T_24772) @[exu_mul_ctl.scala 137:112] + node _T_24795 = add(_T_24794, _T_24773) @[exu_mul_ctl.scala 137:112] + node _T_24796 = add(_T_24795, _T_24774) @[exu_mul_ctl.scala 137:112] + node _T_24797 = add(_T_24796, _T_24775) @[exu_mul_ctl.scala 137:112] + node _T_24798 = add(_T_24797, _T_24776) @[exu_mul_ctl.scala 137:112] + node _T_24799 = add(_T_24798, _T_24777) @[exu_mul_ctl.scala 137:112] + node _T_24800 = add(_T_24799, _T_24778) @[exu_mul_ctl.scala 137:112] + node _T_24801 = add(_T_24800, _T_24779) @[exu_mul_ctl.scala 137:112] + node _T_24802 = eq(_T_24801, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24803 = bits(_T_24802, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24804 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_24805 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24806 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24807 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24808 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24809 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24810 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24811 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24812 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24813 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24814 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24815 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24816 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24817 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24818 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24819 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24820 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24821 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24822 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24823 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24824 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24825 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24826 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24827 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24828 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24829 = add(_T_24805, _T_24806) @[exu_mul_ctl.scala 137:112] + node _T_24830 = add(_T_24829, _T_24807) @[exu_mul_ctl.scala 137:112] + node _T_24831 = add(_T_24830, _T_24808) @[exu_mul_ctl.scala 137:112] + node _T_24832 = add(_T_24831, _T_24809) @[exu_mul_ctl.scala 137:112] + node _T_24833 = add(_T_24832, _T_24810) @[exu_mul_ctl.scala 137:112] + node _T_24834 = add(_T_24833, _T_24811) @[exu_mul_ctl.scala 137:112] + node _T_24835 = add(_T_24834, _T_24812) @[exu_mul_ctl.scala 137:112] + node _T_24836 = add(_T_24835, _T_24813) @[exu_mul_ctl.scala 137:112] + node _T_24837 = add(_T_24836, _T_24814) @[exu_mul_ctl.scala 137:112] + node _T_24838 = add(_T_24837, _T_24815) @[exu_mul_ctl.scala 137:112] + node _T_24839 = add(_T_24838, _T_24816) @[exu_mul_ctl.scala 137:112] + node _T_24840 = add(_T_24839, _T_24817) @[exu_mul_ctl.scala 137:112] + node _T_24841 = add(_T_24840, _T_24818) @[exu_mul_ctl.scala 137:112] + node _T_24842 = add(_T_24841, _T_24819) @[exu_mul_ctl.scala 137:112] + node _T_24843 = add(_T_24842, _T_24820) @[exu_mul_ctl.scala 137:112] + node _T_24844 = add(_T_24843, _T_24821) @[exu_mul_ctl.scala 137:112] + node _T_24845 = add(_T_24844, _T_24822) @[exu_mul_ctl.scala 137:112] + node _T_24846 = add(_T_24845, _T_24823) @[exu_mul_ctl.scala 137:112] + node _T_24847 = add(_T_24846, _T_24824) @[exu_mul_ctl.scala 137:112] + node _T_24848 = add(_T_24847, _T_24825) @[exu_mul_ctl.scala 137:112] + node _T_24849 = add(_T_24848, _T_24826) @[exu_mul_ctl.scala 137:112] + node _T_24850 = add(_T_24849, _T_24827) @[exu_mul_ctl.scala 137:112] + node _T_24851 = add(_T_24850, _T_24828) @[exu_mul_ctl.scala 137:112] + node _T_24852 = eq(_T_24851, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24853 = bits(_T_24852, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24854 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_24855 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24856 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24857 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24858 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24859 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24860 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24861 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24862 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24863 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24864 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24865 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24866 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24867 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24868 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24869 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24870 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24871 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24872 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24873 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24874 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24875 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24876 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24877 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24878 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24879 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24880 = add(_T_24855, _T_24856) @[exu_mul_ctl.scala 137:112] + node _T_24881 = add(_T_24880, _T_24857) @[exu_mul_ctl.scala 137:112] + node _T_24882 = add(_T_24881, _T_24858) @[exu_mul_ctl.scala 137:112] + node _T_24883 = add(_T_24882, _T_24859) @[exu_mul_ctl.scala 137:112] + node _T_24884 = add(_T_24883, _T_24860) @[exu_mul_ctl.scala 137:112] + node _T_24885 = add(_T_24884, _T_24861) @[exu_mul_ctl.scala 137:112] + node _T_24886 = add(_T_24885, _T_24862) @[exu_mul_ctl.scala 137:112] + node _T_24887 = add(_T_24886, _T_24863) @[exu_mul_ctl.scala 137:112] + node _T_24888 = add(_T_24887, _T_24864) @[exu_mul_ctl.scala 137:112] + node _T_24889 = add(_T_24888, _T_24865) @[exu_mul_ctl.scala 137:112] + node _T_24890 = add(_T_24889, _T_24866) @[exu_mul_ctl.scala 137:112] + node _T_24891 = add(_T_24890, _T_24867) @[exu_mul_ctl.scala 137:112] + node _T_24892 = add(_T_24891, _T_24868) @[exu_mul_ctl.scala 137:112] + node _T_24893 = add(_T_24892, _T_24869) @[exu_mul_ctl.scala 137:112] + node _T_24894 = add(_T_24893, _T_24870) @[exu_mul_ctl.scala 137:112] + node _T_24895 = add(_T_24894, _T_24871) @[exu_mul_ctl.scala 137:112] + node _T_24896 = add(_T_24895, _T_24872) @[exu_mul_ctl.scala 137:112] + node _T_24897 = add(_T_24896, _T_24873) @[exu_mul_ctl.scala 137:112] + node _T_24898 = add(_T_24897, _T_24874) @[exu_mul_ctl.scala 137:112] + node _T_24899 = add(_T_24898, _T_24875) @[exu_mul_ctl.scala 137:112] + node _T_24900 = add(_T_24899, _T_24876) @[exu_mul_ctl.scala 137:112] + node _T_24901 = add(_T_24900, _T_24877) @[exu_mul_ctl.scala 137:112] + node _T_24902 = add(_T_24901, _T_24878) @[exu_mul_ctl.scala 137:112] + node _T_24903 = add(_T_24902, _T_24879) @[exu_mul_ctl.scala 137:112] + node _T_24904 = eq(_T_24903, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24905 = bits(_T_24904, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24906 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_24907 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24908 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24909 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24910 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24911 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24912 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24913 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24914 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24915 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24916 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24917 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24918 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24919 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24920 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24921 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24922 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24923 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24924 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24925 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24926 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24927 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24928 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24929 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24930 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24931 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24932 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_24933 = add(_T_24907, _T_24908) @[exu_mul_ctl.scala 137:112] + node _T_24934 = add(_T_24933, _T_24909) @[exu_mul_ctl.scala 137:112] + node _T_24935 = add(_T_24934, _T_24910) @[exu_mul_ctl.scala 137:112] + node _T_24936 = add(_T_24935, _T_24911) @[exu_mul_ctl.scala 137:112] + node _T_24937 = add(_T_24936, _T_24912) @[exu_mul_ctl.scala 137:112] + node _T_24938 = add(_T_24937, _T_24913) @[exu_mul_ctl.scala 137:112] + node _T_24939 = add(_T_24938, _T_24914) @[exu_mul_ctl.scala 137:112] + node _T_24940 = add(_T_24939, _T_24915) @[exu_mul_ctl.scala 137:112] + node _T_24941 = add(_T_24940, _T_24916) @[exu_mul_ctl.scala 137:112] + node _T_24942 = add(_T_24941, _T_24917) @[exu_mul_ctl.scala 137:112] + node _T_24943 = add(_T_24942, _T_24918) @[exu_mul_ctl.scala 137:112] + node _T_24944 = add(_T_24943, _T_24919) @[exu_mul_ctl.scala 137:112] + node _T_24945 = add(_T_24944, _T_24920) @[exu_mul_ctl.scala 137:112] + node _T_24946 = add(_T_24945, _T_24921) @[exu_mul_ctl.scala 137:112] + node _T_24947 = add(_T_24946, _T_24922) @[exu_mul_ctl.scala 137:112] + node _T_24948 = add(_T_24947, _T_24923) @[exu_mul_ctl.scala 137:112] + node _T_24949 = add(_T_24948, _T_24924) @[exu_mul_ctl.scala 137:112] + node _T_24950 = add(_T_24949, _T_24925) @[exu_mul_ctl.scala 137:112] + node _T_24951 = add(_T_24950, _T_24926) @[exu_mul_ctl.scala 137:112] + node _T_24952 = add(_T_24951, _T_24927) @[exu_mul_ctl.scala 137:112] + node _T_24953 = add(_T_24952, _T_24928) @[exu_mul_ctl.scala 137:112] + node _T_24954 = add(_T_24953, _T_24929) @[exu_mul_ctl.scala 137:112] + node _T_24955 = add(_T_24954, _T_24930) @[exu_mul_ctl.scala 137:112] + node _T_24956 = add(_T_24955, _T_24931) @[exu_mul_ctl.scala 137:112] + node _T_24957 = add(_T_24956, _T_24932) @[exu_mul_ctl.scala 137:112] + node _T_24958 = eq(_T_24957, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_24959 = bits(_T_24958, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_24960 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_24961 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_24962 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_24963 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_24964 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_24965 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_24966 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_24967 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_24968 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_24969 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_24970 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_24971 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_24972 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_24973 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_24974 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_24975 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_24976 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_24977 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_24978 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_24979 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_24980 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_24981 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_24982 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_24983 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_24984 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_24985 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_24986 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_24987 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_24988 = add(_T_24961, _T_24962) @[exu_mul_ctl.scala 137:112] + node _T_24989 = add(_T_24988, _T_24963) @[exu_mul_ctl.scala 137:112] + node _T_24990 = add(_T_24989, _T_24964) @[exu_mul_ctl.scala 137:112] + node _T_24991 = add(_T_24990, _T_24965) @[exu_mul_ctl.scala 137:112] + node _T_24992 = add(_T_24991, _T_24966) @[exu_mul_ctl.scala 137:112] + node _T_24993 = add(_T_24992, _T_24967) @[exu_mul_ctl.scala 137:112] + node _T_24994 = add(_T_24993, _T_24968) @[exu_mul_ctl.scala 137:112] + node _T_24995 = add(_T_24994, _T_24969) @[exu_mul_ctl.scala 137:112] + node _T_24996 = add(_T_24995, _T_24970) @[exu_mul_ctl.scala 137:112] + node _T_24997 = add(_T_24996, _T_24971) @[exu_mul_ctl.scala 137:112] + node _T_24998 = add(_T_24997, _T_24972) @[exu_mul_ctl.scala 137:112] + node _T_24999 = add(_T_24998, _T_24973) @[exu_mul_ctl.scala 137:112] + node _T_25000 = add(_T_24999, _T_24974) @[exu_mul_ctl.scala 137:112] + node _T_25001 = add(_T_25000, _T_24975) @[exu_mul_ctl.scala 137:112] + node _T_25002 = add(_T_25001, _T_24976) @[exu_mul_ctl.scala 137:112] + node _T_25003 = add(_T_25002, _T_24977) @[exu_mul_ctl.scala 137:112] + node _T_25004 = add(_T_25003, _T_24978) @[exu_mul_ctl.scala 137:112] + node _T_25005 = add(_T_25004, _T_24979) @[exu_mul_ctl.scala 137:112] + node _T_25006 = add(_T_25005, _T_24980) @[exu_mul_ctl.scala 137:112] + node _T_25007 = add(_T_25006, _T_24981) @[exu_mul_ctl.scala 137:112] + node _T_25008 = add(_T_25007, _T_24982) @[exu_mul_ctl.scala 137:112] + node _T_25009 = add(_T_25008, _T_24983) @[exu_mul_ctl.scala 137:112] + node _T_25010 = add(_T_25009, _T_24984) @[exu_mul_ctl.scala 137:112] + node _T_25011 = add(_T_25010, _T_24985) @[exu_mul_ctl.scala 137:112] + node _T_25012 = add(_T_25011, _T_24986) @[exu_mul_ctl.scala 137:112] + node _T_25013 = add(_T_25012, _T_24987) @[exu_mul_ctl.scala 137:112] + node _T_25014 = eq(_T_25013, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25015 = bits(_T_25014, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25016 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_25017 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25018 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25019 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25020 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25021 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25022 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25023 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25024 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25025 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25026 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25027 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25028 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25029 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25030 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25031 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25032 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25033 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25034 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25035 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25036 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25037 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25038 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25039 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25040 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25041 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_25042 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_25043 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_25044 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_25045 = add(_T_25017, _T_25018) @[exu_mul_ctl.scala 137:112] + node _T_25046 = add(_T_25045, _T_25019) @[exu_mul_ctl.scala 137:112] + node _T_25047 = add(_T_25046, _T_25020) @[exu_mul_ctl.scala 137:112] + node _T_25048 = add(_T_25047, _T_25021) @[exu_mul_ctl.scala 137:112] + node _T_25049 = add(_T_25048, _T_25022) @[exu_mul_ctl.scala 137:112] + node _T_25050 = add(_T_25049, _T_25023) @[exu_mul_ctl.scala 137:112] + node _T_25051 = add(_T_25050, _T_25024) @[exu_mul_ctl.scala 137:112] + node _T_25052 = add(_T_25051, _T_25025) @[exu_mul_ctl.scala 137:112] + node _T_25053 = add(_T_25052, _T_25026) @[exu_mul_ctl.scala 137:112] + node _T_25054 = add(_T_25053, _T_25027) @[exu_mul_ctl.scala 137:112] + node _T_25055 = add(_T_25054, _T_25028) @[exu_mul_ctl.scala 137:112] + node _T_25056 = add(_T_25055, _T_25029) @[exu_mul_ctl.scala 137:112] + node _T_25057 = add(_T_25056, _T_25030) @[exu_mul_ctl.scala 137:112] + node _T_25058 = add(_T_25057, _T_25031) @[exu_mul_ctl.scala 137:112] + node _T_25059 = add(_T_25058, _T_25032) @[exu_mul_ctl.scala 137:112] + node _T_25060 = add(_T_25059, _T_25033) @[exu_mul_ctl.scala 137:112] + node _T_25061 = add(_T_25060, _T_25034) @[exu_mul_ctl.scala 137:112] + node _T_25062 = add(_T_25061, _T_25035) @[exu_mul_ctl.scala 137:112] + node _T_25063 = add(_T_25062, _T_25036) @[exu_mul_ctl.scala 137:112] + node _T_25064 = add(_T_25063, _T_25037) @[exu_mul_ctl.scala 137:112] + node _T_25065 = add(_T_25064, _T_25038) @[exu_mul_ctl.scala 137:112] + node _T_25066 = add(_T_25065, _T_25039) @[exu_mul_ctl.scala 137:112] + node _T_25067 = add(_T_25066, _T_25040) @[exu_mul_ctl.scala 137:112] + node _T_25068 = add(_T_25067, _T_25041) @[exu_mul_ctl.scala 137:112] + node _T_25069 = add(_T_25068, _T_25042) @[exu_mul_ctl.scala 137:112] + node _T_25070 = add(_T_25069, _T_25043) @[exu_mul_ctl.scala 137:112] + node _T_25071 = add(_T_25070, _T_25044) @[exu_mul_ctl.scala 137:112] + node _T_25072 = eq(_T_25071, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25073 = bits(_T_25072, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25074 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_25075 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25076 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25077 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25078 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25079 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25080 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25081 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25082 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25083 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25084 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25085 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25086 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25087 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25088 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25089 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25090 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25091 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25092 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25093 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25094 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25095 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25096 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25097 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25098 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25099 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_25100 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_25101 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_25102 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_25103 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_25104 = add(_T_25075, _T_25076) @[exu_mul_ctl.scala 137:112] + node _T_25105 = add(_T_25104, _T_25077) @[exu_mul_ctl.scala 137:112] + node _T_25106 = add(_T_25105, _T_25078) @[exu_mul_ctl.scala 137:112] + node _T_25107 = add(_T_25106, _T_25079) @[exu_mul_ctl.scala 137:112] + node _T_25108 = add(_T_25107, _T_25080) @[exu_mul_ctl.scala 137:112] + node _T_25109 = add(_T_25108, _T_25081) @[exu_mul_ctl.scala 137:112] + node _T_25110 = add(_T_25109, _T_25082) @[exu_mul_ctl.scala 137:112] + node _T_25111 = add(_T_25110, _T_25083) @[exu_mul_ctl.scala 137:112] + node _T_25112 = add(_T_25111, _T_25084) @[exu_mul_ctl.scala 137:112] + node _T_25113 = add(_T_25112, _T_25085) @[exu_mul_ctl.scala 137:112] + node _T_25114 = add(_T_25113, _T_25086) @[exu_mul_ctl.scala 137:112] + node _T_25115 = add(_T_25114, _T_25087) @[exu_mul_ctl.scala 137:112] + node _T_25116 = add(_T_25115, _T_25088) @[exu_mul_ctl.scala 137:112] + node _T_25117 = add(_T_25116, _T_25089) @[exu_mul_ctl.scala 137:112] + node _T_25118 = add(_T_25117, _T_25090) @[exu_mul_ctl.scala 137:112] + node _T_25119 = add(_T_25118, _T_25091) @[exu_mul_ctl.scala 137:112] + node _T_25120 = add(_T_25119, _T_25092) @[exu_mul_ctl.scala 137:112] + node _T_25121 = add(_T_25120, _T_25093) @[exu_mul_ctl.scala 137:112] + node _T_25122 = add(_T_25121, _T_25094) @[exu_mul_ctl.scala 137:112] + node _T_25123 = add(_T_25122, _T_25095) @[exu_mul_ctl.scala 137:112] + node _T_25124 = add(_T_25123, _T_25096) @[exu_mul_ctl.scala 137:112] + node _T_25125 = add(_T_25124, _T_25097) @[exu_mul_ctl.scala 137:112] + node _T_25126 = add(_T_25125, _T_25098) @[exu_mul_ctl.scala 137:112] + node _T_25127 = add(_T_25126, _T_25099) @[exu_mul_ctl.scala 137:112] + node _T_25128 = add(_T_25127, _T_25100) @[exu_mul_ctl.scala 137:112] + node _T_25129 = add(_T_25128, _T_25101) @[exu_mul_ctl.scala 137:112] + node _T_25130 = add(_T_25129, _T_25102) @[exu_mul_ctl.scala 137:112] + node _T_25131 = add(_T_25130, _T_25103) @[exu_mul_ctl.scala 137:112] + node _T_25132 = eq(_T_25131, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25133 = bits(_T_25132, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25134 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_25135 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25136 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25137 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25138 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25139 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25140 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25141 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25142 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25143 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25144 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25145 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25146 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25147 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25148 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25149 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25150 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25151 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25152 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25153 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25154 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25155 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25156 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25157 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25158 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25159 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_25160 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_25161 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_25162 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_25163 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_25164 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_25165 = add(_T_25135, _T_25136) @[exu_mul_ctl.scala 137:112] + node _T_25166 = add(_T_25165, _T_25137) @[exu_mul_ctl.scala 137:112] + node _T_25167 = add(_T_25166, _T_25138) @[exu_mul_ctl.scala 137:112] + node _T_25168 = add(_T_25167, _T_25139) @[exu_mul_ctl.scala 137:112] + node _T_25169 = add(_T_25168, _T_25140) @[exu_mul_ctl.scala 137:112] + node _T_25170 = add(_T_25169, _T_25141) @[exu_mul_ctl.scala 137:112] + node _T_25171 = add(_T_25170, _T_25142) @[exu_mul_ctl.scala 137:112] + node _T_25172 = add(_T_25171, _T_25143) @[exu_mul_ctl.scala 137:112] + node _T_25173 = add(_T_25172, _T_25144) @[exu_mul_ctl.scala 137:112] + node _T_25174 = add(_T_25173, _T_25145) @[exu_mul_ctl.scala 137:112] + node _T_25175 = add(_T_25174, _T_25146) @[exu_mul_ctl.scala 137:112] + node _T_25176 = add(_T_25175, _T_25147) @[exu_mul_ctl.scala 137:112] + node _T_25177 = add(_T_25176, _T_25148) @[exu_mul_ctl.scala 137:112] + node _T_25178 = add(_T_25177, _T_25149) @[exu_mul_ctl.scala 137:112] + node _T_25179 = add(_T_25178, _T_25150) @[exu_mul_ctl.scala 137:112] + node _T_25180 = add(_T_25179, _T_25151) @[exu_mul_ctl.scala 137:112] + node _T_25181 = add(_T_25180, _T_25152) @[exu_mul_ctl.scala 137:112] + node _T_25182 = add(_T_25181, _T_25153) @[exu_mul_ctl.scala 137:112] + node _T_25183 = add(_T_25182, _T_25154) @[exu_mul_ctl.scala 137:112] + node _T_25184 = add(_T_25183, _T_25155) @[exu_mul_ctl.scala 137:112] + node _T_25185 = add(_T_25184, _T_25156) @[exu_mul_ctl.scala 137:112] + node _T_25186 = add(_T_25185, _T_25157) @[exu_mul_ctl.scala 137:112] + node _T_25187 = add(_T_25186, _T_25158) @[exu_mul_ctl.scala 137:112] + node _T_25188 = add(_T_25187, _T_25159) @[exu_mul_ctl.scala 137:112] + node _T_25189 = add(_T_25188, _T_25160) @[exu_mul_ctl.scala 137:112] + node _T_25190 = add(_T_25189, _T_25161) @[exu_mul_ctl.scala 137:112] + node _T_25191 = add(_T_25190, _T_25162) @[exu_mul_ctl.scala 137:112] + node _T_25192 = add(_T_25191, _T_25163) @[exu_mul_ctl.scala 137:112] + node _T_25193 = add(_T_25192, _T_25164) @[exu_mul_ctl.scala 137:112] + node _T_25194 = eq(_T_25193, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25195 = bits(_T_25194, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25196 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_25197 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25198 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25199 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25200 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25201 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25202 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25203 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25204 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25205 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25206 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25207 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25208 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25209 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25210 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25211 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25212 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25213 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25214 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25215 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25216 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25217 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25218 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25219 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25220 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25221 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_25222 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_25223 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_25224 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_25225 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_25226 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_25227 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_25228 = add(_T_25197, _T_25198) @[exu_mul_ctl.scala 137:112] + node _T_25229 = add(_T_25228, _T_25199) @[exu_mul_ctl.scala 137:112] + node _T_25230 = add(_T_25229, _T_25200) @[exu_mul_ctl.scala 137:112] + node _T_25231 = add(_T_25230, _T_25201) @[exu_mul_ctl.scala 137:112] + node _T_25232 = add(_T_25231, _T_25202) @[exu_mul_ctl.scala 137:112] + node _T_25233 = add(_T_25232, _T_25203) @[exu_mul_ctl.scala 137:112] + node _T_25234 = add(_T_25233, _T_25204) @[exu_mul_ctl.scala 137:112] + node _T_25235 = add(_T_25234, _T_25205) @[exu_mul_ctl.scala 137:112] + node _T_25236 = add(_T_25235, _T_25206) @[exu_mul_ctl.scala 137:112] + node _T_25237 = add(_T_25236, _T_25207) @[exu_mul_ctl.scala 137:112] + node _T_25238 = add(_T_25237, _T_25208) @[exu_mul_ctl.scala 137:112] + node _T_25239 = add(_T_25238, _T_25209) @[exu_mul_ctl.scala 137:112] + node _T_25240 = add(_T_25239, _T_25210) @[exu_mul_ctl.scala 137:112] + node _T_25241 = add(_T_25240, _T_25211) @[exu_mul_ctl.scala 137:112] + node _T_25242 = add(_T_25241, _T_25212) @[exu_mul_ctl.scala 137:112] + node _T_25243 = add(_T_25242, _T_25213) @[exu_mul_ctl.scala 137:112] + node _T_25244 = add(_T_25243, _T_25214) @[exu_mul_ctl.scala 137:112] + node _T_25245 = add(_T_25244, _T_25215) @[exu_mul_ctl.scala 137:112] + node _T_25246 = add(_T_25245, _T_25216) @[exu_mul_ctl.scala 137:112] + node _T_25247 = add(_T_25246, _T_25217) @[exu_mul_ctl.scala 137:112] + node _T_25248 = add(_T_25247, _T_25218) @[exu_mul_ctl.scala 137:112] + node _T_25249 = add(_T_25248, _T_25219) @[exu_mul_ctl.scala 137:112] + node _T_25250 = add(_T_25249, _T_25220) @[exu_mul_ctl.scala 137:112] + node _T_25251 = add(_T_25250, _T_25221) @[exu_mul_ctl.scala 137:112] + node _T_25252 = add(_T_25251, _T_25222) @[exu_mul_ctl.scala 137:112] + node _T_25253 = add(_T_25252, _T_25223) @[exu_mul_ctl.scala 137:112] + node _T_25254 = add(_T_25253, _T_25224) @[exu_mul_ctl.scala 137:112] + node _T_25255 = add(_T_25254, _T_25225) @[exu_mul_ctl.scala 137:112] + node _T_25256 = add(_T_25255, _T_25226) @[exu_mul_ctl.scala 137:112] + node _T_25257 = add(_T_25256, _T_25227) @[exu_mul_ctl.scala 137:112] + node _T_25258 = eq(_T_25257, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25259 = bits(_T_25258, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25260 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_25261 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25262 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25263 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25264 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25265 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25266 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25267 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25268 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25269 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25270 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25271 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25272 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25273 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25274 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25275 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25276 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25277 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25278 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25279 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25280 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25281 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25282 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25283 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25284 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25285 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_25286 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_25287 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_25288 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_25289 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_25290 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_25291 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_25292 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_25293 = add(_T_25261, _T_25262) @[exu_mul_ctl.scala 137:112] + node _T_25294 = add(_T_25293, _T_25263) @[exu_mul_ctl.scala 137:112] + node _T_25295 = add(_T_25294, _T_25264) @[exu_mul_ctl.scala 137:112] + node _T_25296 = add(_T_25295, _T_25265) @[exu_mul_ctl.scala 137:112] + node _T_25297 = add(_T_25296, _T_25266) @[exu_mul_ctl.scala 137:112] + node _T_25298 = add(_T_25297, _T_25267) @[exu_mul_ctl.scala 137:112] + node _T_25299 = add(_T_25298, _T_25268) @[exu_mul_ctl.scala 137:112] + node _T_25300 = add(_T_25299, _T_25269) @[exu_mul_ctl.scala 137:112] + node _T_25301 = add(_T_25300, _T_25270) @[exu_mul_ctl.scala 137:112] + node _T_25302 = add(_T_25301, _T_25271) @[exu_mul_ctl.scala 137:112] + node _T_25303 = add(_T_25302, _T_25272) @[exu_mul_ctl.scala 137:112] + node _T_25304 = add(_T_25303, _T_25273) @[exu_mul_ctl.scala 137:112] + node _T_25305 = add(_T_25304, _T_25274) @[exu_mul_ctl.scala 137:112] + node _T_25306 = add(_T_25305, _T_25275) @[exu_mul_ctl.scala 137:112] + node _T_25307 = add(_T_25306, _T_25276) @[exu_mul_ctl.scala 137:112] + node _T_25308 = add(_T_25307, _T_25277) @[exu_mul_ctl.scala 137:112] + node _T_25309 = add(_T_25308, _T_25278) @[exu_mul_ctl.scala 137:112] + node _T_25310 = add(_T_25309, _T_25279) @[exu_mul_ctl.scala 137:112] + node _T_25311 = add(_T_25310, _T_25280) @[exu_mul_ctl.scala 137:112] + node _T_25312 = add(_T_25311, _T_25281) @[exu_mul_ctl.scala 137:112] + node _T_25313 = add(_T_25312, _T_25282) @[exu_mul_ctl.scala 137:112] + node _T_25314 = add(_T_25313, _T_25283) @[exu_mul_ctl.scala 137:112] + node _T_25315 = add(_T_25314, _T_25284) @[exu_mul_ctl.scala 137:112] + node _T_25316 = add(_T_25315, _T_25285) @[exu_mul_ctl.scala 137:112] + node _T_25317 = add(_T_25316, _T_25286) @[exu_mul_ctl.scala 137:112] + node _T_25318 = add(_T_25317, _T_25287) @[exu_mul_ctl.scala 137:112] + node _T_25319 = add(_T_25318, _T_25288) @[exu_mul_ctl.scala 137:112] + node _T_25320 = add(_T_25319, _T_25289) @[exu_mul_ctl.scala 137:112] + node _T_25321 = add(_T_25320, _T_25290) @[exu_mul_ctl.scala 137:112] + node _T_25322 = add(_T_25321, _T_25291) @[exu_mul_ctl.scala 137:112] + node _T_25323 = add(_T_25322, _T_25292) @[exu_mul_ctl.scala 137:112] + node _T_25324 = eq(_T_25323, UInt<5>("h016")) @[exu_mul_ctl.scala 138:87] + node _T_25325 = bits(_T_25324, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25326 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_25327 = mux(_T_25325, _T_25326, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_25328 = mux(_T_25259, _T_25260, _T_25327) @[Mux.scala 98:16] + node _T_25329 = mux(_T_25195, _T_25196, _T_25328) @[Mux.scala 98:16] + node _T_25330 = mux(_T_25133, _T_25134, _T_25329) @[Mux.scala 98:16] + node _T_25331 = mux(_T_25073, _T_25074, _T_25330) @[Mux.scala 98:16] + node _T_25332 = mux(_T_25015, _T_25016, _T_25331) @[Mux.scala 98:16] + node _T_25333 = mux(_T_24959, _T_24960, _T_25332) @[Mux.scala 98:16] + node _T_25334 = mux(_T_24905, _T_24906, _T_25333) @[Mux.scala 98:16] + node _T_25335 = mux(_T_24853, _T_24854, _T_25334) @[Mux.scala 98:16] + node _T_25336 = mux(_T_24803, _T_24804, _T_25335) @[Mux.scala 98:16] + node _T_25337 = mux(_T_24755, _T_24756, _T_25336) @[Mux.scala 98:16] + node _T_25338 = mux(_T_24709, _T_24710, _T_25337) @[Mux.scala 98:16] + node _T_25339 = mux(_T_24665, _T_24666, _T_25338) @[Mux.scala 98:16] + node _T_25340 = mux(_T_24623, _T_24624, _T_25339) @[Mux.scala 98:16] + node _T_25341 = mux(_T_24583, _T_24584, _T_25340) @[Mux.scala 98:16] + node _T_25342 = mux(_T_24545, _T_24546, _T_25341) @[Mux.scala 98:16] + node _T_25343 = mux(_T_24509, _T_24510, _T_25342) @[Mux.scala 98:16] + node _T_25344 = mux(_T_24475, _T_24476, _T_25343) @[Mux.scala 98:16] + node _T_25345 = mux(_T_24443, _T_24444, _T_25344) @[Mux.scala 98:16] + node _T_25346 = mux(_T_24413, _T_24414, _T_25345) @[Mux.scala 98:16] + node _T_25347 = mux(_T_24385, _T_24386, _T_25346) @[Mux.scala 98:16] + node _T_25348 = mux(_T_24359, _T_24360, _T_25347) @[Mux.scala 98:16] + node _T_25349 = mux(_T_24335, _T_24336, _T_25348) @[Mux.scala 98:16] + node _T_25350 = mux(_T_24313, _T_24314, _T_25349) @[Mux.scala 98:16] + node _T_25351 = mux(_T_24293, _T_24294, _T_25350) @[Mux.scala 98:16] + node _T_25352 = mux(_T_24275, _T_24276, _T_25351) @[Mux.scala 98:16] + node _T_25353 = mux(_T_24259, _T_24260, _T_25352) @[Mux.scala 98:16] + node _T_25354 = mux(_T_24245, _T_24246, _T_25353) @[Mux.scala 98:16] + node _T_25355 = mux(_T_24233, _T_24234, _T_25354) @[Mux.scala 98:16] + node _T_25356 = mux(_T_24223, _T_24224, _T_25355) @[Mux.scala 98:16] + node _T_25357 = mux(_T_24215, _T_24216, _T_25356) @[Mux.scala 98:16] + node _T_25358 = mux(_T_24209, _T_24210, _T_25357) @[Mux.scala 98:16] + node _T_25359 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_25360 = eq(_T_25359, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25361 = bits(_T_25360, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25362 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_25363 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25364 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25365 = add(_T_25363, _T_25364) @[exu_mul_ctl.scala 137:112] + node _T_25366 = eq(_T_25365, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25367 = bits(_T_25366, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25368 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_25369 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25370 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25371 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25372 = add(_T_25369, _T_25370) @[exu_mul_ctl.scala 137:112] + node _T_25373 = add(_T_25372, _T_25371) @[exu_mul_ctl.scala 137:112] + node _T_25374 = eq(_T_25373, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25375 = bits(_T_25374, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25376 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_25377 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25378 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25379 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25380 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25381 = add(_T_25377, _T_25378) @[exu_mul_ctl.scala 137:112] + node _T_25382 = add(_T_25381, _T_25379) @[exu_mul_ctl.scala 137:112] + node _T_25383 = add(_T_25382, _T_25380) @[exu_mul_ctl.scala 137:112] + node _T_25384 = eq(_T_25383, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25385 = bits(_T_25384, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25386 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_25387 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25388 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25389 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25390 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25391 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25392 = add(_T_25387, _T_25388) @[exu_mul_ctl.scala 137:112] + node _T_25393 = add(_T_25392, _T_25389) @[exu_mul_ctl.scala 137:112] + node _T_25394 = add(_T_25393, _T_25390) @[exu_mul_ctl.scala 137:112] + node _T_25395 = add(_T_25394, _T_25391) @[exu_mul_ctl.scala 137:112] + node _T_25396 = eq(_T_25395, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25397 = bits(_T_25396, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25398 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_25399 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25400 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25401 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25402 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25403 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25404 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25405 = add(_T_25399, _T_25400) @[exu_mul_ctl.scala 137:112] + node _T_25406 = add(_T_25405, _T_25401) @[exu_mul_ctl.scala 137:112] + node _T_25407 = add(_T_25406, _T_25402) @[exu_mul_ctl.scala 137:112] + node _T_25408 = add(_T_25407, _T_25403) @[exu_mul_ctl.scala 137:112] + node _T_25409 = add(_T_25408, _T_25404) @[exu_mul_ctl.scala 137:112] + node _T_25410 = eq(_T_25409, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25411 = bits(_T_25410, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25412 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_25413 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25414 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25415 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25416 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25417 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25418 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25419 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25420 = add(_T_25413, _T_25414) @[exu_mul_ctl.scala 137:112] + node _T_25421 = add(_T_25420, _T_25415) @[exu_mul_ctl.scala 137:112] + node _T_25422 = add(_T_25421, _T_25416) @[exu_mul_ctl.scala 137:112] + node _T_25423 = add(_T_25422, _T_25417) @[exu_mul_ctl.scala 137:112] + node _T_25424 = add(_T_25423, _T_25418) @[exu_mul_ctl.scala 137:112] + node _T_25425 = add(_T_25424, _T_25419) @[exu_mul_ctl.scala 137:112] + node _T_25426 = eq(_T_25425, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25427 = bits(_T_25426, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25428 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_25429 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25430 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25431 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25432 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25433 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25434 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25435 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25436 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25437 = add(_T_25429, _T_25430) @[exu_mul_ctl.scala 137:112] + node _T_25438 = add(_T_25437, _T_25431) @[exu_mul_ctl.scala 137:112] + node _T_25439 = add(_T_25438, _T_25432) @[exu_mul_ctl.scala 137:112] + node _T_25440 = add(_T_25439, _T_25433) @[exu_mul_ctl.scala 137:112] + node _T_25441 = add(_T_25440, _T_25434) @[exu_mul_ctl.scala 137:112] + node _T_25442 = add(_T_25441, _T_25435) @[exu_mul_ctl.scala 137:112] + node _T_25443 = add(_T_25442, _T_25436) @[exu_mul_ctl.scala 137:112] + node _T_25444 = eq(_T_25443, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25445 = bits(_T_25444, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25446 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_25447 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25448 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25449 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25450 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25451 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25452 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25453 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25454 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25455 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25456 = add(_T_25447, _T_25448) @[exu_mul_ctl.scala 137:112] + node _T_25457 = add(_T_25456, _T_25449) @[exu_mul_ctl.scala 137:112] + node _T_25458 = add(_T_25457, _T_25450) @[exu_mul_ctl.scala 137:112] + node _T_25459 = add(_T_25458, _T_25451) @[exu_mul_ctl.scala 137:112] + node _T_25460 = add(_T_25459, _T_25452) @[exu_mul_ctl.scala 137:112] + node _T_25461 = add(_T_25460, _T_25453) @[exu_mul_ctl.scala 137:112] + node _T_25462 = add(_T_25461, _T_25454) @[exu_mul_ctl.scala 137:112] + node _T_25463 = add(_T_25462, _T_25455) @[exu_mul_ctl.scala 137:112] + node _T_25464 = eq(_T_25463, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25465 = bits(_T_25464, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25466 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_25467 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25468 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25469 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25470 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25471 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25472 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25473 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25474 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25475 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25476 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25477 = add(_T_25467, _T_25468) @[exu_mul_ctl.scala 137:112] + node _T_25478 = add(_T_25477, _T_25469) @[exu_mul_ctl.scala 137:112] + node _T_25479 = add(_T_25478, _T_25470) @[exu_mul_ctl.scala 137:112] + node _T_25480 = add(_T_25479, _T_25471) @[exu_mul_ctl.scala 137:112] + node _T_25481 = add(_T_25480, _T_25472) @[exu_mul_ctl.scala 137:112] + node _T_25482 = add(_T_25481, _T_25473) @[exu_mul_ctl.scala 137:112] + node _T_25483 = add(_T_25482, _T_25474) @[exu_mul_ctl.scala 137:112] + node _T_25484 = add(_T_25483, _T_25475) @[exu_mul_ctl.scala 137:112] + node _T_25485 = add(_T_25484, _T_25476) @[exu_mul_ctl.scala 137:112] + node _T_25486 = eq(_T_25485, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25487 = bits(_T_25486, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25488 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_25489 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25490 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25491 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25492 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25493 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25494 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25495 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25496 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25497 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25498 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25499 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25500 = add(_T_25489, _T_25490) @[exu_mul_ctl.scala 137:112] + node _T_25501 = add(_T_25500, _T_25491) @[exu_mul_ctl.scala 137:112] + node _T_25502 = add(_T_25501, _T_25492) @[exu_mul_ctl.scala 137:112] + node _T_25503 = add(_T_25502, _T_25493) @[exu_mul_ctl.scala 137:112] + node _T_25504 = add(_T_25503, _T_25494) @[exu_mul_ctl.scala 137:112] + node _T_25505 = add(_T_25504, _T_25495) @[exu_mul_ctl.scala 137:112] + node _T_25506 = add(_T_25505, _T_25496) @[exu_mul_ctl.scala 137:112] + node _T_25507 = add(_T_25506, _T_25497) @[exu_mul_ctl.scala 137:112] + node _T_25508 = add(_T_25507, _T_25498) @[exu_mul_ctl.scala 137:112] + node _T_25509 = add(_T_25508, _T_25499) @[exu_mul_ctl.scala 137:112] + node _T_25510 = eq(_T_25509, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25511 = bits(_T_25510, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25512 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_25513 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25514 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25515 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25516 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25517 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25518 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25519 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25520 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25521 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25522 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25523 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25524 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25525 = add(_T_25513, _T_25514) @[exu_mul_ctl.scala 137:112] + node _T_25526 = add(_T_25525, _T_25515) @[exu_mul_ctl.scala 137:112] + node _T_25527 = add(_T_25526, _T_25516) @[exu_mul_ctl.scala 137:112] + node _T_25528 = add(_T_25527, _T_25517) @[exu_mul_ctl.scala 137:112] + node _T_25529 = add(_T_25528, _T_25518) @[exu_mul_ctl.scala 137:112] + node _T_25530 = add(_T_25529, _T_25519) @[exu_mul_ctl.scala 137:112] + node _T_25531 = add(_T_25530, _T_25520) @[exu_mul_ctl.scala 137:112] + node _T_25532 = add(_T_25531, _T_25521) @[exu_mul_ctl.scala 137:112] + node _T_25533 = add(_T_25532, _T_25522) @[exu_mul_ctl.scala 137:112] + node _T_25534 = add(_T_25533, _T_25523) @[exu_mul_ctl.scala 137:112] + node _T_25535 = add(_T_25534, _T_25524) @[exu_mul_ctl.scala 137:112] + node _T_25536 = eq(_T_25535, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25537 = bits(_T_25536, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25538 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_25539 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25540 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25541 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25542 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25543 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25544 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25545 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25546 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25547 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25548 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25549 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25550 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25551 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25552 = add(_T_25539, _T_25540) @[exu_mul_ctl.scala 137:112] + node _T_25553 = add(_T_25552, _T_25541) @[exu_mul_ctl.scala 137:112] + node _T_25554 = add(_T_25553, _T_25542) @[exu_mul_ctl.scala 137:112] + node _T_25555 = add(_T_25554, _T_25543) @[exu_mul_ctl.scala 137:112] + node _T_25556 = add(_T_25555, _T_25544) @[exu_mul_ctl.scala 137:112] + node _T_25557 = add(_T_25556, _T_25545) @[exu_mul_ctl.scala 137:112] + node _T_25558 = add(_T_25557, _T_25546) @[exu_mul_ctl.scala 137:112] + node _T_25559 = add(_T_25558, _T_25547) @[exu_mul_ctl.scala 137:112] + node _T_25560 = add(_T_25559, _T_25548) @[exu_mul_ctl.scala 137:112] + node _T_25561 = add(_T_25560, _T_25549) @[exu_mul_ctl.scala 137:112] + node _T_25562 = add(_T_25561, _T_25550) @[exu_mul_ctl.scala 137:112] + node _T_25563 = add(_T_25562, _T_25551) @[exu_mul_ctl.scala 137:112] + node _T_25564 = eq(_T_25563, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25565 = bits(_T_25564, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25566 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_25567 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25568 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25569 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25570 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25571 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25572 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25573 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25574 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25575 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25576 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25577 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25578 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25579 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25580 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25581 = add(_T_25567, _T_25568) @[exu_mul_ctl.scala 137:112] + node _T_25582 = add(_T_25581, _T_25569) @[exu_mul_ctl.scala 137:112] + node _T_25583 = add(_T_25582, _T_25570) @[exu_mul_ctl.scala 137:112] + node _T_25584 = add(_T_25583, _T_25571) @[exu_mul_ctl.scala 137:112] + node _T_25585 = add(_T_25584, _T_25572) @[exu_mul_ctl.scala 137:112] + node _T_25586 = add(_T_25585, _T_25573) @[exu_mul_ctl.scala 137:112] + node _T_25587 = add(_T_25586, _T_25574) @[exu_mul_ctl.scala 137:112] + node _T_25588 = add(_T_25587, _T_25575) @[exu_mul_ctl.scala 137:112] + node _T_25589 = add(_T_25588, _T_25576) @[exu_mul_ctl.scala 137:112] + node _T_25590 = add(_T_25589, _T_25577) @[exu_mul_ctl.scala 137:112] + node _T_25591 = add(_T_25590, _T_25578) @[exu_mul_ctl.scala 137:112] + node _T_25592 = add(_T_25591, _T_25579) @[exu_mul_ctl.scala 137:112] + node _T_25593 = add(_T_25592, _T_25580) @[exu_mul_ctl.scala 137:112] + node _T_25594 = eq(_T_25593, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25595 = bits(_T_25594, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25596 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_25597 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25598 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25599 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25600 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25601 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25602 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25603 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25604 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25605 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25606 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25607 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25608 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25609 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25610 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25611 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25612 = add(_T_25597, _T_25598) @[exu_mul_ctl.scala 137:112] + node _T_25613 = add(_T_25612, _T_25599) @[exu_mul_ctl.scala 137:112] + node _T_25614 = add(_T_25613, _T_25600) @[exu_mul_ctl.scala 137:112] + node _T_25615 = add(_T_25614, _T_25601) @[exu_mul_ctl.scala 137:112] + node _T_25616 = add(_T_25615, _T_25602) @[exu_mul_ctl.scala 137:112] + node _T_25617 = add(_T_25616, _T_25603) @[exu_mul_ctl.scala 137:112] + node _T_25618 = add(_T_25617, _T_25604) @[exu_mul_ctl.scala 137:112] + node _T_25619 = add(_T_25618, _T_25605) @[exu_mul_ctl.scala 137:112] + node _T_25620 = add(_T_25619, _T_25606) @[exu_mul_ctl.scala 137:112] + node _T_25621 = add(_T_25620, _T_25607) @[exu_mul_ctl.scala 137:112] + node _T_25622 = add(_T_25621, _T_25608) @[exu_mul_ctl.scala 137:112] + node _T_25623 = add(_T_25622, _T_25609) @[exu_mul_ctl.scala 137:112] + node _T_25624 = add(_T_25623, _T_25610) @[exu_mul_ctl.scala 137:112] + node _T_25625 = add(_T_25624, _T_25611) @[exu_mul_ctl.scala 137:112] + node _T_25626 = eq(_T_25625, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25627 = bits(_T_25626, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25628 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_25629 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25630 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25631 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25632 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25633 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25634 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25635 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25636 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25637 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25638 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25639 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25640 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25641 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25642 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25643 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25644 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25645 = add(_T_25629, _T_25630) @[exu_mul_ctl.scala 137:112] + node _T_25646 = add(_T_25645, _T_25631) @[exu_mul_ctl.scala 137:112] + node _T_25647 = add(_T_25646, _T_25632) @[exu_mul_ctl.scala 137:112] + node _T_25648 = add(_T_25647, _T_25633) @[exu_mul_ctl.scala 137:112] + node _T_25649 = add(_T_25648, _T_25634) @[exu_mul_ctl.scala 137:112] + node _T_25650 = add(_T_25649, _T_25635) @[exu_mul_ctl.scala 137:112] + node _T_25651 = add(_T_25650, _T_25636) @[exu_mul_ctl.scala 137:112] + node _T_25652 = add(_T_25651, _T_25637) @[exu_mul_ctl.scala 137:112] + node _T_25653 = add(_T_25652, _T_25638) @[exu_mul_ctl.scala 137:112] + node _T_25654 = add(_T_25653, _T_25639) @[exu_mul_ctl.scala 137:112] + node _T_25655 = add(_T_25654, _T_25640) @[exu_mul_ctl.scala 137:112] + node _T_25656 = add(_T_25655, _T_25641) @[exu_mul_ctl.scala 137:112] + node _T_25657 = add(_T_25656, _T_25642) @[exu_mul_ctl.scala 137:112] + node _T_25658 = add(_T_25657, _T_25643) @[exu_mul_ctl.scala 137:112] + node _T_25659 = add(_T_25658, _T_25644) @[exu_mul_ctl.scala 137:112] + node _T_25660 = eq(_T_25659, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25661 = bits(_T_25660, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25662 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_25663 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25664 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25665 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25666 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25667 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25668 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25669 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25670 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25671 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25672 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25673 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25674 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25675 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25676 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25677 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25678 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25679 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25680 = add(_T_25663, _T_25664) @[exu_mul_ctl.scala 137:112] + node _T_25681 = add(_T_25680, _T_25665) @[exu_mul_ctl.scala 137:112] + node _T_25682 = add(_T_25681, _T_25666) @[exu_mul_ctl.scala 137:112] + node _T_25683 = add(_T_25682, _T_25667) @[exu_mul_ctl.scala 137:112] + node _T_25684 = add(_T_25683, _T_25668) @[exu_mul_ctl.scala 137:112] + node _T_25685 = add(_T_25684, _T_25669) @[exu_mul_ctl.scala 137:112] + node _T_25686 = add(_T_25685, _T_25670) @[exu_mul_ctl.scala 137:112] + node _T_25687 = add(_T_25686, _T_25671) @[exu_mul_ctl.scala 137:112] + node _T_25688 = add(_T_25687, _T_25672) @[exu_mul_ctl.scala 137:112] + node _T_25689 = add(_T_25688, _T_25673) @[exu_mul_ctl.scala 137:112] + node _T_25690 = add(_T_25689, _T_25674) @[exu_mul_ctl.scala 137:112] + node _T_25691 = add(_T_25690, _T_25675) @[exu_mul_ctl.scala 137:112] + node _T_25692 = add(_T_25691, _T_25676) @[exu_mul_ctl.scala 137:112] + node _T_25693 = add(_T_25692, _T_25677) @[exu_mul_ctl.scala 137:112] + node _T_25694 = add(_T_25693, _T_25678) @[exu_mul_ctl.scala 137:112] + node _T_25695 = add(_T_25694, _T_25679) @[exu_mul_ctl.scala 137:112] + node _T_25696 = eq(_T_25695, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25697 = bits(_T_25696, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25698 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_25699 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25700 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25701 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25702 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25703 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25704 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25705 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25706 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25707 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25708 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25709 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25710 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25711 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25712 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25713 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25714 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25715 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25716 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25717 = add(_T_25699, _T_25700) @[exu_mul_ctl.scala 137:112] + node _T_25718 = add(_T_25717, _T_25701) @[exu_mul_ctl.scala 137:112] + node _T_25719 = add(_T_25718, _T_25702) @[exu_mul_ctl.scala 137:112] + node _T_25720 = add(_T_25719, _T_25703) @[exu_mul_ctl.scala 137:112] + node _T_25721 = add(_T_25720, _T_25704) @[exu_mul_ctl.scala 137:112] + node _T_25722 = add(_T_25721, _T_25705) @[exu_mul_ctl.scala 137:112] + node _T_25723 = add(_T_25722, _T_25706) @[exu_mul_ctl.scala 137:112] + node _T_25724 = add(_T_25723, _T_25707) @[exu_mul_ctl.scala 137:112] + node _T_25725 = add(_T_25724, _T_25708) @[exu_mul_ctl.scala 137:112] + node _T_25726 = add(_T_25725, _T_25709) @[exu_mul_ctl.scala 137:112] + node _T_25727 = add(_T_25726, _T_25710) @[exu_mul_ctl.scala 137:112] + node _T_25728 = add(_T_25727, _T_25711) @[exu_mul_ctl.scala 137:112] + node _T_25729 = add(_T_25728, _T_25712) @[exu_mul_ctl.scala 137:112] + node _T_25730 = add(_T_25729, _T_25713) @[exu_mul_ctl.scala 137:112] + node _T_25731 = add(_T_25730, _T_25714) @[exu_mul_ctl.scala 137:112] + node _T_25732 = add(_T_25731, _T_25715) @[exu_mul_ctl.scala 137:112] + node _T_25733 = add(_T_25732, _T_25716) @[exu_mul_ctl.scala 137:112] + node _T_25734 = eq(_T_25733, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25735 = bits(_T_25734, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25736 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_25737 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25738 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25739 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25740 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25741 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25742 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25743 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25744 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25745 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25746 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25747 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25748 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25749 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25750 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25751 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25752 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25753 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25754 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25755 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25756 = add(_T_25737, _T_25738) @[exu_mul_ctl.scala 137:112] + node _T_25757 = add(_T_25756, _T_25739) @[exu_mul_ctl.scala 137:112] + node _T_25758 = add(_T_25757, _T_25740) @[exu_mul_ctl.scala 137:112] + node _T_25759 = add(_T_25758, _T_25741) @[exu_mul_ctl.scala 137:112] + node _T_25760 = add(_T_25759, _T_25742) @[exu_mul_ctl.scala 137:112] + node _T_25761 = add(_T_25760, _T_25743) @[exu_mul_ctl.scala 137:112] + node _T_25762 = add(_T_25761, _T_25744) @[exu_mul_ctl.scala 137:112] + node _T_25763 = add(_T_25762, _T_25745) @[exu_mul_ctl.scala 137:112] + node _T_25764 = add(_T_25763, _T_25746) @[exu_mul_ctl.scala 137:112] + node _T_25765 = add(_T_25764, _T_25747) @[exu_mul_ctl.scala 137:112] + node _T_25766 = add(_T_25765, _T_25748) @[exu_mul_ctl.scala 137:112] + node _T_25767 = add(_T_25766, _T_25749) @[exu_mul_ctl.scala 137:112] + node _T_25768 = add(_T_25767, _T_25750) @[exu_mul_ctl.scala 137:112] + node _T_25769 = add(_T_25768, _T_25751) @[exu_mul_ctl.scala 137:112] + node _T_25770 = add(_T_25769, _T_25752) @[exu_mul_ctl.scala 137:112] + node _T_25771 = add(_T_25770, _T_25753) @[exu_mul_ctl.scala 137:112] + node _T_25772 = add(_T_25771, _T_25754) @[exu_mul_ctl.scala 137:112] + node _T_25773 = add(_T_25772, _T_25755) @[exu_mul_ctl.scala 137:112] + node _T_25774 = eq(_T_25773, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25775 = bits(_T_25774, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25776 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_25777 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25778 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25779 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25780 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25781 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25782 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25783 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25784 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25785 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25786 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25787 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25788 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25789 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25790 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25791 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25792 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25793 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25794 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25795 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25796 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25797 = add(_T_25777, _T_25778) @[exu_mul_ctl.scala 137:112] + node _T_25798 = add(_T_25797, _T_25779) @[exu_mul_ctl.scala 137:112] + node _T_25799 = add(_T_25798, _T_25780) @[exu_mul_ctl.scala 137:112] + node _T_25800 = add(_T_25799, _T_25781) @[exu_mul_ctl.scala 137:112] + node _T_25801 = add(_T_25800, _T_25782) @[exu_mul_ctl.scala 137:112] + node _T_25802 = add(_T_25801, _T_25783) @[exu_mul_ctl.scala 137:112] + node _T_25803 = add(_T_25802, _T_25784) @[exu_mul_ctl.scala 137:112] + node _T_25804 = add(_T_25803, _T_25785) @[exu_mul_ctl.scala 137:112] + node _T_25805 = add(_T_25804, _T_25786) @[exu_mul_ctl.scala 137:112] + node _T_25806 = add(_T_25805, _T_25787) @[exu_mul_ctl.scala 137:112] + node _T_25807 = add(_T_25806, _T_25788) @[exu_mul_ctl.scala 137:112] + node _T_25808 = add(_T_25807, _T_25789) @[exu_mul_ctl.scala 137:112] + node _T_25809 = add(_T_25808, _T_25790) @[exu_mul_ctl.scala 137:112] + node _T_25810 = add(_T_25809, _T_25791) @[exu_mul_ctl.scala 137:112] + node _T_25811 = add(_T_25810, _T_25792) @[exu_mul_ctl.scala 137:112] + node _T_25812 = add(_T_25811, _T_25793) @[exu_mul_ctl.scala 137:112] + node _T_25813 = add(_T_25812, _T_25794) @[exu_mul_ctl.scala 137:112] + node _T_25814 = add(_T_25813, _T_25795) @[exu_mul_ctl.scala 137:112] + node _T_25815 = add(_T_25814, _T_25796) @[exu_mul_ctl.scala 137:112] + node _T_25816 = eq(_T_25815, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25817 = bits(_T_25816, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25818 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_25819 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25820 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25821 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25822 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25823 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25824 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25825 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25826 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25827 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25828 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25829 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25830 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25831 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25832 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25833 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25834 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25835 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25836 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25837 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25838 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25839 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25840 = add(_T_25819, _T_25820) @[exu_mul_ctl.scala 137:112] + node _T_25841 = add(_T_25840, _T_25821) @[exu_mul_ctl.scala 137:112] + node _T_25842 = add(_T_25841, _T_25822) @[exu_mul_ctl.scala 137:112] + node _T_25843 = add(_T_25842, _T_25823) @[exu_mul_ctl.scala 137:112] + node _T_25844 = add(_T_25843, _T_25824) @[exu_mul_ctl.scala 137:112] + node _T_25845 = add(_T_25844, _T_25825) @[exu_mul_ctl.scala 137:112] + node _T_25846 = add(_T_25845, _T_25826) @[exu_mul_ctl.scala 137:112] + node _T_25847 = add(_T_25846, _T_25827) @[exu_mul_ctl.scala 137:112] + node _T_25848 = add(_T_25847, _T_25828) @[exu_mul_ctl.scala 137:112] + node _T_25849 = add(_T_25848, _T_25829) @[exu_mul_ctl.scala 137:112] + node _T_25850 = add(_T_25849, _T_25830) @[exu_mul_ctl.scala 137:112] + node _T_25851 = add(_T_25850, _T_25831) @[exu_mul_ctl.scala 137:112] + node _T_25852 = add(_T_25851, _T_25832) @[exu_mul_ctl.scala 137:112] + node _T_25853 = add(_T_25852, _T_25833) @[exu_mul_ctl.scala 137:112] + node _T_25854 = add(_T_25853, _T_25834) @[exu_mul_ctl.scala 137:112] + node _T_25855 = add(_T_25854, _T_25835) @[exu_mul_ctl.scala 137:112] + node _T_25856 = add(_T_25855, _T_25836) @[exu_mul_ctl.scala 137:112] + node _T_25857 = add(_T_25856, _T_25837) @[exu_mul_ctl.scala 137:112] + node _T_25858 = add(_T_25857, _T_25838) @[exu_mul_ctl.scala 137:112] + node _T_25859 = add(_T_25858, _T_25839) @[exu_mul_ctl.scala 137:112] + node _T_25860 = eq(_T_25859, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25861 = bits(_T_25860, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25862 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_25863 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25864 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25865 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25866 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25867 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25868 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25869 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25870 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25871 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25872 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25873 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25874 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25875 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25876 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25877 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25878 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25879 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25880 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25881 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25882 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25883 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25884 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25885 = add(_T_25863, _T_25864) @[exu_mul_ctl.scala 137:112] + node _T_25886 = add(_T_25885, _T_25865) @[exu_mul_ctl.scala 137:112] + node _T_25887 = add(_T_25886, _T_25866) @[exu_mul_ctl.scala 137:112] + node _T_25888 = add(_T_25887, _T_25867) @[exu_mul_ctl.scala 137:112] + node _T_25889 = add(_T_25888, _T_25868) @[exu_mul_ctl.scala 137:112] + node _T_25890 = add(_T_25889, _T_25869) @[exu_mul_ctl.scala 137:112] + node _T_25891 = add(_T_25890, _T_25870) @[exu_mul_ctl.scala 137:112] + node _T_25892 = add(_T_25891, _T_25871) @[exu_mul_ctl.scala 137:112] + node _T_25893 = add(_T_25892, _T_25872) @[exu_mul_ctl.scala 137:112] + node _T_25894 = add(_T_25893, _T_25873) @[exu_mul_ctl.scala 137:112] + node _T_25895 = add(_T_25894, _T_25874) @[exu_mul_ctl.scala 137:112] + node _T_25896 = add(_T_25895, _T_25875) @[exu_mul_ctl.scala 137:112] + node _T_25897 = add(_T_25896, _T_25876) @[exu_mul_ctl.scala 137:112] + node _T_25898 = add(_T_25897, _T_25877) @[exu_mul_ctl.scala 137:112] + node _T_25899 = add(_T_25898, _T_25878) @[exu_mul_ctl.scala 137:112] + node _T_25900 = add(_T_25899, _T_25879) @[exu_mul_ctl.scala 137:112] + node _T_25901 = add(_T_25900, _T_25880) @[exu_mul_ctl.scala 137:112] + node _T_25902 = add(_T_25901, _T_25881) @[exu_mul_ctl.scala 137:112] + node _T_25903 = add(_T_25902, _T_25882) @[exu_mul_ctl.scala 137:112] + node _T_25904 = add(_T_25903, _T_25883) @[exu_mul_ctl.scala 137:112] + node _T_25905 = add(_T_25904, _T_25884) @[exu_mul_ctl.scala 137:112] + node _T_25906 = eq(_T_25905, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25907 = bits(_T_25906, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25908 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_25909 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25910 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25911 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25912 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25913 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25914 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25915 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25916 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25917 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25918 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25919 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25920 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25921 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25922 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25923 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25924 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25925 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25926 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25927 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25928 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25929 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25930 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25931 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25932 = add(_T_25909, _T_25910) @[exu_mul_ctl.scala 137:112] + node _T_25933 = add(_T_25932, _T_25911) @[exu_mul_ctl.scala 137:112] + node _T_25934 = add(_T_25933, _T_25912) @[exu_mul_ctl.scala 137:112] + node _T_25935 = add(_T_25934, _T_25913) @[exu_mul_ctl.scala 137:112] + node _T_25936 = add(_T_25935, _T_25914) @[exu_mul_ctl.scala 137:112] + node _T_25937 = add(_T_25936, _T_25915) @[exu_mul_ctl.scala 137:112] + node _T_25938 = add(_T_25937, _T_25916) @[exu_mul_ctl.scala 137:112] + node _T_25939 = add(_T_25938, _T_25917) @[exu_mul_ctl.scala 137:112] + node _T_25940 = add(_T_25939, _T_25918) @[exu_mul_ctl.scala 137:112] + node _T_25941 = add(_T_25940, _T_25919) @[exu_mul_ctl.scala 137:112] + node _T_25942 = add(_T_25941, _T_25920) @[exu_mul_ctl.scala 137:112] + node _T_25943 = add(_T_25942, _T_25921) @[exu_mul_ctl.scala 137:112] + node _T_25944 = add(_T_25943, _T_25922) @[exu_mul_ctl.scala 137:112] + node _T_25945 = add(_T_25944, _T_25923) @[exu_mul_ctl.scala 137:112] + node _T_25946 = add(_T_25945, _T_25924) @[exu_mul_ctl.scala 137:112] + node _T_25947 = add(_T_25946, _T_25925) @[exu_mul_ctl.scala 137:112] + node _T_25948 = add(_T_25947, _T_25926) @[exu_mul_ctl.scala 137:112] + node _T_25949 = add(_T_25948, _T_25927) @[exu_mul_ctl.scala 137:112] + node _T_25950 = add(_T_25949, _T_25928) @[exu_mul_ctl.scala 137:112] + node _T_25951 = add(_T_25950, _T_25929) @[exu_mul_ctl.scala 137:112] + node _T_25952 = add(_T_25951, _T_25930) @[exu_mul_ctl.scala 137:112] + node _T_25953 = add(_T_25952, _T_25931) @[exu_mul_ctl.scala 137:112] + node _T_25954 = eq(_T_25953, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_25955 = bits(_T_25954, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_25956 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_25957 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_25958 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_25959 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_25960 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_25961 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_25962 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_25963 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_25964 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_25965 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_25966 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_25967 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_25968 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_25969 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_25970 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_25971 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_25972 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_25973 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_25974 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_25975 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_25976 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_25977 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_25978 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_25979 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_25980 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_25981 = add(_T_25957, _T_25958) @[exu_mul_ctl.scala 137:112] + node _T_25982 = add(_T_25981, _T_25959) @[exu_mul_ctl.scala 137:112] + node _T_25983 = add(_T_25982, _T_25960) @[exu_mul_ctl.scala 137:112] + node _T_25984 = add(_T_25983, _T_25961) @[exu_mul_ctl.scala 137:112] + node _T_25985 = add(_T_25984, _T_25962) @[exu_mul_ctl.scala 137:112] + node _T_25986 = add(_T_25985, _T_25963) @[exu_mul_ctl.scala 137:112] + node _T_25987 = add(_T_25986, _T_25964) @[exu_mul_ctl.scala 137:112] + node _T_25988 = add(_T_25987, _T_25965) @[exu_mul_ctl.scala 137:112] + node _T_25989 = add(_T_25988, _T_25966) @[exu_mul_ctl.scala 137:112] + node _T_25990 = add(_T_25989, _T_25967) @[exu_mul_ctl.scala 137:112] + node _T_25991 = add(_T_25990, _T_25968) @[exu_mul_ctl.scala 137:112] + node _T_25992 = add(_T_25991, _T_25969) @[exu_mul_ctl.scala 137:112] + node _T_25993 = add(_T_25992, _T_25970) @[exu_mul_ctl.scala 137:112] + node _T_25994 = add(_T_25993, _T_25971) @[exu_mul_ctl.scala 137:112] + node _T_25995 = add(_T_25994, _T_25972) @[exu_mul_ctl.scala 137:112] + node _T_25996 = add(_T_25995, _T_25973) @[exu_mul_ctl.scala 137:112] + node _T_25997 = add(_T_25996, _T_25974) @[exu_mul_ctl.scala 137:112] + node _T_25998 = add(_T_25997, _T_25975) @[exu_mul_ctl.scala 137:112] + node _T_25999 = add(_T_25998, _T_25976) @[exu_mul_ctl.scala 137:112] + node _T_26000 = add(_T_25999, _T_25977) @[exu_mul_ctl.scala 137:112] + node _T_26001 = add(_T_26000, _T_25978) @[exu_mul_ctl.scala 137:112] + node _T_26002 = add(_T_26001, _T_25979) @[exu_mul_ctl.scala 137:112] + node _T_26003 = add(_T_26002, _T_25980) @[exu_mul_ctl.scala 137:112] + node _T_26004 = eq(_T_26003, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26005 = bits(_T_26004, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26006 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_26007 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26008 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26009 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26010 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26011 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26012 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26013 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26014 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26015 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26016 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26017 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26018 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26019 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26020 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26021 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26022 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26023 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26024 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26025 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26026 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26027 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26028 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26029 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26030 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26031 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26032 = add(_T_26007, _T_26008) @[exu_mul_ctl.scala 137:112] + node _T_26033 = add(_T_26032, _T_26009) @[exu_mul_ctl.scala 137:112] + node _T_26034 = add(_T_26033, _T_26010) @[exu_mul_ctl.scala 137:112] + node _T_26035 = add(_T_26034, _T_26011) @[exu_mul_ctl.scala 137:112] + node _T_26036 = add(_T_26035, _T_26012) @[exu_mul_ctl.scala 137:112] + node _T_26037 = add(_T_26036, _T_26013) @[exu_mul_ctl.scala 137:112] + node _T_26038 = add(_T_26037, _T_26014) @[exu_mul_ctl.scala 137:112] + node _T_26039 = add(_T_26038, _T_26015) @[exu_mul_ctl.scala 137:112] + node _T_26040 = add(_T_26039, _T_26016) @[exu_mul_ctl.scala 137:112] + node _T_26041 = add(_T_26040, _T_26017) @[exu_mul_ctl.scala 137:112] + node _T_26042 = add(_T_26041, _T_26018) @[exu_mul_ctl.scala 137:112] + node _T_26043 = add(_T_26042, _T_26019) @[exu_mul_ctl.scala 137:112] + node _T_26044 = add(_T_26043, _T_26020) @[exu_mul_ctl.scala 137:112] + node _T_26045 = add(_T_26044, _T_26021) @[exu_mul_ctl.scala 137:112] + node _T_26046 = add(_T_26045, _T_26022) @[exu_mul_ctl.scala 137:112] + node _T_26047 = add(_T_26046, _T_26023) @[exu_mul_ctl.scala 137:112] + node _T_26048 = add(_T_26047, _T_26024) @[exu_mul_ctl.scala 137:112] + node _T_26049 = add(_T_26048, _T_26025) @[exu_mul_ctl.scala 137:112] + node _T_26050 = add(_T_26049, _T_26026) @[exu_mul_ctl.scala 137:112] + node _T_26051 = add(_T_26050, _T_26027) @[exu_mul_ctl.scala 137:112] + node _T_26052 = add(_T_26051, _T_26028) @[exu_mul_ctl.scala 137:112] + node _T_26053 = add(_T_26052, _T_26029) @[exu_mul_ctl.scala 137:112] + node _T_26054 = add(_T_26053, _T_26030) @[exu_mul_ctl.scala 137:112] + node _T_26055 = add(_T_26054, _T_26031) @[exu_mul_ctl.scala 137:112] + node _T_26056 = eq(_T_26055, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26057 = bits(_T_26056, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26058 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_26059 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26060 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26061 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26062 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26063 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26064 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26065 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26066 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26067 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26068 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26069 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26070 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26071 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26072 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26073 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26074 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26075 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26076 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26077 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26078 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26079 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26080 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26081 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26082 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26083 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26084 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26085 = add(_T_26059, _T_26060) @[exu_mul_ctl.scala 137:112] + node _T_26086 = add(_T_26085, _T_26061) @[exu_mul_ctl.scala 137:112] + node _T_26087 = add(_T_26086, _T_26062) @[exu_mul_ctl.scala 137:112] + node _T_26088 = add(_T_26087, _T_26063) @[exu_mul_ctl.scala 137:112] + node _T_26089 = add(_T_26088, _T_26064) @[exu_mul_ctl.scala 137:112] + node _T_26090 = add(_T_26089, _T_26065) @[exu_mul_ctl.scala 137:112] + node _T_26091 = add(_T_26090, _T_26066) @[exu_mul_ctl.scala 137:112] + node _T_26092 = add(_T_26091, _T_26067) @[exu_mul_ctl.scala 137:112] + node _T_26093 = add(_T_26092, _T_26068) @[exu_mul_ctl.scala 137:112] + node _T_26094 = add(_T_26093, _T_26069) @[exu_mul_ctl.scala 137:112] + node _T_26095 = add(_T_26094, _T_26070) @[exu_mul_ctl.scala 137:112] + node _T_26096 = add(_T_26095, _T_26071) @[exu_mul_ctl.scala 137:112] + node _T_26097 = add(_T_26096, _T_26072) @[exu_mul_ctl.scala 137:112] + node _T_26098 = add(_T_26097, _T_26073) @[exu_mul_ctl.scala 137:112] + node _T_26099 = add(_T_26098, _T_26074) @[exu_mul_ctl.scala 137:112] + node _T_26100 = add(_T_26099, _T_26075) @[exu_mul_ctl.scala 137:112] + node _T_26101 = add(_T_26100, _T_26076) @[exu_mul_ctl.scala 137:112] + node _T_26102 = add(_T_26101, _T_26077) @[exu_mul_ctl.scala 137:112] + node _T_26103 = add(_T_26102, _T_26078) @[exu_mul_ctl.scala 137:112] + node _T_26104 = add(_T_26103, _T_26079) @[exu_mul_ctl.scala 137:112] + node _T_26105 = add(_T_26104, _T_26080) @[exu_mul_ctl.scala 137:112] + node _T_26106 = add(_T_26105, _T_26081) @[exu_mul_ctl.scala 137:112] + node _T_26107 = add(_T_26106, _T_26082) @[exu_mul_ctl.scala 137:112] + node _T_26108 = add(_T_26107, _T_26083) @[exu_mul_ctl.scala 137:112] + node _T_26109 = add(_T_26108, _T_26084) @[exu_mul_ctl.scala 137:112] + node _T_26110 = eq(_T_26109, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26111 = bits(_T_26110, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26112 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_26113 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26114 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26115 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26116 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26117 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26118 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26119 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26120 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26121 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26122 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26123 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26124 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26125 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26126 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26127 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26128 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26129 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26130 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26131 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26132 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26133 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26134 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26135 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26136 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26137 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26138 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26139 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26140 = add(_T_26113, _T_26114) @[exu_mul_ctl.scala 137:112] + node _T_26141 = add(_T_26140, _T_26115) @[exu_mul_ctl.scala 137:112] + node _T_26142 = add(_T_26141, _T_26116) @[exu_mul_ctl.scala 137:112] + node _T_26143 = add(_T_26142, _T_26117) @[exu_mul_ctl.scala 137:112] + node _T_26144 = add(_T_26143, _T_26118) @[exu_mul_ctl.scala 137:112] + node _T_26145 = add(_T_26144, _T_26119) @[exu_mul_ctl.scala 137:112] + node _T_26146 = add(_T_26145, _T_26120) @[exu_mul_ctl.scala 137:112] + node _T_26147 = add(_T_26146, _T_26121) @[exu_mul_ctl.scala 137:112] + node _T_26148 = add(_T_26147, _T_26122) @[exu_mul_ctl.scala 137:112] + node _T_26149 = add(_T_26148, _T_26123) @[exu_mul_ctl.scala 137:112] + node _T_26150 = add(_T_26149, _T_26124) @[exu_mul_ctl.scala 137:112] + node _T_26151 = add(_T_26150, _T_26125) @[exu_mul_ctl.scala 137:112] + node _T_26152 = add(_T_26151, _T_26126) @[exu_mul_ctl.scala 137:112] + node _T_26153 = add(_T_26152, _T_26127) @[exu_mul_ctl.scala 137:112] + node _T_26154 = add(_T_26153, _T_26128) @[exu_mul_ctl.scala 137:112] + node _T_26155 = add(_T_26154, _T_26129) @[exu_mul_ctl.scala 137:112] + node _T_26156 = add(_T_26155, _T_26130) @[exu_mul_ctl.scala 137:112] + node _T_26157 = add(_T_26156, _T_26131) @[exu_mul_ctl.scala 137:112] + node _T_26158 = add(_T_26157, _T_26132) @[exu_mul_ctl.scala 137:112] + node _T_26159 = add(_T_26158, _T_26133) @[exu_mul_ctl.scala 137:112] + node _T_26160 = add(_T_26159, _T_26134) @[exu_mul_ctl.scala 137:112] + node _T_26161 = add(_T_26160, _T_26135) @[exu_mul_ctl.scala 137:112] + node _T_26162 = add(_T_26161, _T_26136) @[exu_mul_ctl.scala 137:112] + node _T_26163 = add(_T_26162, _T_26137) @[exu_mul_ctl.scala 137:112] + node _T_26164 = add(_T_26163, _T_26138) @[exu_mul_ctl.scala 137:112] + node _T_26165 = add(_T_26164, _T_26139) @[exu_mul_ctl.scala 137:112] + node _T_26166 = eq(_T_26165, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26167 = bits(_T_26166, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26168 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_26169 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26170 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26171 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26172 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26173 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26174 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26175 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26176 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26177 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26178 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26179 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26180 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26181 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26182 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26183 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26184 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26185 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26186 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26187 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26188 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26189 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26190 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26191 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26192 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26193 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26194 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26195 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26196 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_26197 = add(_T_26169, _T_26170) @[exu_mul_ctl.scala 137:112] + node _T_26198 = add(_T_26197, _T_26171) @[exu_mul_ctl.scala 137:112] + node _T_26199 = add(_T_26198, _T_26172) @[exu_mul_ctl.scala 137:112] + node _T_26200 = add(_T_26199, _T_26173) @[exu_mul_ctl.scala 137:112] + node _T_26201 = add(_T_26200, _T_26174) @[exu_mul_ctl.scala 137:112] + node _T_26202 = add(_T_26201, _T_26175) @[exu_mul_ctl.scala 137:112] + node _T_26203 = add(_T_26202, _T_26176) @[exu_mul_ctl.scala 137:112] + node _T_26204 = add(_T_26203, _T_26177) @[exu_mul_ctl.scala 137:112] + node _T_26205 = add(_T_26204, _T_26178) @[exu_mul_ctl.scala 137:112] + node _T_26206 = add(_T_26205, _T_26179) @[exu_mul_ctl.scala 137:112] + node _T_26207 = add(_T_26206, _T_26180) @[exu_mul_ctl.scala 137:112] + node _T_26208 = add(_T_26207, _T_26181) @[exu_mul_ctl.scala 137:112] + node _T_26209 = add(_T_26208, _T_26182) @[exu_mul_ctl.scala 137:112] + node _T_26210 = add(_T_26209, _T_26183) @[exu_mul_ctl.scala 137:112] + node _T_26211 = add(_T_26210, _T_26184) @[exu_mul_ctl.scala 137:112] + node _T_26212 = add(_T_26211, _T_26185) @[exu_mul_ctl.scala 137:112] + node _T_26213 = add(_T_26212, _T_26186) @[exu_mul_ctl.scala 137:112] + node _T_26214 = add(_T_26213, _T_26187) @[exu_mul_ctl.scala 137:112] + node _T_26215 = add(_T_26214, _T_26188) @[exu_mul_ctl.scala 137:112] + node _T_26216 = add(_T_26215, _T_26189) @[exu_mul_ctl.scala 137:112] + node _T_26217 = add(_T_26216, _T_26190) @[exu_mul_ctl.scala 137:112] + node _T_26218 = add(_T_26217, _T_26191) @[exu_mul_ctl.scala 137:112] + node _T_26219 = add(_T_26218, _T_26192) @[exu_mul_ctl.scala 137:112] + node _T_26220 = add(_T_26219, _T_26193) @[exu_mul_ctl.scala 137:112] + node _T_26221 = add(_T_26220, _T_26194) @[exu_mul_ctl.scala 137:112] + node _T_26222 = add(_T_26221, _T_26195) @[exu_mul_ctl.scala 137:112] + node _T_26223 = add(_T_26222, _T_26196) @[exu_mul_ctl.scala 137:112] + node _T_26224 = eq(_T_26223, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26225 = bits(_T_26224, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26226 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_26227 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26228 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26229 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26230 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26231 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26232 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26233 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26234 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26235 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26236 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26237 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26238 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26239 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26240 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26241 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26242 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26243 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26244 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26245 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26246 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26247 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26248 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26249 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26250 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26251 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26252 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26253 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26254 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_26255 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_26256 = add(_T_26227, _T_26228) @[exu_mul_ctl.scala 137:112] + node _T_26257 = add(_T_26256, _T_26229) @[exu_mul_ctl.scala 137:112] + node _T_26258 = add(_T_26257, _T_26230) @[exu_mul_ctl.scala 137:112] + node _T_26259 = add(_T_26258, _T_26231) @[exu_mul_ctl.scala 137:112] + node _T_26260 = add(_T_26259, _T_26232) @[exu_mul_ctl.scala 137:112] + node _T_26261 = add(_T_26260, _T_26233) @[exu_mul_ctl.scala 137:112] + node _T_26262 = add(_T_26261, _T_26234) @[exu_mul_ctl.scala 137:112] + node _T_26263 = add(_T_26262, _T_26235) @[exu_mul_ctl.scala 137:112] + node _T_26264 = add(_T_26263, _T_26236) @[exu_mul_ctl.scala 137:112] + node _T_26265 = add(_T_26264, _T_26237) @[exu_mul_ctl.scala 137:112] + node _T_26266 = add(_T_26265, _T_26238) @[exu_mul_ctl.scala 137:112] + node _T_26267 = add(_T_26266, _T_26239) @[exu_mul_ctl.scala 137:112] + node _T_26268 = add(_T_26267, _T_26240) @[exu_mul_ctl.scala 137:112] + node _T_26269 = add(_T_26268, _T_26241) @[exu_mul_ctl.scala 137:112] + node _T_26270 = add(_T_26269, _T_26242) @[exu_mul_ctl.scala 137:112] + node _T_26271 = add(_T_26270, _T_26243) @[exu_mul_ctl.scala 137:112] + node _T_26272 = add(_T_26271, _T_26244) @[exu_mul_ctl.scala 137:112] + node _T_26273 = add(_T_26272, _T_26245) @[exu_mul_ctl.scala 137:112] + node _T_26274 = add(_T_26273, _T_26246) @[exu_mul_ctl.scala 137:112] + node _T_26275 = add(_T_26274, _T_26247) @[exu_mul_ctl.scala 137:112] + node _T_26276 = add(_T_26275, _T_26248) @[exu_mul_ctl.scala 137:112] + node _T_26277 = add(_T_26276, _T_26249) @[exu_mul_ctl.scala 137:112] + node _T_26278 = add(_T_26277, _T_26250) @[exu_mul_ctl.scala 137:112] + node _T_26279 = add(_T_26278, _T_26251) @[exu_mul_ctl.scala 137:112] + node _T_26280 = add(_T_26279, _T_26252) @[exu_mul_ctl.scala 137:112] + node _T_26281 = add(_T_26280, _T_26253) @[exu_mul_ctl.scala 137:112] + node _T_26282 = add(_T_26281, _T_26254) @[exu_mul_ctl.scala 137:112] + node _T_26283 = add(_T_26282, _T_26255) @[exu_mul_ctl.scala 137:112] + node _T_26284 = eq(_T_26283, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26285 = bits(_T_26284, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26286 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_26287 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26288 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26289 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26290 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26291 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26292 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26293 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26294 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26295 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26296 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26297 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26298 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26299 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26300 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26301 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26302 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26303 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26304 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26305 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26306 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26307 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26308 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26309 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26310 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26311 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26312 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26313 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26314 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_26315 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_26316 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_26317 = add(_T_26287, _T_26288) @[exu_mul_ctl.scala 137:112] + node _T_26318 = add(_T_26317, _T_26289) @[exu_mul_ctl.scala 137:112] + node _T_26319 = add(_T_26318, _T_26290) @[exu_mul_ctl.scala 137:112] + node _T_26320 = add(_T_26319, _T_26291) @[exu_mul_ctl.scala 137:112] + node _T_26321 = add(_T_26320, _T_26292) @[exu_mul_ctl.scala 137:112] + node _T_26322 = add(_T_26321, _T_26293) @[exu_mul_ctl.scala 137:112] + node _T_26323 = add(_T_26322, _T_26294) @[exu_mul_ctl.scala 137:112] + node _T_26324 = add(_T_26323, _T_26295) @[exu_mul_ctl.scala 137:112] + node _T_26325 = add(_T_26324, _T_26296) @[exu_mul_ctl.scala 137:112] + node _T_26326 = add(_T_26325, _T_26297) @[exu_mul_ctl.scala 137:112] + node _T_26327 = add(_T_26326, _T_26298) @[exu_mul_ctl.scala 137:112] + node _T_26328 = add(_T_26327, _T_26299) @[exu_mul_ctl.scala 137:112] + node _T_26329 = add(_T_26328, _T_26300) @[exu_mul_ctl.scala 137:112] + node _T_26330 = add(_T_26329, _T_26301) @[exu_mul_ctl.scala 137:112] + node _T_26331 = add(_T_26330, _T_26302) @[exu_mul_ctl.scala 137:112] + node _T_26332 = add(_T_26331, _T_26303) @[exu_mul_ctl.scala 137:112] + node _T_26333 = add(_T_26332, _T_26304) @[exu_mul_ctl.scala 137:112] + node _T_26334 = add(_T_26333, _T_26305) @[exu_mul_ctl.scala 137:112] + node _T_26335 = add(_T_26334, _T_26306) @[exu_mul_ctl.scala 137:112] + node _T_26336 = add(_T_26335, _T_26307) @[exu_mul_ctl.scala 137:112] + node _T_26337 = add(_T_26336, _T_26308) @[exu_mul_ctl.scala 137:112] + node _T_26338 = add(_T_26337, _T_26309) @[exu_mul_ctl.scala 137:112] + node _T_26339 = add(_T_26338, _T_26310) @[exu_mul_ctl.scala 137:112] + node _T_26340 = add(_T_26339, _T_26311) @[exu_mul_ctl.scala 137:112] + node _T_26341 = add(_T_26340, _T_26312) @[exu_mul_ctl.scala 137:112] + node _T_26342 = add(_T_26341, _T_26313) @[exu_mul_ctl.scala 137:112] + node _T_26343 = add(_T_26342, _T_26314) @[exu_mul_ctl.scala 137:112] + node _T_26344 = add(_T_26343, _T_26315) @[exu_mul_ctl.scala 137:112] + node _T_26345 = add(_T_26344, _T_26316) @[exu_mul_ctl.scala 137:112] + node _T_26346 = eq(_T_26345, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26347 = bits(_T_26346, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26348 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_26349 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26350 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26351 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26352 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26353 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26354 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26355 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26356 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26357 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26358 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26359 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26360 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26361 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26362 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26363 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26364 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26365 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26366 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26367 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26368 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26369 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26370 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26371 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26372 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26373 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26374 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26375 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26376 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_26377 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_26378 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_26379 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_26380 = add(_T_26349, _T_26350) @[exu_mul_ctl.scala 137:112] + node _T_26381 = add(_T_26380, _T_26351) @[exu_mul_ctl.scala 137:112] + node _T_26382 = add(_T_26381, _T_26352) @[exu_mul_ctl.scala 137:112] + node _T_26383 = add(_T_26382, _T_26353) @[exu_mul_ctl.scala 137:112] + node _T_26384 = add(_T_26383, _T_26354) @[exu_mul_ctl.scala 137:112] + node _T_26385 = add(_T_26384, _T_26355) @[exu_mul_ctl.scala 137:112] + node _T_26386 = add(_T_26385, _T_26356) @[exu_mul_ctl.scala 137:112] + node _T_26387 = add(_T_26386, _T_26357) @[exu_mul_ctl.scala 137:112] + node _T_26388 = add(_T_26387, _T_26358) @[exu_mul_ctl.scala 137:112] + node _T_26389 = add(_T_26388, _T_26359) @[exu_mul_ctl.scala 137:112] + node _T_26390 = add(_T_26389, _T_26360) @[exu_mul_ctl.scala 137:112] + node _T_26391 = add(_T_26390, _T_26361) @[exu_mul_ctl.scala 137:112] + node _T_26392 = add(_T_26391, _T_26362) @[exu_mul_ctl.scala 137:112] + node _T_26393 = add(_T_26392, _T_26363) @[exu_mul_ctl.scala 137:112] + node _T_26394 = add(_T_26393, _T_26364) @[exu_mul_ctl.scala 137:112] + node _T_26395 = add(_T_26394, _T_26365) @[exu_mul_ctl.scala 137:112] + node _T_26396 = add(_T_26395, _T_26366) @[exu_mul_ctl.scala 137:112] + node _T_26397 = add(_T_26396, _T_26367) @[exu_mul_ctl.scala 137:112] + node _T_26398 = add(_T_26397, _T_26368) @[exu_mul_ctl.scala 137:112] + node _T_26399 = add(_T_26398, _T_26369) @[exu_mul_ctl.scala 137:112] + node _T_26400 = add(_T_26399, _T_26370) @[exu_mul_ctl.scala 137:112] + node _T_26401 = add(_T_26400, _T_26371) @[exu_mul_ctl.scala 137:112] + node _T_26402 = add(_T_26401, _T_26372) @[exu_mul_ctl.scala 137:112] + node _T_26403 = add(_T_26402, _T_26373) @[exu_mul_ctl.scala 137:112] + node _T_26404 = add(_T_26403, _T_26374) @[exu_mul_ctl.scala 137:112] + node _T_26405 = add(_T_26404, _T_26375) @[exu_mul_ctl.scala 137:112] + node _T_26406 = add(_T_26405, _T_26376) @[exu_mul_ctl.scala 137:112] + node _T_26407 = add(_T_26406, _T_26377) @[exu_mul_ctl.scala 137:112] + node _T_26408 = add(_T_26407, _T_26378) @[exu_mul_ctl.scala 137:112] + node _T_26409 = add(_T_26408, _T_26379) @[exu_mul_ctl.scala 137:112] + node _T_26410 = eq(_T_26409, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26411 = bits(_T_26410, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26412 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_26413 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26414 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26415 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26416 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26417 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26418 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26419 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26420 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26421 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26422 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26423 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26424 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26425 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26426 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26427 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26428 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26429 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26430 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26431 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26432 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26433 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26434 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_26435 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_26436 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_26437 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_26438 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_26439 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_26440 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_26441 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_26442 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_26443 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_26444 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_26445 = add(_T_26413, _T_26414) @[exu_mul_ctl.scala 137:112] + node _T_26446 = add(_T_26445, _T_26415) @[exu_mul_ctl.scala 137:112] + node _T_26447 = add(_T_26446, _T_26416) @[exu_mul_ctl.scala 137:112] + node _T_26448 = add(_T_26447, _T_26417) @[exu_mul_ctl.scala 137:112] + node _T_26449 = add(_T_26448, _T_26418) @[exu_mul_ctl.scala 137:112] + node _T_26450 = add(_T_26449, _T_26419) @[exu_mul_ctl.scala 137:112] + node _T_26451 = add(_T_26450, _T_26420) @[exu_mul_ctl.scala 137:112] + node _T_26452 = add(_T_26451, _T_26421) @[exu_mul_ctl.scala 137:112] + node _T_26453 = add(_T_26452, _T_26422) @[exu_mul_ctl.scala 137:112] + node _T_26454 = add(_T_26453, _T_26423) @[exu_mul_ctl.scala 137:112] + node _T_26455 = add(_T_26454, _T_26424) @[exu_mul_ctl.scala 137:112] + node _T_26456 = add(_T_26455, _T_26425) @[exu_mul_ctl.scala 137:112] + node _T_26457 = add(_T_26456, _T_26426) @[exu_mul_ctl.scala 137:112] + node _T_26458 = add(_T_26457, _T_26427) @[exu_mul_ctl.scala 137:112] + node _T_26459 = add(_T_26458, _T_26428) @[exu_mul_ctl.scala 137:112] + node _T_26460 = add(_T_26459, _T_26429) @[exu_mul_ctl.scala 137:112] + node _T_26461 = add(_T_26460, _T_26430) @[exu_mul_ctl.scala 137:112] + node _T_26462 = add(_T_26461, _T_26431) @[exu_mul_ctl.scala 137:112] + node _T_26463 = add(_T_26462, _T_26432) @[exu_mul_ctl.scala 137:112] + node _T_26464 = add(_T_26463, _T_26433) @[exu_mul_ctl.scala 137:112] + node _T_26465 = add(_T_26464, _T_26434) @[exu_mul_ctl.scala 137:112] + node _T_26466 = add(_T_26465, _T_26435) @[exu_mul_ctl.scala 137:112] + node _T_26467 = add(_T_26466, _T_26436) @[exu_mul_ctl.scala 137:112] + node _T_26468 = add(_T_26467, _T_26437) @[exu_mul_ctl.scala 137:112] + node _T_26469 = add(_T_26468, _T_26438) @[exu_mul_ctl.scala 137:112] + node _T_26470 = add(_T_26469, _T_26439) @[exu_mul_ctl.scala 137:112] + node _T_26471 = add(_T_26470, _T_26440) @[exu_mul_ctl.scala 137:112] + node _T_26472 = add(_T_26471, _T_26441) @[exu_mul_ctl.scala 137:112] + node _T_26473 = add(_T_26472, _T_26442) @[exu_mul_ctl.scala 137:112] + node _T_26474 = add(_T_26473, _T_26443) @[exu_mul_ctl.scala 137:112] + node _T_26475 = add(_T_26474, _T_26444) @[exu_mul_ctl.scala 137:112] + node _T_26476 = eq(_T_26475, UInt<5>("h017")) @[exu_mul_ctl.scala 138:87] + node _T_26477 = bits(_T_26476, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26478 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_26479 = mux(_T_26477, _T_26478, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_26480 = mux(_T_26411, _T_26412, _T_26479) @[Mux.scala 98:16] + node _T_26481 = mux(_T_26347, _T_26348, _T_26480) @[Mux.scala 98:16] + node _T_26482 = mux(_T_26285, _T_26286, _T_26481) @[Mux.scala 98:16] + node _T_26483 = mux(_T_26225, _T_26226, _T_26482) @[Mux.scala 98:16] + node _T_26484 = mux(_T_26167, _T_26168, _T_26483) @[Mux.scala 98:16] + node _T_26485 = mux(_T_26111, _T_26112, _T_26484) @[Mux.scala 98:16] + node _T_26486 = mux(_T_26057, _T_26058, _T_26485) @[Mux.scala 98:16] + node _T_26487 = mux(_T_26005, _T_26006, _T_26486) @[Mux.scala 98:16] + node _T_26488 = mux(_T_25955, _T_25956, _T_26487) @[Mux.scala 98:16] + node _T_26489 = mux(_T_25907, _T_25908, _T_26488) @[Mux.scala 98:16] + node _T_26490 = mux(_T_25861, _T_25862, _T_26489) @[Mux.scala 98:16] + node _T_26491 = mux(_T_25817, _T_25818, _T_26490) @[Mux.scala 98:16] + node _T_26492 = mux(_T_25775, _T_25776, _T_26491) @[Mux.scala 98:16] + node _T_26493 = mux(_T_25735, _T_25736, _T_26492) @[Mux.scala 98:16] + node _T_26494 = mux(_T_25697, _T_25698, _T_26493) @[Mux.scala 98:16] + node _T_26495 = mux(_T_25661, _T_25662, _T_26494) @[Mux.scala 98:16] + node _T_26496 = mux(_T_25627, _T_25628, _T_26495) @[Mux.scala 98:16] + node _T_26497 = mux(_T_25595, _T_25596, _T_26496) @[Mux.scala 98:16] + node _T_26498 = mux(_T_25565, _T_25566, _T_26497) @[Mux.scala 98:16] + node _T_26499 = mux(_T_25537, _T_25538, _T_26498) @[Mux.scala 98:16] + node _T_26500 = mux(_T_25511, _T_25512, _T_26499) @[Mux.scala 98:16] + node _T_26501 = mux(_T_25487, _T_25488, _T_26500) @[Mux.scala 98:16] + node _T_26502 = mux(_T_25465, _T_25466, _T_26501) @[Mux.scala 98:16] + node _T_26503 = mux(_T_25445, _T_25446, _T_26502) @[Mux.scala 98:16] + node _T_26504 = mux(_T_25427, _T_25428, _T_26503) @[Mux.scala 98:16] + node _T_26505 = mux(_T_25411, _T_25412, _T_26504) @[Mux.scala 98:16] + node _T_26506 = mux(_T_25397, _T_25398, _T_26505) @[Mux.scala 98:16] + node _T_26507 = mux(_T_25385, _T_25386, _T_26506) @[Mux.scala 98:16] + node _T_26508 = mux(_T_25375, _T_25376, _T_26507) @[Mux.scala 98:16] + node _T_26509 = mux(_T_25367, _T_25368, _T_26508) @[Mux.scala 98:16] + node _T_26510 = mux(_T_25361, _T_25362, _T_26509) @[Mux.scala 98:16] + node _T_26511 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_26512 = eq(_T_26511, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26513 = bits(_T_26512, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26514 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_26515 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26516 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26517 = add(_T_26515, _T_26516) @[exu_mul_ctl.scala 137:112] + node _T_26518 = eq(_T_26517, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26519 = bits(_T_26518, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26520 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_26521 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26522 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26523 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26524 = add(_T_26521, _T_26522) @[exu_mul_ctl.scala 137:112] + node _T_26525 = add(_T_26524, _T_26523) @[exu_mul_ctl.scala 137:112] + node _T_26526 = eq(_T_26525, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26527 = bits(_T_26526, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26528 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_26529 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26530 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26531 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26532 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26533 = add(_T_26529, _T_26530) @[exu_mul_ctl.scala 137:112] + node _T_26534 = add(_T_26533, _T_26531) @[exu_mul_ctl.scala 137:112] + node _T_26535 = add(_T_26534, _T_26532) @[exu_mul_ctl.scala 137:112] + node _T_26536 = eq(_T_26535, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26537 = bits(_T_26536, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26538 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_26539 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26540 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26541 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26542 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26543 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26544 = add(_T_26539, _T_26540) @[exu_mul_ctl.scala 137:112] + node _T_26545 = add(_T_26544, _T_26541) @[exu_mul_ctl.scala 137:112] + node _T_26546 = add(_T_26545, _T_26542) @[exu_mul_ctl.scala 137:112] + node _T_26547 = add(_T_26546, _T_26543) @[exu_mul_ctl.scala 137:112] + node _T_26548 = eq(_T_26547, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26549 = bits(_T_26548, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26550 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_26551 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26552 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26553 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26554 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26555 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26556 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26557 = add(_T_26551, _T_26552) @[exu_mul_ctl.scala 137:112] + node _T_26558 = add(_T_26557, _T_26553) @[exu_mul_ctl.scala 137:112] + node _T_26559 = add(_T_26558, _T_26554) @[exu_mul_ctl.scala 137:112] + node _T_26560 = add(_T_26559, _T_26555) @[exu_mul_ctl.scala 137:112] + node _T_26561 = add(_T_26560, _T_26556) @[exu_mul_ctl.scala 137:112] + node _T_26562 = eq(_T_26561, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26563 = bits(_T_26562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26564 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_26565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26572 = add(_T_26565, _T_26566) @[exu_mul_ctl.scala 137:112] + node _T_26573 = add(_T_26572, _T_26567) @[exu_mul_ctl.scala 137:112] + node _T_26574 = add(_T_26573, _T_26568) @[exu_mul_ctl.scala 137:112] + node _T_26575 = add(_T_26574, _T_26569) @[exu_mul_ctl.scala 137:112] + node _T_26576 = add(_T_26575, _T_26570) @[exu_mul_ctl.scala 137:112] + node _T_26577 = add(_T_26576, _T_26571) @[exu_mul_ctl.scala 137:112] + node _T_26578 = eq(_T_26577, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26579 = bits(_T_26578, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26580 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_26581 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26582 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26583 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26584 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26585 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26586 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26587 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26588 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26589 = add(_T_26581, _T_26582) @[exu_mul_ctl.scala 137:112] + node _T_26590 = add(_T_26589, _T_26583) @[exu_mul_ctl.scala 137:112] + node _T_26591 = add(_T_26590, _T_26584) @[exu_mul_ctl.scala 137:112] + node _T_26592 = add(_T_26591, _T_26585) @[exu_mul_ctl.scala 137:112] + node _T_26593 = add(_T_26592, _T_26586) @[exu_mul_ctl.scala 137:112] + node _T_26594 = add(_T_26593, _T_26587) @[exu_mul_ctl.scala 137:112] + node _T_26595 = add(_T_26594, _T_26588) @[exu_mul_ctl.scala 137:112] + node _T_26596 = eq(_T_26595, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26597 = bits(_T_26596, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26598 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_26599 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26600 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26601 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26602 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26603 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26604 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26605 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26606 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26607 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26608 = add(_T_26599, _T_26600) @[exu_mul_ctl.scala 137:112] + node _T_26609 = add(_T_26608, _T_26601) @[exu_mul_ctl.scala 137:112] + node _T_26610 = add(_T_26609, _T_26602) @[exu_mul_ctl.scala 137:112] + node _T_26611 = add(_T_26610, _T_26603) @[exu_mul_ctl.scala 137:112] + node _T_26612 = add(_T_26611, _T_26604) @[exu_mul_ctl.scala 137:112] + node _T_26613 = add(_T_26612, _T_26605) @[exu_mul_ctl.scala 137:112] + node _T_26614 = add(_T_26613, _T_26606) @[exu_mul_ctl.scala 137:112] + node _T_26615 = add(_T_26614, _T_26607) @[exu_mul_ctl.scala 137:112] + node _T_26616 = eq(_T_26615, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26617 = bits(_T_26616, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26618 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_26619 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26620 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26621 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26622 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26623 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26624 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26625 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26626 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26627 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26628 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26629 = add(_T_26619, _T_26620) @[exu_mul_ctl.scala 137:112] + node _T_26630 = add(_T_26629, _T_26621) @[exu_mul_ctl.scala 137:112] + node _T_26631 = add(_T_26630, _T_26622) @[exu_mul_ctl.scala 137:112] + node _T_26632 = add(_T_26631, _T_26623) @[exu_mul_ctl.scala 137:112] + node _T_26633 = add(_T_26632, _T_26624) @[exu_mul_ctl.scala 137:112] + node _T_26634 = add(_T_26633, _T_26625) @[exu_mul_ctl.scala 137:112] + node _T_26635 = add(_T_26634, _T_26626) @[exu_mul_ctl.scala 137:112] + node _T_26636 = add(_T_26635, _T_26627) @[exu_mul_ctl.scala 137:112] + node _T_26637 = add(_T_26636, _T_26628) @[exu_mul_ctl.scala 137:112] + node _T_26638 = eq(_T_26637, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26639 = bits(_T_26638, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26640 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_26641 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26642 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26643 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26644 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26645 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26646 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26647 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26648 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26649 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26650 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26651 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26652 = add(_T_26641, _T_26642) @[exu_mul_ctl.scala 137:112] + node _T_26653 = add(_T_26652, _T_26643) @[exu_mul_ctl.scala 137:112] + node _T_26654 = add(_T_26653, _T_26644) @[exu_mul_ctl.scala 137:112] + node _T_26655 = add(_T_26654, _T_26645) @[exu_mul_ctl.scala 137:112] + node _T_26656 = add(_T_26655, _T_26646) @[exu_mul_ctl.scala 137:112] + node _T_26657 = add(_T_26656, _T_26647) @[exu_mul_ctl.scala 137:112] + node _T_26658 = add(_T_26657, _T_26648) @[exu_mul_ctl.scala 137:112] + node _T_26659 = add(_T_26658, _T_26649) @[exu_mul_ctl.scala 137:112] + node _T_26660 = add(_T_26659, _T_26650) @[exu_mul_ctl.scala 137:112] + node _T_26661 = add(_T_26660, _T_26651) @[exu_mul_ctl.scala 137:112] + node _T_26662 = eq(_T_26661, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26663 = bits(_T_26662, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26664 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_26665 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26666 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26667 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26668 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26669 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26670 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26671 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26672 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26673 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26674 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26675 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26676 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26677 = add(_T_26665, _T_26666) @[exu_mul_ctl.scala 137:112] + node _T_26678 = add(_T_26677, _T_26667) @[exu_mul_ctl.scala 137:112] + node _T_26679 = add(_T_26678, _T_26668) @[exu_mul_ctl.scala 137:112] + node _T_26680 = add(_T_26679, _T_26669) @[exu_mul_ctl.scala 137:112] + node _T_26681 = add(_T_26680, _T_26670) @[exu_mul_ctl.scala 137:112] + node _T_26682 = add(_T_26681, _T_26671) @[exu_mul_ctl.scala 137:112] + node _T_26683 = add(_T_26682, _T_26672) @[exu_mul_ctl.scala 137:112] + node _T_26684 = add(_T_26683, _T_26673) @[exu_mul_ctl.scala 137:112] + node _T_26685 = add(_T_26684, _T_26674) @[exu_mul_ctl.scala 137:112] + node _T_26686 = add(_T_26685, _T_26675) @[exu_mul_ctl.scala 137:112] + node _T_26687 = add(_T_26686, _T_26676) @[exu_mul_ctl.scala 137:112] + node _T_26688 = eq(_T_26687, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26689 = bits(_T_26688, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26690 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_26691 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26692 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26693 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26694 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26695 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26696 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26697 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26698 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26699 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26700 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26701 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26702 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26703 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26704 = add(_T_26691, _T_26692) @[exu_mul_ctl.scala 137:112] + node _T_26705 = add(_T_26704, _T_26693) @[exu_mul_ctl.scala 137:112] + node _T_26706 = add(_T_26705, _T_26694) @[exu_mul_ctl.scala 137:112] + node _T_26707 = add(_T_26706, _T_26695) @[exu_mul_ctl.scala 137:112] + node _T_26708 = add(_T_26707, _T_26696) @[exu_mul_ctl.scala 137:112] + node _T_26709 = add(_T_26708, _T_26697) @[exu_mul_ctl.scala 137:112] + node _T_26710 = add(_T_26709, _T_26698) @[exu_mul_ctl.scala 137:112] + node _T_26711 = add(_T_26710, _T_26699) @[exu_mul_ctl.scala 137:112] + node _T_26712 = add(_T_26711, _T_26700) @[exu_mul_ctl.scala 137:112] + node _T_26713 = add(_T_26712, _T_26701) @[exu_mul_ctl.scala 137:112] + node _T_26714 = add(_T_26713, _T_26702) @[exu_mul_ctl.scala 137:112] + node _T_26715 = add(_T_26714, _T_26703) @[exu_mul_ctl.scala 137:112] + node _T_26716 = eq(_T_26715, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26717 = bits(_T_26716, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26718 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_26719 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26720 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26721 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26722 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26723 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26724 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26725 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26726 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26727 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26728 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26729 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26730 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26731 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26732 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26733 = add(_T_26719, _T_26720) @[exu_mul_ctl.scala 137:112] + node _T_26734 = add(_T_26733, _T_26721) @[exu_mul_ctl.scala 137:112] + node _T_26735 = add(_T_26734, _T_26722) @[exu_mul_ctl.scala 137:112] + node _T_26736 = add(_T_26735, _T_26723) @[exu_mul_ctl.scala 137:112] + node _T_26737 = add(_T_26736, _T_26724) @[exu_mul_ctl.scala 137:112] + node _T_26738 = add(_T_26737, _T_26725) @[exu_mul_ctl.scala 137:112] + node _T_26739 = add(_T_26738, _T_26726) @[exu_mul_ctl.scala 137:112] + node _T_26740 = add(_T_26739, _T_26727) @[exu_mul_ctl.scala 137:112] + node _T_26741 = add(_T_26740, _T_26728) @[exu_mul_ctl.scala 137:112] + node _T_26742 = add(_T_26741, _T_26729) @[exu_mul_ctl.scala 137:112] + node _T_26743 = add(_T_26742, _T_26730) @[exu_mul_ctl.scala 137:112] + node _T_26744 = add(_T_26743, _T_26731) @[exu_mul_ctl.scala 137:112] + node _T_26745 = add(_T_26744, _T_26732) @[exu_mul_ctl.scala 137:112] + node _T_26746 = eq(_T_26745, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26747 = bits(_T_26746, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26748 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_26749 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26750 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26751 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26752 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26753 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26754 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26755 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26756 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26757 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26758 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26759 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26760 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26761 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26762 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26763 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26764 = add(_T_26749, _T_26750) @[exu_mul_ctl.scala 137:112] + node _T_26765 = add(_T_26764, _T_26751) @[exu_mul_ctl.scala 137:112] + node _T_26766 = add(_T_26765, _T_26752) @[exu_mul_ctl.scala 137:112] + node _T_26767 = add(_T_26766, _T_26753) @[exu_mul_ctl.scala 137:112] + node _T_26768 = add(_T_26767, _T_26754) @[exu_mul_ctl.scala 137:112] + node _T_26769 = add(_T_26768, _T_26755) @[exu_mul_ctl.scala 137:112] + node _T_26770 = add(_T_26769, _T_26756) @[exu_mul_ctl.scala 137:112] + node _T_26771 = add(_T_26770, _T_26757) @[exu_mul_ctl.scala 137:112] + node _T_26772 = add(_T_26771, _T_26758) @[exu_mul_ctl.scala 137:112] + node _T_26773 = add(_T_26772, _T_26759) @[exu_mul_ctl.scala 137:112] + node _T_26774 = add(_T_26773, _T_26760) @[exu_mul_ctl.scala 137:112] + node _T_26775 = add(_T_26774, _T_26761) @[exu_mul_ctl.scala 137:112] + node _T_26776 = add(_T_26775, _T_26762) @[exu_mul_ctl.scala 137:112] + node _T_26777 = add(_T_26776, _T_26763) @[exu_mul_ctl.scala 137:112] + node _T_26778 = eq(_T_26777, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26779 = bits(_T_26778, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26780 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_26781 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26782 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26783 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26784 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26785 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26786 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26787 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26788 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26789 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26790 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26791 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26792 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26793 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26794 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26795 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26796 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26797 = add(_T_26781, _T_26782) @[exu_mul_ctl.scala 137:112] + node _T_26798 = add(_T_26797, _T_26783) @[exu_mul_ctl.scala 137:112] + node _T_26799 = add(_T_26798, _T_26784) @[exu_mul_ctl.scala 137:112] + node _T_26800 = add(_T_26799, _T_26785) @[exu_mul_ctl.scala 137:112] + node _T_26801 = add(_T_26800, _T_26786) @[exu_mul_ctl.scala 137:112] + node _T_26802 = add(_T_26801, _T_26787) @[exu_mul_ctl.scala 137:112] + node _T_26803 = add(_T_26802, _T_26788) @[exu_mul_ctl.scala 137:112] + node _T_26804 = add(_T_26803, _T_26789) @[exu_mul_ctl.scala 137:112] + node _T_26805 = add(_T_26804, _T_26790) @[exu_mul_ctl.scala 137:112] + node _T_26806 = add(_T_26805, _T_26791) @[exu_mul_ctl.scala 137:112] + node _T_26807 = add(_T_26806, _T_26792) @[exu_mul_ctl.scala 137:112] + node _T_26808 = add(_T_26807, _T_26793) @[exu_mul_ctl.scala 137:112] + node _T_26809 = add(_T_26808, _T_26794) @[exu_mul_ctl.scala 137:112] + node _T_26810 = add(_T_26809, _T_26795) @[exu_mul_ctl.scala 137:112] + node _T_26811 = add(_T_26810, _T_26796) @[exu_mul_ctl.scala 137:112] + node _T_26812 = eq(_T_26811, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26813 = bits(_T_26812, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26814 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_26815 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26816 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26817 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26818 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26819 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26820 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26821 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26822 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26823 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26824 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26825 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26826 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26827 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26828 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26829 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26830 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26831 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26832 = add(_T_26815, _T_26816) @[exu_mul_ctl.scala 137:112] + node _T_26833 = add(_T_26832, _T_26817) @[exu_mul_ctl.scala 137:112] + node _T_26834 = add(_T_26833, _T_26818) @[exu_mul_ctl.scala 137:112] + node _T_26835 = add(_T_26834, _T_26819) @[exu_mul_ctl.scala 137:112] + node _T_26836 = add(_T_26835, _T_26820) @[exu_mul_ctl.scala 137:112] + node _T_26837 = add(_T_26836, _T_26821) @[exu_mul_ctl.scala 137:112] + node _T_26838 = add(_T_26837, _T_26822) @[exu_mul_ctl.scala 137:112] + node _T_26839 = add(_T_26838, _T_26823) @[exu_mul_ctl.scala 137:112] + node _T_26840 = add(_T_26839, _T_26824) @[exu_mul_ctl.scala 137:112] + node _T_26841 = add(_T_26840, _T_26825) @[exu_mul_ctl.scala 137:112] + node _T_26842 = add(_T_26841, _T_26826) @[exu_mul_ctl.scala 137:112] + node _T_26843 = add(_T_26842, _T_26827) @[exu_mul_ctl.scala 137:112] + node _T_26844 = add(_T_26843, _T_26828) @[exu_mul_ctl.scala 137:112] + node _T_26845 = add(_T_26844, _T_26829) @[exu_mul_ctl.scala 137:112] + node _T_26846 = add(_T_26845, _T_26830) @[exu_mul_ctl.scala 137:112] + node _T_26847 = add(_T_26846, _T_26831) @[exu_mul_ctl.scala 137:112] + node _T_26848 = eq(_T_26847, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26849 = bits(_T_26848, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26850 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_26851 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26852 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26853 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26854 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26855 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26856 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26857 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26858 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26859 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26860 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26861 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26862 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26863 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26864 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26865 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26866 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26867 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26868 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26869 = add(_T_26851, _T_26852) @[exu_mul_ctl.scala 137:112] + node _T_26870 = add(_T_26869, _T_26853) @[exu_mul_ctl.scala 137:112] + node _T_26871 = add(_T_26870, _T_26854) @[exu_mul_ctl.scala 137:112] + node _T_26872 = add(_T_26871, _T_26855) @[exu_mul_ctl.scala 137:112] + node _T_26873 = add(_T_26872, _T_26856) @[exu_mul_ctl.scala 137:112] + node _T_26874 = add(_T_26873, _T_26857) @[exu_mul_ctl.scala 137:112] + node _T_26875 = add(_T_26874, _T_26858) @[exu_mul_ctl.scala 137:112] + node _T_26876 = add(_T_26875, _T_26859) @[exu_mul_ctl.scala 137:112] + node _T_26877 = add(_T_26876, _T_26860) @[exu_mul_ctl.scala 137:112] + node _T_26878 = add(_T_26877, _T_26861) @[exu_mul_ctl.scala 137:112] + node _T_26879 = add(_T_26878, _T_26862) @[exu_mul_ctl.scala 137:112] + node _T_26880 = add(_T_26879, _T_26863) @[exu_mul_ctl.scala 137:112] + node _T_26881 = add(_T_26880, _T_26864) @[exu_mul_ctl.scala 137:112] + node _T_26882 = add(_T_26881, _T_26865) @[exu_mul_ctl.scala 137:112] + node _T_26883 = add(_T_26882, _T_26866) @[exu_mul_ctl.scala 137:112] + node _T_26884 = add(_T_26883, _T_26867) @[exu_mul_ctl.scala 137:112] + node _T_26885 = add(_T_26884, _T_26868) @[exu_mul_ctl.scala 137:112] + node _T_26886 = eq(_T_26885, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26887 = bits(_T_26886, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26888 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_26889 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26890 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26891 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26892 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26893 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26894 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26895 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26896 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26897 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26898 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26899 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26900 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26901 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26902 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26903 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26904 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26905 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26906 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26907 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26908 = add(_T_26889, _T_26890) @[exu_mul_ctl.scala 137:112] + node _T_26909 = add(_T_26908, _T_26891) @[exu_mul_ctl.scala 137:112] + node _T_26910 = add(_T_26909, _T_26892) @[exu_mul_ctl.scala 137:112] + node _T_26911 = add(_T_26910, _T_26893) @[exu_mul_ctl.scala 137:112] + node _T_26912 = add(_T_26911, _T_26894) @[exu_mul_ctl.scala 137:112] + node _T_26913 = add(_T_26912, _T_26895) @[exu_mul_ctl.scala 137:112] + node _T_26914 = add(_T_26913, _T_26896) @[exu_mul_ctl.scala 137:112] + node _T_26915 = add(_T_26914, _T_26897) @[exu_mul_ctl.scala 137:112] + node _T_26916 = add(_T_26915, _T_26898) @[exu_mul_ctl.scala 137:112] + node _T_26917 = add(_T_26916, _T_26899) @[exu_mul_ctl.scala 137:112] + node _T_26918 = add(_T_26917, _T_26900) @[exu_mul_ctl.scala 137:112] + node _T_26919 = add(_T_26918, _T_26901) @[exu_mul_ctl.scala 137:112] + node _T_26920 = add(_T_26919, _T_26902) @[exu_mul_ctl.scala 137:112] + node _T_26921 = add(_T_26920, _T_26903) @[exu_mul_ctl.scala 137:112] + node _T_26922 = add(_T_26921, _T_26904) @[exu_mul_ctl.scala 137:112] + node _T_26923 = add(_T_26922, _T_26905) @[exu_mul_ctl.scala 137:112] + node _T_26924 = add(_T_26923, _T_26906) @[exu_mul_ctl.scala 137:112] + node _T_26925 = add(_T_26924, _T_26907) @[exu_mul_ctl.scala 137:112] + node _T_26926 = eq(_T_26925, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26927 = bits(_T_26926, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26928 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_26929 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26930 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26931 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26932 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26933 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26934 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26935 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26936 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26937 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26938 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26939 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26940 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26941 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26942 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26943 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26944 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26945 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26946 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26947 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26948 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26949 = add(_T_26929, _T_26930) @[exu_mul_ctl.scala 137:112] + node _T_26950 = add(_T_26949, _T_26931) @[exu_mul_ctl.scala 137:112] + node _T_26951 = add(_T_26950, _T_26932) @[exu_mul_ctl.scala 137:112] + node _T_26952 = add(_T_26951, _T_26933) @[exu_mul_ctl.scala 137:112] + node _T_26953 = add(_T_26952, _T_26934) @[exu_mul_ctl.scala 137:112] + node _T_26954 = add(_T_26953, _T_26935) @[exu_mul_ctl.scala 137:112] + node _T_26955 = add(_T_26954, _T_26936) @[exu_mul_ctl.scala 137:112] + node _T_26956 = add(_T_26955, _T_26937) @[exu_mul_ctl.scala 137:112] + node _T_26957 = add(_T_26956, _T_26938) @[exu_mul_ctl.scala 137:112] + node _T_26958 = add(_T_26957, _T_26939) @[exu_mul_ctl.scala 137:112] + node _T_26959 = add(_T_26958, _T_26940) @[exu_mul_ctl.scala 137:112] + node _T_26960 = add(_T_26959, _T_26941) @[exu_mul_ctl.scala 137:112] + node _T_26961 = add(_T_26960, _T_26942) @[exu_mul_ctl.scala 137:112] + node _T_26962 = add(_T_26961, _T_26943) @[exu_mul_ctl.scala 137:112] + node _T_26963 = add(_T_26962, _T_26944) @[exu_mul_ctl.scala 137:112] + node _T_26964 = add(_T_26963, _T_26945) @[exu_mul_ctl.scala 137:112] + node _T_26965 = add(_T_26964, _T_26946) @[exu_mul_ctl.scala 137:112] + node _T_26966 = add(_T_26965, _T_26947) @[exu_mul_ctl.scala 137:112] + node _T_26967 = add(_T_26966, _T_26948) @[exu_mul_ctl.scala 137:112] + node _T_26968 = eq(_T_26967, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_26969 = bits(_T_26968, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_26970 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_26971 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_26972 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_26973 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_26974 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_26975 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_26976 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_26977 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_26978 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_26979 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_26980 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_26981 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_26982 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_26983 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_26984 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_26985 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_26986 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_26987 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_26988 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_26989 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_26990 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_26991 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_26992 = add(_T_26971, _T_26972) @[exu_mul_ctl.scala 137:112] + node _T_26993 = add(_T_26992, _T_26973) @[exu_mul_ctl.scala 137:112] + node _T_26994 = add(_T_26993, _T_26974) @[exu_mul_ctl.scala 137:112] + node _T_26995 = add(_T_26994, _T_26975) @[exu_mul_ctl.scala 137:112] + node _T_26996 = add(_T_26995, _T_26976) @[exu_mul_ctl.scala 137:112] + node _T_26997 = add(_T_26996, _T_26977) @[exu_mul_ctl.scala 137:112] + node _T_26998 = add(_T_26997, _T_26978) @[exu_mul_ctl.scala 137:112] + node _T_26999 = add(_T_26998, _T_26979) @[exu_mul_ctl.scala 137:112] + node _T_27000 = add(_T_26999, _T_26980) @[exu_mul_ctl.scala 137:112] + node _T_27001 = add(_T_27000, _T_26981) @[exu_mul_ctl.scala 137:112] + node _T_27002 = add(_T_27001, _T_26982) @[exu_mul_ctl.scala 137:112] + node _T_27003 = add(_T_27002, _T_26983) @[exu_mul_ctl.scala 137:112] + node _T_27004 = add(_T_27003, _T_26984) @[exu_mul_ctl.scala 137:112] + node _T_27005 = add(_T_27004, _T_26985) @[exu_mul_ctl.scala 137:112] + node _T_27006 = add(_T_27005, _T_26986) @[exu_mul_ctl.scala 137:112] + node _T_27007 = add(_T_27006, _T_26987) @[exu_mul_ctl.scala 137:112] + node _T_27008 = add(_T_27007, _T_26988) @[exu_mul_ctl.scala 137:112] + node _T_27009 = add(_T_27008, _T_26989) @[exu_mul_ctl.scala 137:112] + node _T_27010 = add(_T_27009, _T_26990) @[exu_mul_ctl.scala 137:112] + node _T_27011 = add(_T_27010, _T_26991) @[exu_mul_ctl.scala 137:112] + node _T_27012 = eq(_T_27011, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27013 = bits(_T_27012, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27014 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_27015 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27016 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27017 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27018 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27019 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27020 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27021 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27022 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27023 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27024 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27025 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27026 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27027 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27028 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27029 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27030 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27031 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27032 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27033 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27034 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27035 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27036 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27037 = add(_T_27015, _T_27016) @[exu_mul_ctl.scala 137:112] + node _T_27038 = add(_T_27037, _T_27017) @[exu_mul_ctl.scala 137:112] + node _T_27039 = add(_T_27038, _T_27018) @[exu_mul_ctl.scala 137:112] + node _T_27040 = add(_T_27039, _T_27019) @[exu_mul_ctl.scala 137:112] + node _T_27041 = add(_T_27040, _T_27020) @[exu_mul_ctl.scala 137:112] + node _T_27042 = add(_T_27041, _T_27021) @[exu_mul_ctl.scala 137:112] + node _T_27043 = add(_T_27042, _T_27022) @[exu_mul_ctl.scala 137:112] + node _T_27044 = add(_T_27043, _T_27023) @[exu_mul_ctl.scala 137:112] + node _T_27045 = add(_T_27044, _T_27024) @[exu_mul_ctl.scala 137:112] + node _T_27046 = add(_T_27045, _T_27025) @[exu_mul_ctl.scala 137:112] + node _T_27047 = add(_T_27046, _T_27026) @[exu_mul_ctl.scala 137:112] + node _T_27048 = add(_T_27047, _T_27027) @[exu_mul_ctl.scala 137:112] + node _T_27049 = add(_T_27048, _T_27028) @[exu_mul_ctl.scala 137:112] + node _T_27050 = add(_T_27049, _T_27029) @[exu_mul_ctl.scala 137:112] + node _T_27051 = add(_T_27050, _T_27030) @[exu_mul_ctl.scala 137:112] + node _T_27052 = add(_T_27051, _T_27031) @[exu_mul_ctl.scala 137:112] + node _T_27053 = add(_T_27052, _T_27032) @[exu_mul_ctl.scala 137:112] + node _T_27054 = add(_T_27053, _T_27033) @[exu_mul_ctl.scala 137:112] + node _T_27055 = add(_T_27054, _T_27034) @[exu_mul_ctl.scala 137:112] + node _T_27056 = add(_T_27055, _T_27035) @[exu_mul_ctl.scala 137:112] + node _T_27057 = add(_T_27056, _T_27036) @[exu_mul_ctl.scala 137:112] + node _T_27058 = eq(_T_27057, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27059 = bits(_T_27058, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27060 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_27061 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27062 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27063 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27064 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27065 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27066 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27067 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27068 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27069 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27070 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27071 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27072 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27073 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27074 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27075 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27076 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27077 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27078 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27079 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27080 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27081 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27082 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27083 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27084 = add(_T_27061, _T_27062) @[exu_mul_ctl.scala 137:112] + node _T_27085 = add(_T_27084, _T_27063) @[exu_mul_ctl.scala 137:112] + node _T_27086 = add(_T_27085, _T_27064) @[exu_mul_ctl.scala 137:112] + node _T_27087 = add(_T_27086, _T_27065) @[exu_mul_ctl.scala 137:112] + node _T_27088 = add(_T_27087, _T_27066) @[exu_mul_ctl.scala 137:112] + node _T_27089 = add(_T_27088, _T_27067) @[exu_mul_ctl.scala 137:112] + node _T_27090 = add(_T_27089, _T_27068) @[exu_mul_ctl.scala 137:112] + node _T_27091 = add(_T_27090, _T_27069) @[exu_mul_ctl.scala 137:112] + node _T_27092 = add(_T_27091, _T_27070) @[exu_mul_ctl.scala 137:112] + node _T_27093 = add(_T_27092, _T_27071) @[exu_mul_ctl.scala 137:112] + node _T_27094 = add(_T_27093, _T_27072) @[exu_mul_ctl.scala 137:112] + node _T_27095 = add(_T_27094, _T_27073) @[exu_mul_ctl.scala 137:112] + node _T_27096 = add(_T_27095, _T_27074) @[exu_mul_ctl.scala 137:112] + node _T_27097 = add(_T_27096, _T_27075) @[exu_mul_ctl.scala 137:112] + node _T_27098 = add(_T_27097, _T_27076) @[exu_mul_ctl.scala 137:112] + node _T_27099 = add(_T_27098, _T_27077) @[exu_mul_ctl.scala 137:112] + node _T_27100 = add(_T_27099, _T_27078) @[exu_mul_ctl.scala 137:112] + node _T_27101 = add(_T_27100, _T_27079) @[exu_mul_ctl.scala 137:112] + node _T_27102 = add(_T_27101, _T_27080) @[exu_mul_ctl.scala 137:112] + node _T_27103 = add(_T_27102, _T_27081) @[exu_mul_ctl.scala 137:112] + node _T_27104 = add(_T_27103, _T_27082) @[exu_mul_ctl.scala 137:112] + node _T_27105 = add(_T_27104, _T_27083) @[exu_mul_ctl.scala 137:112] + node _T_27106 = eq(_T_27105, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27107 = bits(_T_27106, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27108 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_27109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27116 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27117 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27118 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27119 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27120 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27121 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27122 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27123 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27124 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27125 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27126 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27127 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27128 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27129 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27130 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27131 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27132 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27133 = add(_T_27109, _T_27110) @[exu_mul_ctl.scala 137:112] + node _T_27134 = add(_T_27133, _T_27111) @[exu_mul_ctl.scala 137:112] + node _T_27135 = add(_T_27134, _T_27112) @[exu_mul_ctl.scala 137:112] + node _T_27136 = add(_T_27135, _T_27113) @[exu_mul_ctl.scala 137:112] + node _T_27137 = add(_T_27136, _T_27114) @[exu_mul_ctl.scala 137:112] + node _T_27138 = add(_T_27137, _T_27115) @[exu_mul_ctl.scala 137:112] + node _T_27139 = add(_T_27138, _T_27116) @[exu_mul_ctl.scala 137:112] + node _T_27140 = add(_T_27139, _T_27117) @[exu_mul_ctl.scala 137:112] + node _T_27141 = add(_T_27140, _T_27118) @[exu_mul_ctl.scala 137:112] + node _T_27142 = add(_T_27141, _T_27119) @[exu_mul_ctl.scala 137:112] + node _T_27143 = add(_T_27142, _T_27120) @[exu_mul_ctl.scala 137:112] + node _T_27144 = add(_T_27143, _T_27121) @[exu_mul_ctl.scala 137:112] + node _T_27145 = add(_T_27144, _T_27122) @[exu_mul_ctl.scala 137:112] + node _T_27146 = add(_T_27145, _T_27123) @[exu_mul_ctl.scala 137:112] + node _T_27147 = add(_T_27146, _T_27124) @[exu_mul_ctl.scala 137:112] + node _T_27148 = add(_T_27147, _T_27125) @[exu_mul_ctl.scala 137:112] + node _T_27149 = add(_T_27148, _T_27126) @[exu_mul_ctl.scala 137:112] + node _T_27150 = add(_T_27149, _T_27127) @[exu_mul_ctl.scala 137:112] + node _T_27151 = add(_T_27150, _T_27128) @[exu_mul_ctl.scala 137:112] + node _T_27152 = add(_T_27151, _T_27129) @[exu_mul_ctl.scala 137:112] + node _T_27153 = add(_T_27152, _T_27130) @[exu_mul_ctl.scala 137:112] + node _T_27154 = add(_T_27153, _T_27131) @[exu_mul_ctl.scala 137:112] + node _T_27155 = add(_T_27154, _T_27132) @[exu_mul_ctl.scala 137:112] + node _T_27156 = eq(_T_27155, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27157 = bits(_T_27156, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27158 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_27159 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27160 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27161 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27162 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27163 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27164 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27165 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27166 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27167 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27168 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27169 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27170 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27171 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27172 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27173 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27174 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27175 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27176 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27177 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27178 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27179 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27180 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27181 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27182 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27183 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27184 = add(_T_27159, _T_27160) @[exu_mul_ctl.scala 137:112] + node _T_27185 = add(_T_27184, _T_27161) @[exu_mul_ctl.scala 137:112] + node _T_27186 = add(_T_27185, _T_27162) @[exu_mul_ctl.scala 137:112] + node _T_27187 = add(_T_27186, _T_27163) @[exu_mul_ctl.scala 137:112] + node _T_27188 = add(_T_27187, _T_27164) @[exu_mul_ctl.scala 137:112] + node _T_27189 = add(_T_27188, _T_27165) @[exu_mul_ctl.scala 137:112] + node _T_27190 = add(_T_27189, _T_27166) @[exu_mul_ctl.scala 137:112] + node _T_27191 = add(_T_27190, _T_27167) @[exu_mul_ctl.scala 137:112] + node _T_27192 = add(_T_27191, _T_27168) @[exu_mul_ctl.scala 137:112] + node _T_27193 = add(_T_27192, _T_27169) @[exu_mul_ctl.scala 137:112] + node _T_27194 = add(_T_27193, _T_27170) @[exu_mul_ctl.scala 137:112] + node _T_27195 = add(_T_27194, _T_27171) @[exu_mul_ctl.scala 137:112] + node _T_27196 = add(_T_27195, _T_27172) @[exu_mul_ctl.scala 137:112] + node _T_27197 = add(_T_27196, _T_27173) @[exu_mul_ctl.scala 137:112] + node _T_27198 = add(_T_27197, _T_27174) @[exu_mul_ctl.scala 137:112] + node _T_27199 = add(_T_27198, _T_27175) @[exu_mul_ctl.scala 137:112] + node _T_27200 = add(_T_27199, _T_27176) @[exu_mul_ctl.scala 137:112] + node _T_27201 = add(_T_27200, _T_27177) @[exu_mul_ctl.scala 137:112] + node _T_27202 = add(_T_27201, _T_27178) @[exu_mul_ctl.scala 137:112] + node _T_27203 = add(_T_27202, _T_27179) @[exu_mul_ctl.scala 137:112] + node _T_27204 = add(_T_27203, _T_27180) @[exu_mul_ctl.scala 137:112] + node _T_27205 = add(_T_27204, _T_27181) @[exu_mul_ctl.scala 137:112] + node _T_27206 = add(_T_27205, _T_27182) @[exu_mul_ctl.scala 137:112] + node _T_27207 = add(_T_27206, _T_27183) @[exu_mul_ctl.scala 137:112] + node _T_27208 = eq(_T_27207, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27209 = bits(_T_27208, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27210 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_27211 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27212 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27213 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27214 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27215 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27216 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27217 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27218 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27219 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27220 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27221 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27222 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27223 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27224 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27225 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27226 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27227 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27228 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27229 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27230 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27231 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27232 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27233 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27234 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27235 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27236 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27237 = add(_T_27211, _T_27212) @[exu_mul_ctl.scala 137:112] + node _T_27238 = add(_T_27237, _T_27213) @[exu_mul_ctl.scala 137:112] + node _T_27239 = add(_T_27238, _T_27214) @[exu_mul_ctl.scala 137:112] + node _T_27240 = add(_T_27239, _T_27215) @[exu_mul_ctl.scala 137:112] + node _T_27241 = add(_T_27240, _T_27216) @[exu_mul_ctl.scala 137:112] + node _T_27242 = add(_T_27241, _T_27217) @[exu_mul_ctl.scala 137:112] + node _T_27243 = add(_T_27242, _T_27218) @[exu_mul_ctl.scala 137:112] + node _T_27244 = add(_T_27243, _T_27219) @[exu_mul_ctl.scala 137:112] + node _T_27245 = add(_T_27244, _T_27220) @[exu_mul_ctl.scala 137:112] + node _T_27246 = add(_T_27245, _T_27221) @[exu_mul_ctl.scala 137:112] + node _T_27247 = add(_T_27246, _T_27222) @[exu_mul_ctl.scala 137:112] + node _T_27248 = add(_T_27247, _T_27223) @[exu_mul_ctl.scala 137:112] + node _T_27249 = add(_T_27248, _T_27224) @[exu_mul_ctl.scala 137:112] + node _T_27250 = add(_T_27249, _T_27225) @[exu_mul_ctl.scala 137:112] + node _T_27251 = add(_T_27250, _T_27226) @[exu_mul_ctl.scala 137:112] + node _T_27252 = add(_T_27251, _T_27227) @[exu_mul_ctl.scala 137:112] + node _T_27253 = add(_T_27252, _T_27228) @[exu_mul_ctl.scala 137:112] + node _T_27254 = add(_T_27253, _T_27229) @[exu_mul_ctl.scala 137:112] + node _T_27255 = add(_T_27254, _T_27230) @[exu_mul_ctl.scala 137:112] + node _T_27256 = add(_T_27255, _T_27231) @[exu_mul_ctl.scala 137:112] + node _T_27257 = add(_T_27256, _T_27232) @[exu_mul_ctl.scala 137:112] + node _T_27258 = add(_T_27257, _T_27233) @[exu_mul_ctl.scala 137:112] + node _T_27259 = add(_T_27258, _T_27234) @[exu_mul_ctl.scala 137:112] + node _T_27260 = add(_T_27259, _T_27235) @[exu_mul_ctl.scala 137:112] + node _T_27261 = add(_T_27260, _T_27236) @[exu_mul_ctl.scala 137:112] + node _T_27262 = eq(_T_27261, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27263 = bits(_T_27262, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27264 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_27265 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27266 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27267 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27268 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27269 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27270 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27271 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27272 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27273 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27274 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27275 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27276 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27277 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27278 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27279 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27280 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27281 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27282 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27283 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27284 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27285 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27286 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27287 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27288 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27289 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27290 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27291 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27292 = add(_T_27265, _T_27266) @[exu_mul_ctl.scala 137:112] + node _T_27293 = add(_T_27292, _T_27267) @[exu_mul_ctl.scala 137:112] + node _T_27294 = add(_T_27293, _T_27268) @[exu_mul_ctl.scala 137:112] + node _T_27295 = add(_T_27294, _T_27269) @[exu_mul_ctl.scala 137:112] + node _T_27296 = add(_T_27295, _T_27270) @[exu_mul_ctl.scala 137:112] + node _T_27297 = add(_T_27296, _T_27271) @[exu_mul_ctl.scala 137:112] + node _T_27298 = add(_T_27297, _T_27272) @[exu_mul_ctl.scala 137:112] + node _T_27299 = add(_T_27298, _T_27273) @[exu_mul_ctl.scala 137:112] + node _T_27300 = add(_T_27299, _T_27274) @[exu_mul_ctl.scala 137:112] + node _T_27301 = add(_T_27300, _T_27275) @[exu_mul_ctl.scala 137:112] + node _T_27302 = add(_T_27301, _T_27276) @[exu_mul_ctl.scala 137:112] + node _T_27303 = add(_T_27302, _T_27277) @[exu_mul_ctl.scala 137:112] + node _T_27304 = add(_T_27303, _T_27278) @[exu_mul_ctl.scala 137:112] + node _T_27305 = add(_T_27304, _T_27279) @[exu_mul_ctl.scala 137:112] + node _T_27306 = add(_T_27305, _T_27280) @[exu_mul_ctl.scala 137:112] + node _T_27307 = add(_T_27306, _T_27281) @[exu_mul_ctl.scala 137:112] + node _T_27308 = add(_T_27307, _T_27282) @[exu_mul_ctl.scala 137:112] + node _T_27309 = add(_T_27308, _T_27283) @[exu_mul_ctl.scala 137:112] + node _T_27310 = add(_T_27309, _T_27284) @[exu_mul_ctl.scala 137:112] + node _T_27311 = add(_T_27310, _T_27285) @[exu_mul_ctl.scala 137:112] + node _T_27312 = add(_T_27311, _T_27286) @[exu_mul_ctl.scala 137:112] + node _T_27313 = add(_T_27312, _T_27287) @[exu_mul_ctl.scala 137:112] + node _T_27314 = add(_T_27313, _T_27288) @[exu_mul_ctl.scala 137:112] + node _T_27315 = add(_T_27314, _T_27289) @[exu_mul_ctl.scala 137:112] + node _T_27316 = add(_T_27315, _T_27290) @[exu_mul_ctl.scala 137:112] + node _T_27317 = add(_T_27316, _T_27291) @[exu_mul_ctl.scala 137:112] + node _T_27318 = eq(_T_27317, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27319 = bits(_T_27318, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27320 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_27321 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27322 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27323 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27324 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27325 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27326 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27327 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27328 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27329 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27330 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27331 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27332 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27333 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27334 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27335 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27336 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27337 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27338 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27339 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27340 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27341 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27342 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27343 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27344 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27345 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27346 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27347 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27348 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_27349 = add(_T_27321, _T_27322) @[exu_mul_ctl.scala 137:112] + node _T_27350 = add(_T_27349, _T_27323) @[exu_mul_ctl.scala 137:112] + node _T_27351 = add(_T_27350, _T_27324) @[exu_mul_ctl.scala 137:112] + node _T_27352 = add(_T_27351, _T_27325) @[exu_mul_ctl.scala 137:112] + node _T_27353 = add(_T_27352, _T_27326) @[exu_mul_ctl.scala 137:112] + node _T_27354 = add(_T_27353, _T_27327) @[exu_mul_ctl.scala 137:112] + node _T_27355 = add(_T_27354, _T_27328) @[exu_mul_ctl.scala 137:112] + node _T_27356 = add(_T_27355, _T_27329) @[exu_mul_ctl.scala 137:112] + node _T_27357 = add(_T_27356, _T_27330) @[exu_mul_ctl.scala 137:112] + node _T_27358 = add(_T_27357, _T_27331) @[exu_mul_ctl.scala 137:112] + node _T_27359 = add(_T_27358, _T_27332) @[exu_mul_ctl.scala 137:112] + node _T_27360 = add(_T_27359, _T_27333) @[exu_mul_ctl.scala 137:112] + node _T_27361 = add(_T_27360, _T_27334) @[exu_mul_ctl.scala 137:112] + node _T_27362 = add(_T_27361, _T_27335) @[exu_mul_ctl.scala 137:112] + node _T_27363 = add(_T_27362, _T_27336) @[exu_mul_ctl.scala 137:112] + node _T_27364 = add(_T_27363, _T_27337) @[exu_mul_ctl.scala 137:112] + node _T_27365 = add(_T_27364, _T_27338) @[exu_mul_ctl.scala 137:112] + node _T_27366 = add(_T_27365, _T_27339) @[exu_mul_ctl.scala 137:112] + node _T_27367 = add(_T_27366, _T_27340) @[exu_mul_ctl.scala 137:112] + node _T_27368 = add(_T_27367, _T_27341) @[exu_mul_ctl.scala 137:112] + node _T_27369 = add(_T_27368, _T_27342) @[exu_mul_ctl.scala 137:112] + node _T_27370 = add(_T_27369, _T_27343) @[exu_mul_ctl.scala 137:112] + node _T_27371 = add(_T_27370, _T_27344) @[exu_mul_ctl.scala 137:112] + node _T_27372 = add(_T_27371, _T_27345) @[exu_mul_ctl.scala 137:112] + node _T_27373 = add(_T_27372, _T_27346) @[exu_mul_ctl.scala 137:112] + node _T_27374 = add(_T_27373, _T_27347) @[exu_mul_ctl.scala 137:112] + node _T_27375 = add(_T_27374, _T_27348) @[exu_mul_ctl.scala 137:112] + node _T_27376 = eq(_T_27375, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27377 = bits(_T_27376, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27378 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_27379 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27380 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27381 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27382 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27383 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27384 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27385 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27386 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27387 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27388 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27389 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27390 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27391 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27392 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27393 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27394 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27395 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27396 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27397 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27398 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27399 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27400 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27401 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27402 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27403 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27404 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27405 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27406 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_27407 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_27408 = add(_T_27379, _T_27380) @[exu_mul_ctl.scala 137:112] + node _T_27409 = add(_T_27408, _T_27381) @[exu_mul_ctl.scala 137:112] + node _T_27410 = add(_T_27409, _T_27382) @[exu_mul_ctl.scala 137:112] + node _T_27411 = add(_T_27410, _T_27383) @[exu_mul_ctl.scala 137:112] + node _T_27412 = add(_T_27411, _T_27384) @[exu_mul_ctl.scala 137:112] + node _T_27413 = add(_T_27412, _T_27385) @[exu_mul_ctl.scala 137:112] + node _T_27414 = add(_T_27413, _T_27386) @[exu_mul_ctl.scala 137:112] + node _T_27415 = add(_T_27414, _T_27387) @[exu_mul_ctl.scala 137:112] + node _T_27416 = add(_T_27415, _T_27388) @[exu_mul_ctl.scala 137:112] + node _T_27417 = add(_T_27416, _T_27389) @[exu_mul_ctl.scala 137:112] + node _T_27418 = add(_T_27417, _T_27390) @[exu_mul_ctl.scala 137:112] + node _T_27419 = add(_T_27418, _T_27391) @[exu_mul_ctl.scala 137:112] + node _T_27420 = add(_T_27419, _T_27392) @[exu_mul_ctl.scala 137:112] + node _T_27421 = add(_T_27420, _T_27393) @[exu_mul_ctl.scala 137:112] + node _T_27422 = add(_T_27421, _T_27394) @[exu_mul_ctl.scala 137:112] + node _T_27423 = add(_T_27422, _T_27395) @[exu_mul_ctl.scala 137:112] + node _T_27424 = add(_T_27423, _T_27396) @[exu_mul_ctl.scala 137:112] + node _T_27425 = add(_T_27424, _T_27397) @[exu_mul_ctl.scala 137:112] + node _T_27426 = add(_T_27425, _T_27398) @[exu_mul_ctl.scala 137:112] + node _T_27427 = add(_T_27426, _T_27399) @[exu_mul_ctl.scala 137:112] + node _T_27428 = add(_T_27427, _T_27400) @[exu_mul_ctl.scala 137:112] + node _T_27429 = add(_T_27428, _T_27401) @[exu_mul_ctl.scala 137:112] + node _T_27430 = add(_T_27429, _T_27402) @[exu_mul_ctl.scala 137:112] + node _T_27431 = add(_T_27430, _T_27403) @[exu_mul_ctl.scala 137:112] + node _T_27432 = add(_T_27431, _T_27404) @[exu_mul_ctl.scala 137:112] + node _T_27433 = add(_T_27432, _T_27405) @[exu_mul_ctl.scala 137:112] + node _T_27434 = add(_T_27433, _T_27406) @[exu_mul_ctl.scala 137:112] + node _T_27435 = add(_T_27434, _T_27407) @[exu_mul_ctl.scala 137:112] + node _T_27436 = eq(_T_27435, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27437 = bits(_T_27436, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27438 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_27439 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27440 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27441 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27442 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27443 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27444 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27445 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27446 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27447 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27448 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27449 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27450 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27451 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27452 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27453 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27454 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27455 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27456 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27457 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27458 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27459 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27460 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27461 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27462 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27463 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27464 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27465 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27466 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_27467 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_27468 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_27469 = add(_T_27439, _T_27440) @[exu_mul_ctl.scala 137:112] + node _T_27470 = add(_T_27469, _T_27441) @[exu_mul_ctl.scala 137:112] + node _T_27471 = add(_T_27470, _T_27442) @[exu_mul_ctl.scala 137:112] + node _T_27472 = add(_T_27471, _T_27443) @[exu_mul_ctl.scala 137:112] + node _T_27473 = add(_T_27472, _T_27444) @[exu_mul_ctl.scala 137:112] + node _T_27474 = add(_T_27473, _T_27445) @[exu_mul_ctl.scala 137:112] + node _T_27475 = add(_T_27474, _T_27446) @[exu_mul_ctl.scala 137:112] + node _T_27476 = add(_T_27475, _T_27447) @[exu_mul_ctl.scala 137:112] + node _T_27477 = add(_T_27476, _T_27448) @[exu_mul_ctl.scala 137:112] + node _T_27478 = add(_T_27477, _T_27449) @[exu_mul_ctl.scala 137:112] + node _T_27479 = add(_T_27478, _T_27450) @[exu_mul_ctl.scala 137:112] + node _T_27480 = add(_T_27479, _T_27451) @[exu_mul_ctl.scala 137:112] + node _T_27481 = add(_T_27480, _T_27452) @[exu_mul_ctl.scala 137:112] + node _T_27482 = add(_T_27481, _T_27453) @[exu_mul_ctl.scala 137:112] + node _T_27483 = add(_T_27482, _T_27454) @[exu_mul_ctl.scala 137:112] + node _T_27484 = add(_T_27483, _T_27455) @[exu_mul_ctl.scala 137:112] + node _T_27485 = add(_T_27484, _T_27456) @[exu_mul_ctl.scala 137:112] + node _T_27486 = add(_T_27485, _T_27457) @[exu_mul_ctl.scala 137:112] + node _T_27487 = add(_T_27486, _T_27458) @[exu_mul_ctl.scala 137:112] + node _T_27488 = add(_T_27487, _T_27459) @[exu_mul_ctl.scala 137:112] + node _T_27489 = add(_T_27488, _T_27460) @[exu_mul_ctl.scala 137:112] + node _T_27490 = add(_T_27489, _T_27461) @[exu_mul_ctl.scala 137:112] + node _T_27491 = add(_T_27490, _T_27462) @[exu_mul_ctl.scala 137:112] + node _T_27492 = add(_T_27491, _T_27463) @[exu_mul_ctl.scala 137:112] + node _T_27493 = add(_T_27492, _T_27464) @[exu_mul_ctl.scala 137:112] + node _T_27494 = add(_T_27493, _T_27465) @[exu_mul_ctl.scala 137:112] + node _T_27495 = add(_T_27494, _T_27466) @[exu_mul_ctl.scala 137:112] + node _T_27496 = add(_T_27495, _T_27467) @[exu_mul_ctl.scala 137:112] + node _T_27497 = add(_T_27496, _T_27468) @[exu_mul_ctl.scala 137:112] + node _T_27498 = eq(_T_27497, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27499 = bits(_T_27498, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27500 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_27501 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27502 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27503 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27504 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27505 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27506 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27507 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27508 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27509 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27510 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27511 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27512 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27513 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27514 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27515 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27516 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27517 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27518 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27519 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27520 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27521 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27522 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27523 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27524 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27525 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27526 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27527 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27528 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_27529 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_27530 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_27531 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_27532 = add(_T_27501, _T_27502) @[exu_mul_ctl.scala 137:112] + node _T_27533 = add(_T_27532, _T_27503) @[exu_mul_ctl.scala 137:112] + node _T_27534 = add(_T_27533, _T_27504) @[exu_mul_ctl.scala 137:112] + node _T_27535 = add(_T_27534, _T_27505) @[exu_mul_ctl.scala 137:112] + node _T_27536 = add(_T_27535, _T_27506) @[exu_mul_ctl.scala 137:112] + node _T_27537 = add(_T_27536, _T_27507) @[exu_mul_ctl.scala 137:112] + node _T_27538 = add(_T_27537, _T_27508) @[exu_mul_ctl.scala 137:112] + node _T_27539 = add(_T_27538, _T_27509) @[exu_mul_ctl.scala 137:112] + node _T_27540 = add(_T_27539, _T_27510) @[exu_mul_ctl.scala 137:112] + node _T_27541 = add(_T_27540, _T_27511) @[exu_mul_ctl.scala 137:112] + node _T_27542 = add(_T_27541, _T_27512) @[exu_mul_ctl.scala 137:112] + node _T_27543 = add(_T_27542, _T_27513) @[exu_mul_ctl.scala 137:112] + node _T_27544 = add(_T_27543, _T_27514) @[exu_mul_ctl.scala 137:112] + node _T_27545 = add(_T_27544, _T_27515) @[exu_mul_ctl.scala 137:112] + node _T_27546 = add(_T_27545, _T_27516) @[exu_mul_ctl.scala 137:112] + node _T_27547 = add(_T_27546, _T_27517) @[exu_mul_ctl.scala 137:112] + node _T_27548 = add(_T_27547, _T_27518) @[exu_mul_ctl.scala 137:112] + node _T_27549 = add(_T_27548, _T_27519) @[exu_mul_ctl.scala 137:112] + node _T_27550 = add(_T_27549, _T_27520) @[exu_mul_ctl.scala 137:112] + node _T_27551 = add(_T_27550, _T_27521) @[exu_mul_ctl.scala 137:112] + node _T_27552 = add(_T_27551, _T_27522) @[exu_mul_ctl.scala 137:112] + node _T_27553 = add(_T_27552, _T_27523) @[exu_mul_ctl.scala 137:112] + node _T_27554 = add(_T_27553, _T_27524) @[exu_mul_ctl.scala 137:112] + node _T_27555 = add(_T_27554, _T_27525) @[exu_mul_ctl.scala 137:112] + node _T_27556 = add(_T_27555, _T_27526) @[exu_mul_ctl.scala 137:112] + node _T_27557 = add(_T_27556, _T_27527) @[exu_mul_ctl.scala 137:112] + node _T_27558 = add(_T_27557, _T_27528) @[exu_mul_ctl.scala 137:112] + node _T_27559 = add(_T_27558, _T_27529) @[exu_mul_ctl.scala 137:112] + node _T_27560 = add(_T_27559, _T_27530) @[exu_mul_ctl.scala 137:112] + node _T_27561 = add(_T_27560, _T_27531) @[exu_mul_ctl.scala 137:112] + node _T_27562 = eq(_T_27561, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27563 = bits(_T_27562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27564 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_27565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27572 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27573 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27574 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27575 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27576 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27577 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27578 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27579 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27580 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27581 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27582 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_27583 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_27584 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_27585 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_27586 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_27587 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_27588 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_27589 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_27590 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_27591 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_27592 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_27593 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_27594 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_27595 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_27596 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_27597 = add(_T_27565, _T_27566) @[exu_mul_ctl.scala 137:112] + node _T_27598 = add(_T_27597, _T_27567) @[exu_mul_ctl.scala 137:112] + node _T_27599 = add(_T_27598, _T_27568) @[exu_mul_ctl.scala 137:112] + node _T_27600 = add(_T_27599, _T_27569) @[exu_mul_ctl.scala 137:112] + node _T_27601 = add(_T_27600, _T_27570) @[exu_mul_ctl.scala 137:112] + node _T_27602 = add(_T_27601, _T_27571) @[exu_mul_ctl.scala 137:112] + node _T_27603 = add(_T_27602, _T_27572) @[exu_mul_ctl.scala 137:112] + node _T_27604 = add(_T_27603, _T_27573) @[exu_mul_ctl.scala 137:112] + node _T_27605 = add(_T_27604, _T_27574) @[exu_mul_ctl.scala 137:112] + node _T_27606 = add(_T_27605, _T_27575) @[exu_mul_ctl.scala 137:112] + node _T_27607 = add(_T_27606, _T_27576) @[exu_mul_ctl.scala 137:112] + node _T_27608 = add(_T_27607, _T_27577) @[exu_mul_ctl.scala 137:112] + node _T_27609 = add(_T_27608, _T_27578) @[exu_mul_ctl.scala 137:112] + node _T_27610 = add(_T_27609, _T_27579) @[exu_mul_ctl.scala 137:112] + node _T_27611 = add(_T_27610, _T_27580) @[exu_mul_ctl.scala 137:112] + node _T_27612 = add(_T_27611, _T_27581) @[exu_mul_ctl.scala 137:112] + node _T_27613 = add(_T_27612, _T_27582) @[exu_mul_ctl.scala 137:112] + node _T_27614 = add(_T_27613, _T_27583) @[exu_mul_ctl.scala 137:112] + node _T_27615 = add(_T_27614, _T_27584) @[exu_mul_ctl.scala 137:112] + node _T_27616 = add(_T_27615, _T_27585) @[exu_mul_ctl.scala 137:112] + node _T_27617 = add(_T_27616, _T_27586) @[exu_mul_ctl.scala 137:112] + node _T_27618 = add(_T_27617, _T_27587) @[exu_mul_ctl.scala 137:112] + node _T_27619 = add(_T_27618, _T_27588) @[exu_mul_ctl.scala 137:112] + node _T_27620 = add(_T_27619, _T_27589) @[exu_mul_ctl.scala 137:112] + node _T_27621 = add(_T_27620, _T_27590) @[exu_mul_ctl.scala 137:112] + node _T_27622 = add(_T_27621, _T_27591) @[exu_mul_ctl.scala 137:112] + node _T_27623 = add(_T_27622, _T_27592) @[exu_mul_ctl.scala 137:112] + node _T_27624 = add(_T_27623, _T_27593) @[exu_mul_ctl.scala 137:112] + node _T_27625 = add(_T_27624, _T_27594) @[exu_mul_ctl.scala 137:112] + node _T_27626 = add(_T_27625, _T_27595) @[exu_mul_ctl.scala 137:112] + node _T_27627 = add(_T_27626, _T_27596) @[exu_mul_ctl.scala 137:112] + node _T_27628 = eq(_T_27627, UInt<5>("h018")) @[exu_mul_ctl.scala 138:87] + node _T_27629 = bits(_T_27628, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27630 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_27631 = mux(_T_27629, _T_27630, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_27632 = mux(_T_27563, _T_27564, _T_27631) @[Mux.scala 98:16] + node _T_27633 = mux(_T_27499, _T_27500, _T_27632) @[Mux.scala 98:16] + node _T_27634 = mux(_T_27437, _T_27438, _T_27633) @[Mux.scala 98:16] + node _T_27635 = mux(_T_27377, _T_27378, _T_27634) @[Mux.scala 98:16] + node _T_27636 = mux(_T_27319, _T_27320, _T_27635) @[Mux.scala 98:16] + node _T_27637 = mux(_T_27263, _T_27264, _T_27636) @[Mux.scala 98:16] + node _T_27638 = mux(_T_27209, _T_27210, _T_27637) @[Mux.scala 98:16] + node _T_27639 = mux(_T_27157, _T_27158, _T_27638) @[Mux.scala 98:16] + node _T_27640 = mux(_T_27107, _T_27108, _T_27639) @[Mux.scala 98:16] + node _T_27641 = mux(_T_27059, _T_27060, _T_27640) @[Mux.scala 98:16] + node _T_27642 = mux(_T_27013, _T_27014, _T_27641) @[Mux.scala 98:16] + node _T_27643 = mux(_T_26969, _T_26970, _T_27642) @[Mux.scala 98:16] + node _T_27644 = mux(_T_26927, _T_26928, _T_27643) @[Mux.scala 98:16] + node _T_27645 = mux(_T_26887, _T_26888, _T_27644) @[Mux.scala 98:16] + node _T_27646 = mux(_T_26849, _T_26850, _T_27645) @[Mux.scala 98:16] + node _T_27647 = mux(_T_26813, _T_26814, _T_27646) @[Mux.scala 98:16] + node _T_27648 = mux(_T_26779, _T_26780, _T_27647) @[Mux.scala 98:16] + node _T_27649 = mux(_T_26747, _T_26748, _T_27648) @[Mux.scala 98:16] + node _T_27650 = mux(_T_26717, _T_26718, _T_27649) @[Mux.scala 98:16] + node _T_27651 = mux(_T_26689, _T_26690, _T_27650) @[Mux.scala 98:16] + node _T_27652 = mux(_T_26663, _T_26664, _T_27651) @[Mux.scala 98:16] + node _T_27653 = mux(_T_26639, _T_26640, _T_27652) @[Mux.scala 98:16] + node _T_27654 = mux(_T_26617, _T_26618, _T_27653) @[Mux.scala 98:16] + node _T_27655 = mux(_T_26597, _T_26598, _T_27654) @[Mux.scala 98:16] + node _T_27656 = mux(_T_26579, _T_26580, _T_27655) @[Mux.scala 98:16] + node _T_27657 = mux(_T_26563, _T_26564, _T_27656) @[Mux.scala 98:16] + node _T_27658 = mux(_T_26549, _T_26550, _T_27657) @[Mux.scala 98:16] + node _T_27659 = mux(_T_26537, _T_26538, _T_27658) @[Mux.scala 98:16] + node _T_27660 = mux(_T_26527, _T_26528, _T_27659) @[Mux.scala 98:16] + node _T_27661 = mux(_T_26519, _T_26520, _T_27660) @[Mux.scala 98:16] + node _T_27662 = mux(_T_26513, _T_26514, _T_27661) @[Mux.scala 98:16] + node _T_27663 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_27664 = eq(_T_27663, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27665 = bits(_T_27664, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27666 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_27667 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27668 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27669 = add(_T_27667, _T_27668) @[exu_mul_ctl.scala 137:112] + node _T_27670 = eq(_T_27669, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27671 = bits(_T_27670, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27672 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_27673 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27674 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27675 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27676 = add(_T_27673, _T_27674) @[exu_mul_ctl.scala 137:112] + node _T_27677 = add(_T_27676, _T_27675) @[exu_mul_ctl.scala 137:112] + node _T_27678 = eq(_T_27677, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27679 = bits(_T_27678, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27680 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_27681 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27682 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27683 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27684 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27685 = add(_T_27681, _T_27682) @[exu_mul_ctl.scala 137:112] + node _T_27686 = add(_T_27685, _T_27683) @[exu_mul_ctl.scala 137:112] + node _T_27687 = add(_T_27686, _T_27684) @[exu_mul_ctl.scala 137:112] + node _T_27688 = eq(_T_27687, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27689 = bits(_T_27688, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27690 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_27691 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27692 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27693 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27694 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27695 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27696 = add(_T_27691, _T_27692) @[exu_mul_ctl.scala 137:112] + node _T_27697 = add(_T_27696, _T_27693) @[exu_mul_ctl.scala 137:112] + node _T_27698 = add(_T_27697, _T_27694) @[exu_mul_ctl.scala 137:112] + node _T_27699 = add(_T_27698, _T_27695) @[exu_mul_ctl.scala 137:112] + node _T_27700 = eq(_T_27699, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27701 = bits(_T_27700, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27702 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_27703 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27704 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27705 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27706 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27707 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27708 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27709 = add(_T_27703, _T_27704) @[exu_mul_ctl.scala 137:112] + node _T_27710 = add(_T_27709, _T_27705) @[exu_mul_ctl.scala 137:112] + node _T_27711 = add(_T_27710, _T_27706) @[exu_mul_ctl.scala 137:112] + node _T_27712 = add(_T_27711, _T_27707) @[exu_mul_ctl.scala 137:112] + node _T_27713 = add(_T_27712, _T_27708) @[exu_mul_ctl.scala 137:112] + node _T_27714 = eq(_T_27713, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27715 = bits(_T_27714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27716 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_27717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27724 = add(_T_27717, _T_27718) @[exu_mul_ctl.scala 137:112] + node _T_27725 = add(_T_27724, _T_27719) @[exu_mul_ctl.scala 137:112] + node _T_27726 = add(_T_27725, _T_27720) @[exu_mul_ctl.scala 137:112] + node _T_27727 = add(_T_27726, _T_27721) @[exu_mul_ctl.scala 137:112] + node _T_27728 = add(_T_27727, _T_27722) @[exu_mul_ctl.scala 137:112] + node _T_27729 = add(_T_27728, _T_27723) @[exu_mul_ctl.scala 137:112] + node _T_27730 = eq(_T_27729, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27731 = bits(_T_27730, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27732 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_27733 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27734 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27735 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27736 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27737 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27738 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27739 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27740 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27741 = add(_T_27733, _T_27734) @[exu_mul_ctl.scala 137:112] + node _T_27742 = add(_T_27741, _T_27735) @[exu_mul_ctl.scala 137:112] + node _T_27743 = add(_T_27742, _T_27736) @[exu_mul_ctl.scala 137:112] + node _T_27744 = add(_T_27743, _T_27737) @[exu_mul_ctl.scala 137:112] + node _T_27745 = add(_T_27744, _T_27738) @[exu_mul_ctl.scala 137:112] + node _T_27746 = add(_T_27745, _T_27739) @[exu_mul_ctl.scala 137:112] + node _T_27747 = add(_T_27746, _T_27740) @[exu_mul_ctl.scala 137:112] + node _T_27748 = eq(_T_27747, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27749 = bits(_T_27748, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27750 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_27751 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27752 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27753 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27754 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27755 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27756 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27757 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27758 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27759 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27760 = add(_T_27751, _T_27752) @[exu_mul_ctl.scala 137:112] + node _T_27761 = add(_T_27760, _T_27753) @[exu_mul_ctl.scala 137:112] + node _T_27762 = add(_T_27761, _T_27754) @[exu_mul_ctl.scala 137:112] + node _T_27763 = add(_T_27762, _T_27755) @[exu_mul_ctl.scala 137:112] + node _T_27764 = add(_T_27763, _T_27756) @[exu_mul_ctl.scala 137:112] + node _T_27765 = add(_T_27764, _T_27757) @[exu_mul_ctl.scala 137:112] + node _T_27766 = add(_T_27765, _T_27758) @[exu_mul_ctl.scala 137:112] + node _T_27767 = add(_T_27766, _T_27759) @[exu_mul_ctl.scala 137:112] + node _T_27768 = eq(_T_27767, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27769 = bits(_T_27768, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27770 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_27771 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27772 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27773 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27774 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27775 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27776 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27777 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27778 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27779 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27780 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27781 = add(_T_27771, _T_27772) @[exu_mul_ctl.scala 137:112] + node _T_27782 = add(_T_27781, _T_27773) @[exu_mul_ctl.scala 137:112] + node _T_27783 = add(_T_27782, _T_27774) @[exu_mul_ctl.scala 137:112] + node _T_27784 = add(_T_27783, _T_27775) @[exu_mul_ctl.scala 137:112] + node _T_27785 = add(_T_27784, _T_27776) @[exu_mul_ctl.scala 137:112] + node _T_27786 = add(_T_27785, _T_27777) @[exu_mul_ctl.scala 137:112] + node _T_27787 = add(_T_27786, _T_27778) @[exu_mul_ctl.scala 137:112] + node _T_27788 = add(_T_27787, _T_27779) @[exu_mul_ctl.scala 137:112] + node _T_27789 = add(_T_27788, _T_27780) @[exu_mul_ctl.scala 137:112] + node _T_27790 = eq(_T_27789, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27791 = bits(_T_27790, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27792 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_27793 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27794 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27795 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27796 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27797 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27798 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27799 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27800 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27801 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27802 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27803 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27804 = add(_T_27793, _T_27794) @[exu_mul_ctl.scala 137:112] + node _T_27805 = add(_T_27804, _T_27795) @[exu_mul_ctl.scala 137:112] + node _T_27806 = add(_T_27805, _T_27796) @[exu_mul_ctl.scala 137:112] + node _T_27807 = add(_T_27806, _T_27797) @[exu_mul_ctl.scala 137:112] + node _T_27808 = add(_T_27807, _T_27798) @[exu_mul_ctl.scala 137:112] + node _T_27809 = add(_T_27808, _T_27799) @[exu_mul_ctl.scala 137:112] + node _T_27810 = add(_T_27809, _T_27800) @[exu_mul_ctl.scala 137:112] + node _T_27811 = add(_T_27810, _T_27801) @[exu_mul_ctl.scala 137:112] + node _T_27812 = add(_T_27811, _T_27802) @[exu_mul_ctl.scala 137:112] + node _T_27813 = add(_T_27812, _T_27803) @[exu_mul_ctl.scala 137:112] + node _T_27814 = eq(_T_27813, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27815 = bits(_T_27814, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27816 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_27817 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27818 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27819 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27820 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27821 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27822 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27823 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27824 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27825 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27826 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27827 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27828 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27829 = add(_T_27817, _T_27818) @[exu_mul_ctl.scala 137:112] + node _T_27830 = add(_T_27829, _T_27819) @[exu_mul_ctl.scala 137:112] + node _T_27831 = add(_T_27830, _T_27820) @[exu_mul_ctl.scala 137:112] + node _T_27832 = add(_T_27831, _T_27821) @[exu_mul_ctl.scala 137:112] + node _T_27833 = add(_T_27832, _T_27822) @[exu_mul_ctl.scala 137:112] + node _T_27834 = add(_T_27833, _T_27823) @[exu_mul_ctl.scala 137:112] + node _T_27835 = add(_T_27834, _T_27824) @[exu_mul_ctl.scala 137:112] + node _T_27836 = add(_T_27835, _T_27825) @[exu_mul_ctl.scala 137:112] + node _T_27837 = add(_T_27836, _T_27826) @[exu_mul_ctl.scala 137:112] + node _T_27838 = add(_T_27837, _T_27827) @[exu_mul_ctl.scala 137:112] + node _T_27839 = add(_T_27838, _T_27828) @[exu_mul_ctl.scala 137:112] + node _T_27840 = eq(_T_27839, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27841 = bits(_T_27840, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27842 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_27843 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27844 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27845 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27846 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27847 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27848 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27849 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27850 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27851 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27852 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27853 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27854 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27855 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27856 = add(_T_27843, _T_27844) @[exu_mul_ctl.scala 137:112] + node _T_27857 = add(_T_27856, _T_27845) @[exu_mul_ctl.scala 137:112] + node _T_27858 = add(_T_27857, _T_27846) @[exu_mul_ctl.scala 137:112] + node _T_27859 = add(_T_27858, _T_27847) @[exu_mul_ctl.scala 137:112] + node _T_27860 = add(_T_27859, _T_27848) @[exu_mul_ctl.scala 137:112] + node _T_27861 = add(_T_27860, _T_27849) @[exu_mul_ctl.scala 137:112] + node _T_27862 = add(_T_27861, _T_27850) @[exu_mul_ctl.scala 137:112] + node _T_27863 = add(_T_27862, _T_27851) @[exu_mul_ctl.scala 137:112] + node _T_27864 = add(_T_27863, _T_27852) @[exu_mul_ctl.scala 137:112] + node _T_27865 = add(_T_27864, _T_27853) @[exu_mul_ctl.scala 137:112] + node _T_27866 = add(_T_27865, _T_27854) @[exu_mul_ctl.scala 137:112] + node _T_27867 = add(_T_27866, _T_27855) @[exu_mul_ctl.scala 137:112] + node _T_27868 = eq(_T_27867, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27869 = bits(_T_27868, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27870 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_27871 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27872 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27873 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27874 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27875 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27876 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27877 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27878 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27879 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27880 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27881 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27882 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27883 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27884 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27885 = add(_T_27871, _T_27872) @[exu_mul_ctl.scala 137:112] + node _T_27886 = add(_T_27885, _T_27873) @[exu_mul_ctl.scala 137:112] + node _T_27887 = add(_T_27886, _T_27874) @[exu_mul_ctl.scala 137:112] + node _T_27888 = add(_T_27887, _T_27875) @[exu_mul_ctl.scala 137:112] + node _T_27889 = add(_T_27888, _T_27876) @[exu_mul_ctl.scala 137:112] + node _T_27890 = add(_T_27889, _T_27877) @[exu_mul_ctl.scala 137:112] + node _T_27891 = add(_T_27890, _T_27878) @[exu_mul_ctl.scala 137:112] + node _T_27892 = add(_T_27891, _T_27879) @[exu_mul_ctl.scala 137:112] + node _T_27893 = add(_T_27892, _T_27880) @[exu_mul_ctl.scala 137:112] + node _T_27894 = add(_T_27893, _T_27881) @[exu_mul_ctl.scala 137:112] + node _T_27895 = add(_T_27894, _T_27882) @[exu_mul_ctl.scala 137:112] + node _T_27896 = add(_T_27895, _T_27883) @[exu_mul_ctl.scala 137:112] + node _T_27897 = add(_T_27896, _T_27884) @[exu_mul_ctl.scala 137:112] + node _T_27898 = eq(_T_27897, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27899 = bits(_T_27898, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27900 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_27901 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27902 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27903 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27904 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27905 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27906 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27907 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27908 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27909 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27910 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27911 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27912 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27913 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27914 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27915 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27916 = add(_T_27901, _T_27902) @[exu_mul_ctl.scala 137:112] + node _T_27917 = add(_T_27916, _T_27903) @[exu_mul_ctl.scala 137:112] + node _T_27918 = add(_T_27917, _T_27904) @[exu_mul_ctl.scala 137:112] + node _T_27919 = add(_T_27918, _T_27905) @[exu_mul_ctl.scala 137:112] + node _T_27920 = add(_T_27919, _T_27906) @[exu_mul_ctl.scala 137:112] + node _T_27921 = add(_T_27920, _T_27907) @[exu_mul_ctl.scala 137:112] + node _T_27922 = add(_T_27921, _T_27908) @[exu_mul_ctl.scala 137:112] + node _T_27923 = add(_T_27922, _T_27909) @[exu_mul_ctl.scala 137:112] + node _T_27924 = add(_T_27923, _T_27910) @[exu_mul_ctl.scala 137:112] + node _T_27925 = add(_T_27924, _T_27911) @[exu_mul_ctl.scala 137:112] + node _T_27926 = add(_T_27925, _T_27912) @[exu_mul_ctl.scala 137:112] + node _T_27927 = add(_T_27926, _T_27913) @[exu_mul_ctl.scala 137:112] + node _T_27928 = add(_T_27927, _T_27914) @[exu_mul_ctl.scala 137:112] + node _T_27929 = add(_T_27928, _T_27915) @[exu_mul_ctl.scala 137:112] + node _T_27930 = eq(_T_27929, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27931 = bits(_T_27930, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27932 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_27933 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27934 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27935 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27936 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27937 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27938 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27939 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27940 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27941 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27942 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27943 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27944 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27945 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27946 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27947 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27948 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27949 = add(_T_27933, _T_27934) @[exu_mul_ctl.scala 137:112] + node _T_27950 = add(_T_27949, _T_27935) @[exu_mul_ctl.scala 137:112] + node _T_27951 = add(_T_27950, _T_27936) @[exu_mul_ctl.scala 137:112] + node _T_27952 = add(_T_27951, _T_27937) @[exu_mul_ctl.scala 137:112] + node _T_27953 = add(_T_27952, _T_27938) @[exu_mul_ctl.scala 137:112] + node _T_27954 = add(_T_27953, _T_27939) @[exu_mul_ctl.scala 137:112] + node _T_27955 = add(_T_27954, _T_27940) @[exu_mul_ctl.scala 137:112] + node _T_27956 = add(_T_27955, _T_27941) @[exu_mul_ctl.scala 137:112] + node _T_27957 = add(_T_27956, _T_27942) @[exu_mul_ctl.scala 137:112] + node _T_27958 = add(_T_27957, _T_27943) @[exu_mul_ctl.scala 137:112] + node _T_27959 = add(_T_27958, _T_27944) @[exu_mul_ctl.scala 137:112] + node _T_27960 = add(_T_27959, _T_27945) @[exu_mul_ctl.scala 137:112] + node _T_27961 = add(_T_27960, _T_27946) @[exu_mul_ctl.scala 137:112] + node _T_27962 = add(_T_27961, _T_27947) @[exu_mul_ctl.scala 137:112] + node _T_27963 = add(_T_27962, _T_27948) @[exu_mul_ctl.scala 137:112] + node _T_27964 = eq(_T_27963, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_27965 = bits(_T_27964, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_27966 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_27967 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_27968 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_27969 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_27970 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_27971 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_27972 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_27973 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_27974 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_27975 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_27976 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_27977 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_27978 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_27979 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_27980 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_27981 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_27982 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_27983 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_27984 = add(_T_27967, _T_27968) @[exu_mul_ctl.scala 137:112] + node _T_27985 = add(_T_27984, _T_27969) @[exu_mul_ctl.scala 137:112] + node _T_27986 = add(_T_27985, _T_27970) @[exu_mul_ctl.scala 137:112] + node _T_27987 = add(_T_27986, _T_27971) @[exu_mul_ctl.scala 137:112] + node _T_27988 = add(_T_27987, _T_27972) @[exu_mul_ctl.scala 137:112] + node _T_27989 = add(_T_27988, _T_27973) @[exu_mul_ctl.scala 137:112] + node _T_27990 = add(_T_27989, _T_27974) @[exu_mul_ctl.scala 137:112] + node _T_27991 = add(_T_27990, _T_27975) @[exu_mul_ctl.scala 137:112] + node _T_27992 = add(_T_27991, _T_27976) @[exu_mul_ctl.scala 137:112] + node _T_27993 = add(_T_27992, _T_27977) @[exu_mul_ctl.scala 137:112] + node _T_27994 = add(_T_27993, _T_27978) @[exu_mul_ctl.scala 137:112] + node _T_27995 = add(_T_27994, _T_27979) @[exu_mul_ctl.scala 137:112] + node _T_27996 = add(_T_27995, _T_27980) @[exu_mul_ctl.scala 137:112] + node _T_27997 = add(_T_27996, _T_27981) @[exu_mul_ctl.scala 137:112] + node _T_27998 = add(_T_27997, _T_27982) @[exu_mul_ctl.scala 137:112] + node _T_27999 = add(_T_27998, _T_27983) @[exu_mul_ctl.scala 137:112] + node _T_28000 = eq(_T_27999, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28001 = bits(_T_28000, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28002 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_28003 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28004 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28005 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28006 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28007 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28008 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28009 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28010 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28011 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28012 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28013 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28014 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28015 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28016 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28017 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28018 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28019 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28020 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28021 = add(_T_28003, _T_28004) @[exu_mul_ctl.scala 137:112] + node _T_28022 = add(_T_28021, _T_28005) @[exu_mul_ctl.scala 137:112] + node _T_28023 = add(_T_28022, _T_28006) @[exu_mul_ctl.scala 137:112] + node _T_28024 = add(_T_28023, _T_28007) @[exu_mul_ctl.scala 137:112] + node _T_28025 = add(_T_28024, _T_28008) @[exu_mul_ctl.scala 137:112] + node _T_28026 = add(_T_28025, _T_28009) @[exu_mul_ctl.scala 137:112] + node _T_28027 = add(_T_28026, _T_28010) @[exu_mul_ctl.scala 137:112] + node _T_28028 = add(_T_28027, _T_28011) @[exu_mul_ctl.scala 137:112] + node _T_28029 = add(_T_28028, _T_28012) @[exu_mul_ctl.scala 137:112] + node _T_28030 = add(_T_28029, _T_28013) @[exu_mul_ctl.scala 137:112] + node _T_28031 = add(_T_28030, _T_28014) @[exu_mul_ctl.scala 137:112] + node _T_28032 = add(_T_28031, _T_28015) @[exu_mul_ctl.scala 137:112] + node _T_28033 = add(_T_28032, _T_28016) @[exu_mul_ctl.scala 137:112] + node _T_28034 = add(_T_28033, _T_28017) @[exu_mul_ctl.scala 137:112] + node _T_28035 = add(_T_28034, _T_28018) @[exu_mul_ctl.scala 137:112] + node _T_28036 = add(_T_28035, _T_28019) @[exu_mul_ctl.scala 137:112] + node _T_28037 = add(_T_28036, _T_28020) @[exu_mul_ctl.scala 137:112] + node _T_28038 = eq(_T_28037, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28039 = bits(_T_28038, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28040 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_28041 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28042 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28043 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28044 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28045 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28046 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28047 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28048 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28049 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28050 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28051 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28052 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28053 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28054 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28055 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28056 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28057 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28058 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28059 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28060 = add(_T_28041, _T_28042) @[exu_mul_ctl.scala 137:112] + node _T_28061 = add(_T_28060, _T_28043) @[exu_mul_ctl.scala 137:112] + node _T_28062 = add(_T_28061, _T_28044) @[exu_mul_ctl.scala 137:112] + node _T_28063 = add(_T_28062, _T_28045) @[exu_mul_ctl.scala 137:112] + node _T_28064 = add(_T_28063, _T_28046) @[exu_mul_ctl.scala 137:112] + node _T_28065 = add(_T_28064, _T_28047) @[exu_mul_ctl.scala 137:112] + node _T_28066 = add(_T_28065, _T_28048) @[exu_mul_ctl.scala 137:112] + node _T_28067 = add(_T_28066, _T_28049) @[exu_mul_ctl.scala 137:112] + node _T_28068 = add(_T_28067, _T_28050) @[exu_mul_ctl.scala 137:112] + node _T_28069 = add(_T_28068, _T_28051) @[exu_mul_ctl.scala 137:112] + node _T_28070 = add(_T_28069, _T_28052) @[exu_mul_ctl.scala 137:112] + node _T_28071 = add(_T_28070, _T_28053) @[exu_mul_ctl.scala 137:112] + node _T_28072 = add(_T_28071, _T_28054) @[exu_mul_ctl.scala 137:112] + node _T_28073 = add(_T_28072, _T_28055) @[exu_mul_ctl.scala 137:112] + node _T_28074 = add(_T_28073, _T_28056) @[exu_mul_ctl.scala 137:112] + node _T_28075 = add(_T_28074, _T_28057) @[exu_mul_ctl.scala 137:112] + node _T_28076 = add(_T_28075, _T_28058) @[exu_mul_ctl.scala 137:112] + node _T_28077 = add(_T_28076, _T_28059) @[exu_mul_ctl.scala 137:112] + node _T_28078 = eq(_T_28077, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28079 = bits(_T_28078, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28080 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_28081 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28082 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28083 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28084 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28085 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28086 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28087 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28088 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28089 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28090 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28091 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28092 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28093 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28094 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28095 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28096 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28097 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28098 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28099 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28100 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28101 = add(_T_28081, _T_28082) @[exu_mul_ctl.scala 137:112] + node _T_28102 = add(_T_28101, _T_28083) @[exu_mul_ctl.scala 137:112] + node _T_28103 = add(_T_28102, _T_28084) @[exu_mul_ctl.scala 137:112] + node _T_28104 = add(_T_28103, _T_28085) @[exu_mul_ctl.scala 137:112] + node _T_28105 = add(_T_28104, _T_28086) @[exu_mul_ctl.scala 137:112] + node _T_28106 = add(_T_28105, _T_28087) @[exu_mul_ctl.scala 137:112] + node _T_28107 = add(_T_28106, _T_28088) @[exu_mul_ctl.scala 137:112] + node _T_28108 = add(_T_28107, _T_28089) @[exu_mul_ctl.scala 137:112] + node _T_28109 = add(_T_28108, _T_28090) @[exu_mul_ctl.scala 137:112] + node _T_28110 = add(_T_28109, _T_28091) @[exu_mul_ctl.scala 137:112] + node _T_28111 = add(_T_28110, _T_28092) @[exu_mul_ctl.scala 137:112] + node _T_28112 = add(_T_28111, _T_28093) @[exu_mul_ctl.scala 137:112] + node _T_28113 = add(_T_28112, _T_28094) @[exu_mul_ctl.scala 137:112] + node _T_28114 = add(_T_28113, _T_28095) @[exu_mul_ctl.scala 137:112] + node _T_28115 = add(_T_28114, _T_28096) @[exu_mul_ctl.scala 137:112] + node _T_28116 = add(_T_28115, _T_28097) @[exu_mul_ctl.scala 137:112] + node _T_28117 = add(_T_28116, _T_28098) @[exu_mul_ctl.scala 137:112] + node _T_28118 = add(_T_28117, _T_28099) @[exu_mul_ctl.scala 137:112] + node _T_28119 = add(_T_28118, _T_28100) @[exu_mul_ctl.scala 137:112] + node _T_28120 = eq(_T_28119, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28121 = bits(_T_28120, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28122 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_28123 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28124 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28125 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28126 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28127 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28128 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28129 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28130 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28131 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28132 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28133 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28134 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28135 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28136 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28137 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28138 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28139 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28140 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28141 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28142 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28143 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28144 = add(_T_28123, _T_28124) @[exu_mul_ctl.scala 137:112] + node _T_28145 = add(_T_28144, _T_28125) @[exu_mul_ctl.scala 137:112] + node _T_28146 = add(_T_28145, _T_28126) @[exu_mul_ctl.scala 137:112] + node _T_28147 = add(_T_28146, _T_28127) @[exu_mul_ctl.scala 137:112] + node _T_28148 = add(_T_28147, _T_28128) @[exu_mul_ctl.scala 137:112] + node _T_28149 = add(_T_28148, _T_28129) @[exu_mul_ctl.scala 137:112] + node _T_28150 = add(_T_28149, _T_28130) @[exu_mul_ctl.scala 137:112] + node _T_28151 = add(_T_28150, _T_28131) @[exu_mul_ctl.scala 137:112] + node _T_28152 = add(_T_28151, _T_28132) @[exu_mul_ctl.scala 137:112] + node _T_28153 = add(_T_28152, _T_28133) @[exu_mul_ctl.scala 137:112] + node _T_28154 = add(_T_28153, _T_28134) @[exu_mul_ctl.scala 137:112] + node _T_28155 = add(_T_28154, _T_28135) @[exu_mul_ctl.scala 137:112] + node _T_28156 = add(_T_28155, _T_28136) @[exu_mul_ctl.scala 137:112] + node _T_28157 = add(_T_28156, _T_28137) @[exu_mul_ctl.scala 137:112] + node _T_28158 = add(_T_28157, _T_28138) @[exu_mul_ctl.scala 137:112] + node _T_28159 = add(_T_28158, _T_28139) @[exu_mul_ctl.scala 137:112] + node _T_28160 = add(_T_28159, _T_28140) @[exu_mul_ctl.scala 137:112] + node _T_28161 = add(_T_28160, _T_28141) @[exu_mul_ctl.scala 137:112] + node _T_28162 = add(_T_28161, _T_28142) @[exu_mul_ctl.scala 137:112] + node _T_28163 = add(_T_28162, _T_28143) @[exu_mul_ctl.scala 137:112] + node _T_28164 = eq(_T_28163, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28165 = bits(_T_28164, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28166 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_28167 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28168 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28169 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28170 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28171 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28172 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28173 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28174 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28175 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28176 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28177 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28178 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28179 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28180 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28181 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28182 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28183 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28184 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28185 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28186 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28187 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28188 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28189 = add(_T_28167, _T_28168) @[exu_mul_ctl.scala 137:112] + node _T_28190 = add(_T_28189, _T_28169) @[exu_mul_ctl.scala 137:112] + node _T_28191 = add(_T_28190, _T_28170) @[exu_mul_ctl.scala 137:112] + node _T_28192 = add(_T_28191, _T_28171) @[exu_mul_ctl.scala 137:112] + node _T_28193 = add(_T_28192, _T_28172) @[exu_mul_ctl.scala 137:112] + node _T_28194 = add(_T_28193, _T_28173) @[exu_mul_ctl.scala 137:112] + node _T_28195 = add(_T_28194, _T_28174) @[exu_mul_ctl.scala 137:112] + node _T_28196 = add(_T_28195, _T_28175) @[exu_mul_ctl.scala 137:112] + node _T_28197 = add(_T_28196, _T_28176) @[exu_mul_ctl.scala 137:112] + node _T_28198 = add(_T_28197, _T_28177) @[exu_mul_ctl.scala 137:112] + node _T_28199 = add(_T_28198, _T_28178) @[exu_mul_ctl.scala 137:112] + node _T_28200 = add(_T_28199, _T_28179) @[exu_mul_ctl.scala 137:112] + node _T_28201 = add(_T_28200, _T_28180) @[exu_mul_ctl.scala 137:112] + node _T_28202 = add(_T_28201, _T_28181) @[exu_mul_ctl.scala 137:112] + node _T_28203 = add(_T_28202, _T_28182) @[exu_mul_ctl.scala 137:112] + node _T_28204 = add(_T_28203, _T_28183) @[exu_mul_ctl.scala 137:112] + node _T_28205 = add(_T_28204, _T_28184) @[exu_mul_ctl.scala 137:112] + node _T_28206 = add(_T_28205, _T_28185) @[exu_mul_ctl.scala 137:112] + node _T_28207 = add(_T_28206, _T_28186) @[exu_mul_ctl.scala 137:112] + node _T_28208 = add(_T_28207, _T_28187) @[exu_mul_ctl.scala 137:112] + node _T_28209 = add(_T_28208, _T_28188) @[exu_mul_ctl.scala 137:112] + node _T_28210 = eq(_T_28209, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28211 = bits(_T_28210, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28212 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_28213 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28214 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28215 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28216 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28217 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28218 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28219 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28220 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28221 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28222 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28223 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28224 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28225 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28226 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28227 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28228 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28229 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28230 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28231 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28232 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28233 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28234 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28235 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28236 = add(_T_28213, _T_28214) @[exu_mul_ctl.scala 137:112] + node _T_28237 = add(_T_28236, _T_28215) @[exu_mul_ctl.scala 137:112] + node _T_28238 = add(_T_28237, _T_28216) @[exu_mul_ctl.scala 137:112] + node _T_28239 = add(_T_28238, _T_28217) @[exu_mul_ctl.scala 137:112] + node _T_28240 = add(_T_28239, _T_28218) @[exu_mul_ctl.scala 137:112] + node _T_28241 = add(_T_28240, _T_28219) @[exu_mul_ctl.scala 137:112] + node _T_28242 = add(_T_28241, _T_28220) @[exu_mul_ctl.scala 137:112] + node _T_28243 = add(_T_28242, _T_28221) @[exu_mul_ctl.scala 137:112] + node _T_28244 = add(_T_28243, _T_28222) @[exu_mul_ctl.scala 137:112] + node _T_28245 = add(_T_28244, _T_28223) @[exu_mul_ctl.scala 137:112] + node _T_28246 = add(_T_28245, _T_28224) @[exu_mul_ctl.scala 137:112] + node _T_28247 = add(_T_28246, _T_28225) @[exu_mul_ctl.scala 137:112] + node _T_28248 = add(_T_28247, _T_28226) @[exu_mul_ctl.scala 137:112] + node _T_28249 = add(_T_28248, _T_28227) @[exu_mul_ctl.scala 137:112] + node _T_28250 = add(_T_28249, _T_28228) @[exu_mul_ctl.scala 137:112] + node _T_28251 = add(_T_28250, _T_28229) @[exu_mul_ctl.scala 137:112] + node _T_28252 = add(_T_28251, _T_28230) @[exu_mul_ctl.scala 137:112] + node _T_28253 = add(_T_28252, _T_28231) @[exu_mul_ctl.scala 137:112] + node _T_28254 = add(_T_28253, _T_28232) @[exu_mul_ctl.scala 137:112] + node _T_28255 = add(_T_28254, _T_28233) @[exu_mul_ctl.scala 137:112] + node _T_28256 = add(_T_28255, _T_28234) @[exu_mul_ctl.scala 137:112] + node _T_28257 = add(_T_28256, _T_28235) @[exu_mul_ctl.scala 137:112] + node _T_28258 = eq(_T_28257, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28259 = bits(_T_28258, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28260 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_28261 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28262 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28263 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28264 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28265 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28266 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28267 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28268 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28269 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28270 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28271 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28272 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28273 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28274 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28275 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28276 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28277 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28278 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28279 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28280 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28281 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28282 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28283 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28284 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28285 = add(_T_28261, _T_28262) @[exu_mul_ctl.scala 137:112] + node _T_28286 = add(_T_28285, _T_28263) @[exu_mul_ctl.scala 137:112] + node _T_28287 = add(_T_28286, _T_28264) @[exu_mul_ctl.scala 137:112] + node _T_28288 = add(_T_28287, _T_28265) @[exu_mul_ctl.scala 137:112] + node _T_28289 = add(_T_28288, _T_28266) @[exu_mul_ctl.scala 137:112] + node _T_28290 = add(_T_28289, _T_28267) @[exu_mul_ctl.scala 137:112] + node _T_28291 = add(_T_28290, _T_28268) @[exu_mul_ctl.scala 137:112] + node _T_28292 = add(_T_28291, _T_28269) @[exu_mul_ctl.scala 137:112] + node _T_28293 = add(_T_28292, _T_28270) @[exu_mul_ctl.scala 137:112] + node _T_28294 = add(_T_28293, _T_28271) @[exu_mul_ctl.scala 137:112] + node _T_28295 = add(_T_28294, _T_28272) @[exu_mul_ctl.scala 137:112] + node _T_28296 = add(_T_28295, _T_28273) @[exu_mul_ctl.scala 137:112] + node _T_28297 = add(_T_28296, _T_28274) @[exu_mul_ctl.scala 137:112] + node _T_28298 = add(_T_28297, _T_28275) @[exu_mul_ctl.scala 137:112] + node _T_28299 = add(_T_28298, _T_28276) @[exu_mul_ctl.scala 137:112] + node _T_28300 = add(_T_28299, _T_28277) @[exu_mul_ctl.scala 137:112] + node _T_28301 = add(_T_28300, _T_28278) @[exu_mul_ctl.scala 137:112] + node _T_28302 = add(_T_28301, _T_28279) @[exu_mul_ctl.scala 137:112] + node _T_28303 = add(_T_28302, _T_28280) @[exu_mul_ctl.scala 137:112] + node _T_28304 = add(_T_28303, _T_28281) @[exu_mul_ctl.scala 137:112] + node _T_28305 = add(_T_28304, _T_28282) @[exu_mul_ctl.scala 137:112] + node _T_28306 = add(_T_28305, _T_28283) @[exu_mul_ctl.scala 137:112] + node _T_28307 = add(_T_28306, _T_28284) @[exu_mul_ctl.scala 137:112] + node _T_28308 = eq(_T_28307, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28309 = bits(_T_28308, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28310 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_28311 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28312 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28313 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28314 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28315 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28316 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28317 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28318 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28319 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28320 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28321 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28322 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28323 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28324 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28325 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28326 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28327 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28328 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28329 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28330 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28331 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28332 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28333 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28334 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28335 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28336 = add(_T_28311, _T_28312) @[exu_mul_ctl.scala 137:112] + node _T_28337 = add(_T_28336, _T_28313) @[exu_mul_ctl.scala 137:112] + node _T_28338 = add(_T_28337, _T_28314) @[exu_mul_ctl.scala 137:112] + node _T_28339 = add(_T_28338, _T_28315) @[exu_mul_ctl.scala 137:112] + node _T_28340 = add(_T_28339, _T_28316) @[exu_mul_ctl.scala 137:112] + node _T_28341 = add(_T_28340, _T_28317) @[exu_mul_ctl.scala 137:112] + node _T_28342 = add(_T_28341, _T_28318) @[exu_mul_ctl.scala 137:112] + node _T_28343 = add(_T_28342, _T_28319) @[exu_mul_ctl.scala 137:112] + node _T_28344 = add(_T_28343, _T_28320) @[exu_mul_ctl.scala 137:112] + node _T_28345 = add(_T_28344, _T_28321) @[exu_mul_ctl.scala 137:112] + node _T_28346 = add(_T_28345, _T_28322) @[exu_mul_ctl.scala 137:112] + node _T_28347 = add(_T_28346, _T_28323) @[exu_mul_ctl.scala 137:112] + node _T_28348 = add(_T_28347, _T_28324) @[exu_mul_ctl.scala 137:112] + node _T_28349 = add(_T_28348, _T_28325) @[exu_mul_ctl.scala 137:112] + node _T_28350 = add(_T_28349, _T_28326) @[exu_mul_ctl.scala 137:112] + node _T_28351 = add(_T_28350, _T_28327) @[exu_mul_ctl.scala 137:112] + node _T_28352 = add(_T_28351, _T_28328) @[exu_mul_ctl.scala 137:112] + node _T_28353 = add(_T_28352, _T_28329) @[exu_mul_ctl.scala 137:112] + node _T_28354 = add(_T_28353, _T_28330) @[exu_mul_ctl.scala 137:112] + node _T_28355 = add(_T_28354, _T_28331) @[exu_mul_ctl.scala 137:112] + node _T_28356 = add(_T_28355, _T_28332) @[exu_mul_ctl.scala 137:112] + node _T_28357 = add(_T_28356, _T_28333) @[exu_mul_ctl.scala 137:112] + node _T_28358 = add(_T_28357, _T_28334) @[exu_mul_ctl.scala 137:112] + node _T_28359 = add(_T_28358, _T_28335) @[exu_mul_ctl.scala 137:112] + node _T_28360 = eq(_T_28359, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28361 = bits(_T_28360, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28362 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_28363 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28364 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28365 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28366 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28367 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28368 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28369 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28370 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28371 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28372 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28373 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28374 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28375 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28376 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28377 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28378 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28379 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28380 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28381 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28382 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28383 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28384 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28385 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28386 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28387 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28388 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28389 = add(_T_28363, _T_28364) @[exu_mul_ctl.scala 137:112] + node _T_28390 = add(_T_28389, _T_28365) @[exu_mul_ctl.scala 137:112] + node _T_28391 = add(_T_28390, _T_28366) @[exu_mul_ctl.scala 137:112] + node _T_28392 = add(_T_28391, _T_28367) @[exu_mul_ctl.scala 137:112] + node _T_28393 = add(_T_28392, _T_28368) @[exu_mul_ctl.scala 137:112] + node _T_28394 = add(_T_28393, _T_28369) @[exu_mul_ctl.scala 137:112] + node _T_28395 = add(_T_28394, _T_28370) @[exu_mul_ctl.scala 137:112] + node _T_28396 = add(_T_28395, _T_28371) @[exu_mul_ctl.scala 137:112] + node _T_28397 = add(_T_28396, _T_28372) @[exu_mul_ctl.scala 137:112] + node _T_28398 = add(_T_28397, _T_28373) @[exu_mul_ctl.scala 137:112] + node _T_28399 = add(_T_28398, _T_28374) @[exu_mul_ctl.scala 137:112] + node _T_28400 = add(_T_28399, _T_28375) @[exu_mul_ctl.scala 137:112] + node _T_28401 = add(_T_28400, _T_28376) @[exu_mul_ctl.scala 137:112] + node _T_28402 = add(_T_28401, _T_28377) @[exu_mul_ctl.scala 137:112] + node _T_28403 = add(_T_28402, _T_28378) @[exu_mul_ctl.scala 137:112] + node _T_28404 = add(_T_28403, _T_28379) @[exu_mul_ctl.scala 137:112] + node _T_28405 = add(_T_28404, _T_28380) @[exu_mul_ctl.scala 137:112] + node _T_28406 = add(_T_28405, _T_28381) @[exu_mul_ctl.scala 137:112] + node _T_28407 = add(_T_28406, _T_28382) @[exu_mul_ctl.scala 137:112] + node _T_28408 = add(_T_28407, _T_28383) @[exu_mul_ctl.scala 137:112] + node _T_28409 = add(_T_28408, _T_28384) @[exu_mul_ctl.scala 137:112] + node _T_28410 = add(_T_28409, _T_28385) @[exu_mul_ctl.scala 137:112] + node _T_28411 = add(_T_28410, _T_28386) @[exu_mul_ctl.scala 137:112] + node _T_28412 = add(_T_28411, _T_28387) @[exu_mul_ctl.scala 137:112] + node _T_28413 = add(_T_28412, _T_28388) @[exu_mul_ctl.scala 137:112] + node _T_28414 = eq(_T_28413, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28415 = bits(_T_28414, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28416 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_28417 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28418 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28419 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28420 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28421 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28422 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28423 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28424 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28425 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28426 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28427 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28428 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28429 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28430 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28431 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28432 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28433 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28434 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28435 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28436 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28437 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28438 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28439 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28440 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28441 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28442 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28443 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28444 = add(_T_28417, _T_28418) @[exu_mul_ctl.scala 137:112] + node _T_28445 = add(_T_28444, _T_28419) @[exu_mul_ctl.scala 137:112] + node _T_28446 = add(_T_28445, _T_28420) @[exu_mul_ctl.scala 137:112] + node _T_28447 = add(_T_28446, _T_28421) @[exu_mul_ctl.scala 137:112] + node _T_28448 = add(_T_28447, _T_28422) @[exu_mul_ctl.scala 137:112] + node _T_28449 = add(_T_28448, _T_28423) @[exu_mul_ctl.scala 137:112] + node _T_28450 = add(_T_28449, _T_28424) @[exu_mul_ctl.scala 137:112] + node _T_28451 = add(_T_28450, _T_28425) @[exu_mul_ctl.scala 137:112] + node _T_28452 = add(_T_28451, _T_28426) @[exu_mul_ctl.scala 137:112] + node _T_28453 = add(_T_28452, _T_28427) @[exu_mul_ctl.scala 137:112] + node _T_28454 = add(_T_28453, _T_28428) @[exu_mul_ctl.scala 137:112] + node _T_28455 = add(_T_28454, _T_28429) @[exu_mul_ctl.scala 137:112] + node _T_28456 = add(_T_28455, _T_28430) @[exu_mul_ctl.scala 137:112] + node _T_28457 = add(_T_28456, _T_28431) @[exu_mul_ctl.scala 137:112] + node _T_28458 = add(_T_28457, _T_28432) @[exu_mul_ctl.scala 137:112] + node _T_28459 = add(_T_28458, _T_28433) @[exu_mul_ctl.scala 137:112] + node _T_28460 = add(_T_28459, _T_28434) @[exu_mul_ctl.scala 137:112] + node _T_28461 = add(_T_28460, _T_28435) @[exu_mul_ctl.scala 137:112] + node _T_28462 = add(_T_28461, _T_28436) @[exu_mul_ctl.scala 137:112] + node _T_28463 = add(_T_28462, _T_28437) @[exu_mul_ctl.scala 137:112] + node _T_28464 = add(_T_28463, _T_28438) @[exu_mul_ctl.scala 137:112] + node _T_28465 = add(_T_28464, _T_28439) @[exu_mul_ctl.scala 137:112] + node _T_28466 = add(_T_28465, _T_28440) @[exu_mul_ctl.scala 137:112] + node _T_28467 = add(_T_28466, _T_28441) @[exu_mul_ctl.scala 137:112] + node _T_28468 = add(_T_28467, _T_28442) @[exu_mul_ctl.scala 137:112] + node _T_28469 = add(_T_28468, _T_28443) @[exu_mul_ctl.scala 137:112] + node _T_28470 = eq(_T_28469, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28471 = bits(_T_28470, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28472 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_28473 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28474 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28475 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28476 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28477 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28478 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28479 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28480 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28481 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28482 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28483 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28484 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28485 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28486 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28487 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28488 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28489 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28490 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28491 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28492 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28493 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28494 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28495 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28496 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28497 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28498 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28499 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28500 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_28501 = add(_T_28473, _T_28474) @[exu_mul_ctl.scala 137:112] + node _T_28502 = add(_T_28501, _T_28475) @[exu_mul_ctl.scala 137:112] + node _T_28503 = add(_T_28502, _T_28476) @[exu_mul_ctl.scala 137:112] + node _T_28504 = add(_T_28503, _T_28477) @[exu_mul_ctl.scala 137:112] + node _T_28505 = add(_T_28504, _T_28478) @[exu_mul_ctl.scala 137:112] + node _T_28506 = add(_T_28505, _T_28479) @[exu_mul_ctl.scala 137:112] + node _T_28507 = add(_T_28506, _T_28480) @[exu_mul_ctl.scala 137:112] + node _T_28508 = add(_T_28507, _T_28481) @[exu_mul_ctl.scala 137:112] + node _T_28509 = add(_T_28508, _T_28482) @[exu_mul_ctl.scala 137:112] + node _T_28510 = add(_T_28509, _T_28483) @[exu_mul_ctl.scala 137:112] + node _T_28511 = add(_T_28510, _T_28484) @[exu_mul_ctl.scala 137:112] + node _T_28512 = add(_T_28511, _T_28485) @[exu_mul_ctl.scala 137:112] + node _T_28513 = add(_T_28512, _T_28486) @[exu_mul_ctl.scala 137:112] + node _T_28514 = add(_T_28513, _T_28487) @[exu_mul_ctl.scala 137:112] + node _T_28515 = add(_T_28514, _T_28488) @[exu_mul_ctl.scala 137:112] + node _T_28516 = add(_T_28515, _T_28489) @[exu_mul_ctl.scala 137:112] + node _T_28517 = add(_T_28516, _T_28490) @[exu_mul_ctl.scala 137:112] + node _T_28518 = add(_T_28517, _T_28491) @[exu_mul_ctl.scala 137:112] + node _T_28519 = add(_T_28518, _T_28492) @[exu_mul_ctl.scala 137:112] + node _T_28520 = add(_T_28519, _T_28493) @[exu_mul_ctl.scala 137:112] + node _T_28521 = add(_T_28520, _T_28494) @[exu_mul_ctl.scala 137:112] + node _T_28522 = add(_T_28521, _T_28495) @[exu_mul_ctl.scala 137:112] + node _T_28523 = add(_T_28522, _T_28496) @[exu_mul_ctl.scala 137:112] + node _T_28524 = add(_T_28523, _T_28497) @[exu_mul_ctl.scala 137:112] + node _T_28525 = add(_T_28524, _T_28498) @[exu_mul_ctl.scala 137:112] + node _T_28526 = add(_T_28525, _T_28499) @[exu_mul_ctl.scala 137:112] + node _T_28527 = add(_T_28526, _T_28500) @[exu_mul_ctl.scala 137:112] + node _T_28528 = eq(_T_28527, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28529 = bits(_T_28528, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28530 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_28531 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28532 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28533 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28534 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28535 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28536 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28537 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28538 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28539 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28540 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28541 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28542 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28543 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28544 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28545 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28546 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28547 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28548 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28549 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28550 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28551 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28552 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28553 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28554 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28555 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28556 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28557 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28558 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_28559 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_28560 = add(_T_28531, _T_28532) @[exu_mul_ctl.scala 137:112] + node _T_28561 = add(_T_28560, _T_28533) @[exu_mul_ctl.scala 137:112] + node _T_28562 = add(_T_28561, _T_28534) @[exu_mul_ctl.scala 137:112] + node _T_28563 = add(_T_28562, _T_28535) @[exu_mul_ctl.scala 137:112] + node _T_28564 = add(_T_28563, _T_28536) @[exu_mul_ctl.scala 137:112] + node _T_28565 = add(_T_28564, _T_28537) @[exu_mul_ctl.scala 137:112] + node _T_28566 = add(_T_28565, _T_28538) @[exu_mul_ctl.scala 137:112] + node _T_28567 = add(_T_28566, _T_28539) @[exu_mul_ctl.scala 137:112] + node _T_28568 = add(_T_28567, _T_28540) @[exu_mul_ctl.scala 137:112] + node _T_28569 = add(_T_28568, _T_28541) @[exu_mul_ctl.scala 137:112] + node _T_28570 = add(_T_28569, _T_28542) @[exu_mul_ctl.scala 137:112] + node _T_28571 = add(_T_28570, _T_28543) @[exu_mul_ctl.scala 137:112] + node _T_28572 = add(_T_28571, _T_28544) @[exu_mul_ctl.scala 137:112] + node _T_28573 = add(_T_28572, _T_28545) @[exu_mul_ctl.scala 137:112] + node _T_28574 = add(_T_28573, _T_28546) @[exu_mul_ctl.scala 137:112] + node _T_28575 = add(_T_28574, _T_28547) @[exu_mul_ctl.scala 137:112] + node _T_28576 = add(_T_28575, _T_28548) @[exu_mul_ctl.scala 137:112] + node _T_28577 = add(_T_28576, _T_28549) @[exu_mul_ctl.scala 137:112] + node _T_28578 = add(_T_28577, _T_28550) @[exu_mul_ctl.scala 137:112] + node _T_28579 = add(_T_28578, _T_28551) @[exu_mul_ctl.scala 137:112] + node _T_28580 = add(_T_28579, _T_28552) @[exu_mul_ctl.scala 137:112] + node _T_28581 = add(_T_28580, _T_28553) @[exu_mul_ctl.scala 137:112] + node _T_28582 = add(_T_28581, _T_28554) @[exu_mul_ctl.scala 137:112] + node _T_28583 = add(_T_28582, _T_28555) @[exu_mul_ctl.scala 137:112] + node _T_28584 = add(_T_28583, _T_28556) @[exu_mul_ctl.scala 137:112] + node _T_28585 = add(_T_28584, _T_28557) @[exu_mul_ctl.scala 137:112] + node _T_28586 = add(_T_28585, _T_28558) @[exu_mul_ctl.scala 137:112] + node _T_28587 = add(_T_28586, _T_28559) @[exu_mul_ctl.scala 137:112] + node _T_28588 = eq(_T_28587, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28589 = bits(_T_28588, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28590 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_28591 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28592 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28593 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28594 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28595 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28596 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28597 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28598 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28599 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28600 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28601 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28602 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28603 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28604 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28605 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28606 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28607 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28608 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28609 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28610 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28611 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28612 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28613 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28614 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28615 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28616 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28617 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28618 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_28619 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_28620 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_28621 = add(_T_28591, _T_28592) @[exu_mul_ctl.scala 137:112] + node _T_28622 = add(_T_28621, _T_28593) @[exu_mul_ctl.scala 137:112] + node _T_28623 = add(_T_28622, _T_28594) @[exu_mul_ctl.scala 137:112] + node _T_28624 = add(_T_28623, _T_28595) @[exu_mul_ctl.scala 137:112] + node _T_28625 = add(_T_28624, _T_28596) @[exu_mul_ctl.scala 137:112] + node _T_28626 = add(_T_28625, _T_28597) @[exu_mul_ctl.scala 137:112] + node _T_28627 = add(_T_28626, _T_28598) @[exu_mul_ctl.scala 137:112] + node _T_28628 = add(_T_28627, _T_28599) @[exu_mul_ctl.scala 137:112] + node _T_28629 = add(_T_28628, _T_28600) @[exu_mul_ctl.scala 137:112] + node _T_28630 = add(_T_28629, _T_28601) @[exu_mul_ctl.scala 137:112] + node _T_28631 = add(_T_28630, _T_28602) @[exu_mul_ctl.scala 137:112] + node _T_28632 = add(_T_28631, _T_28603) @[exu_mul_ctl.scala 137:112] + node _T_28633 = add(_T_28632, _T_28604) @[exu_mul_ctl.scala 137:112] + node _T_28634 = add(_T_28633, _T_28605) @[exu_mul_ctl.scala 137:112] + node _T_28635 = add(_T_28634, _T_28606) @[exu_mul_ctl.scala 137:112] + node _T_28636 = add(_T_28635, _T_28607) @[exu_mul_ctl.scala 137:112] + node _T_28637 = add(_T_28636, _T_28608) @[exu_mul_ctl.scala 137:112] + node _T_28638 = add(_T_28637, _T_28609) @[exu_mul_ctl.scala 137:112] + node _T_28639 = add(_T_28638, _T_28610) @[exu_mul_ctl.scala 137:112] + node _T_28640 = add(_T_28639, _T_28611) @[exu_mul_ctl.scala 137:112] + node _T_28641 = add(_T_28640, _T_28612) @[exu_mul_ctl.scala 137:112] + node _T_28642 = add(_T_28641, _T_28613) @[exu_mul_ctl.scala 137:112] + node _T_28643 = add(_T_28642, _T_28614) @[exu_mul_ctl.scala 137:112] + node _T_28644 = add(_T_28643, _T_28615) @[exu_mul_ctl.scala 137:112] + node _T_28645 = add(_T_28644, _T_28616) @[exu_mul_ctl.scala 137:112] + node _T_28646 = add(_T_28645, _T_28617) @[exu_mul_ctl.scala 137:112] + node _T_28647 = add(_T_28646, _T_28618) @[exu_mul_ctl.scala 137:112] + node _T_28648 = add(_T_28647, _T_28619) @[exu_mul_ctl.scala 137:112] + node _T_28649 = add(_T_28648, _T_28620) @[exu_mul_ctl.scala 137:112] + node _T_28650 = eq(_T_28649, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28651 = bits(_T_28650, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28652 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_28653 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28654 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28655 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28656 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28657 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28658 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28659 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28660 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28661 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28662 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28663 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28664 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28665 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28666 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28667 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28668 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28669 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28670 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28671 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28672 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28673 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28674 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28675 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28676 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28677 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28678 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28679 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28680 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_28681 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_28682 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_28683 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_28684 = add(_T_28653, _T_28654) @[exu_mul_ctl.scala 137:112] + node _T_28685 = add(_T_28684, _T_28655) @[exu_mul_ctl.scala 137:112] + node _T_28686 = add(_T_28685, _T_28656) @[exu_mul_ctl.scala 137:112] + node _T_28687 = add(_T_28686, _T_28657) @[exu_mul_ctl.scala 137:112] + node _T_28688 = add(_T_28687, _T_28658) @[exu_mul_ctl.scala 137:112] + node _T_28689 = add(_T_28688, _T_28659) @[exu_mul_ctl.scala 137:112] + node _T_28690 = add(_T_28689, _T_28660) @[exu_mul_ctl.scala 137:112] + node _T_28691 = add(_T_28690, _T_28661) @[exu_mul_ctl.scala 137:112] + node _T_28692 = add(_T_28691, _T_28662) @[exu_mul_ctl.scala 137:112] + node _T_28693 = add(_T_28692, _T_28663) @[exu_mul_ctl.scala 137:112] + node _T_28694 = add(_T_28693, _T_28664) @[exu_mul_ctl.scala 137:112] + node _T_28695 = add(_T_28694, _T_28665) @[exu_mul_ctl.scala 137:112] + node _T_28696 = add(_T_28695, _T_28666) @[exu_mul_ctl.scala 137:112] + node _T_28697 = add(_T_28696, _T_28667) @[exu_mul_ctl.scala 137:112] + node _T_28698 = add(_T_28697, _T_28668) @[exu_mul_ctl.scala 137:112] + node _T_28699 = add(_T_28698, _T_28669) @[exu_mul_ctl.scala 137:112] + node _T_28700 = add(_T_28699, _T_28670) @[exu_mul_ctl.scala 137:112] + node _T_28701 = add(_T_28700, _T_28671) @[exu_mul_ctl.scala 137:112] + node _T_28702 = add(_T_28701, _T_28672) @[exu_mul_ctl.scala 137:112] + node _T_28703 = add(_T_28702, _T_28673) @[exu_mul_ctl.scala 137:112] + node _T_28704 = add(_T_28703, _T_28674) @[exu_mul_ctl.scala 137:112] + node _T_28705 = add(_T_28704, _T_28675) @[exu_mul_ctl.scala 137:112] + node _T_28706 = add(_T_28705, _T_28676) @[exu_mul_ctl.scala 137:112] + node _T_28707 = add(_T_28706, _T_28677) @[exu_mul_ctl.scala 137:112] + node _T_28708 = add(_T_28707, _T_28678) @[exu_mul_ctl.scala 137:112] + node _T_28709 = add(_T_28708, _T_28679) @[exu_mul_ctl.scala 137:112] + node _T_28710 = add(_T_28709, _T_28680) @[exu_mul_ctl.scala 137:112] + node _T_28711 = add(_T_28710, _T_28681) @[exu_mul_ctl.scala 137:112] + node _T_28712 = add(_T_28711, _T_28682) @[exu_mul_ctl.scala 137:112] + node _T_28713 = add(_T_28712, _T_28683) @[exu_mul_ctl.scala 137:112] + node _T_28714 = eq(_T_28713, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28715 = bits(_T_28714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28716 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_28717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28724 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28725 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28726 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28727 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28728 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28729 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_28730 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_28731 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_28732 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_28733 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_28734 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_28735 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_28736 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_28737 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_28738 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_28739 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_28740 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_28741 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_28742 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_28743 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_28744 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_28745 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_28746 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_28747 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_28748 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_28749 = add(_T_28717, _T_28718) @[exu_mul_ctl.scala 137:112] + node _T_28750 = add(_T_28749, _T_28719) @[exu_mul_ctl.scala 137:112] + node _T_28751 = add(_T_28750, _T_28720) @[exu_mul_ctl.scala 137:112] + node _T_28752 = add(_T_28751, _T_28721) @[exu_mul_ctl.scala 137:112] + node _T_28753 = add(_T_28752, _T_28722) @[exu_mul_ctl.scala 137:112] + node _T_28754 = add(_T_28753, _T_28723) @[exu_mul_ctl.scala 137:112] + node _T_28755 = add(_T_28754, _T_28724) @[exu_mul_ctl.scala 137:112] + node _T_28756 = add(_T_28755, _T_28725) @[exu_mul_ctl.scala 137:112] + node _T_28757 = add(_T_28756, _T_28726) @[exu_mul_ctl.scala 137:112] + node _T_28758 = add(_T_28757, _T_28727) @[exu_mul_ctl.scala 137:112] + node _T_28759 = add(_T_28758, _T_28728) @[exu_mul_ctl.scala 137:112] + node _T_28760 = add(_T_28759, _T_28729) @[exu_mul_ctl.scala 137:112] + node _T_28761 = add(_T_28760, _T_28730) @[exu_mul_ctl.scala 137:112] + node _T_28762 = add(_T_28761, _T_28731) @[exu_mul_ctl.scala 137:112] + node _T_28763 = add(_T_28762, _T_28732) @[exu_mul_ctl.scala 137:112] + node _T_28764 = add(_T_28763, _T_28733) @[exu_mul_ctl.scala 137:112] + node _T_28765 = add(_T_28764, _T_28734) @[exu_mul_ctl.scala 137:112] + node _T_28766 = add(_T_28765, _T_28735) @[exu_mul_ctl.scala 137:112] + node _T_28767 = add(_T_28766, _T_28736) @[exu_mul_ctl.scala 137:112] + node _T_28768 = add(_T_28767, _T_28737) @[exu_mul_ctl.scala 137:112] + node _T_28769 = add(_T_28768, _T_28738) @[exu_mul_ctl.scala 137:112] + node _T_28770 = add(_T_28769, _T_28739) @[exu_mul_ctl.scala 137:112] + node _T_28771 = add(_T_28770, _T_28740) @[exu_mul_ctl.scala 137:112] + node _T_28772 = add(_T_28771, _T_28741) @[exu_mul_ctl.scala 137:112] + node _T_28773 = add(_T_28772, _T_28742) @[exu_mul_ctl.scala 137:112] + node _T_28774 = add(_T_28773, _T_28743) @[exu_mul_ctl.scala 137:112] + node _T_28775 = add(_T_28774, _T_28744) @[exu_mul_ctl.scala 137:112] + node _T_28776 = add(_T_28775, _T_28745) @[exu_mul_ctl.scala 137:112] + node _T_28777 = add(_T_28776, _T_28746) @[exu_mul_ctl.scala 137:112] + node _T_28778 = add(_T_28777, _T_28747) @[exu_mul_ctl.scala 137:112] + node _T_28779 = add(_T_28778, _T_28748) @[exu_mul_ctl.scala 137:112] + node _T_28780 = eq(_T_28779, UInt<5>("h019")) @[exu_mul_ctl.scala 138:87] + node _T_28781 = bits(_T_28780, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28782 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_28783 = mux(_T_28781, _T_28782, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_28784 = mux(_T_28715, _T_28716, _T_28783) @[Mux.scala 98:16] + node _T_28785 = mux(_T_28651, _T_28652, _T_28784) @[Mux.scala 98:16] + node _T_28786 = mux(_T_28589, _T_28590, _T_28785) @[Mux.scala 98:16] + node _T_28787 = mux(_T_28529, _T_28530, _T_28786) @[Mux.scala 98:16] + node _T_28788 = mux(_T_28471, _T_28472, _T_28787) @[Mux.scala 98:16] + node _T_28789 = mux(_T_28415, _T_28416, _T_28788) @[Mux.scala 98:16] + node _T_28790 = mux(_T_28361, _T_28362, _T_28789) @[Mux.scala 98:16] + node _T_28791 = mux(_T_28309, _T_28310, _T_28790) @[Mux.scala 98:16] + node _T_28792 = mux(_T_28259, _T_28260, _T_28791) @[Mux.scala 98:16] + node _T_28793 = mux(_T_28211, _T_28212, _T_28792) @[Mux.scala 98:16] + node _T_28794 = mux(_T_28165, _T_28166, _T_28793) @[Mux.scala 98:16] + node _T_28795 = mux(_T_28121, _T_28122, _T_28794) @[Mux.scala 98:16] + node _T_28796 = mux(_T_28079, _T_28080, _T_28795) @[Mux.scala 98:16] + node _T_28797 = mux(_T_28039, _T_28040, _T_28796) @[Mux.scala 98:16] + node _T_28798 = mux(_T_28001, _T_28002, _T_28797) @[Mux.scala 98:16] + node _T_28799 = mux(_T_27965, _T_27966, _T_28798) @[Mux.scala 98:16] + node _T_28800 = mux(_T_27931, _T_27932, _T_28799) @[Mux.scala 98:16] + node _T_28801 = mux(_T_27899, _T_27900, _T_28800) @[Mux.scala 98:16] + node _T_28802 = mux(_T_27869, _T_27870, _T_28801) @[Mux.scala 98:16] + node _T_28803 = mux(_T_27841, _T_27842, _T_28802) @[Mux.scala 98:16] + node _T_28804 = mux(_T_27815, _T_27816, _T_28803) @[Mux.scala 98:16] + node _T_28805 = mux(_T_27791, _T_27792, _T_28804) @[Mux.scala 98:16] + node _T_28806 = mux(_T_27769, _T_27770, _T_28805) @[Mux.scala 98:16] + node _T_28807 = mux(_T_27749, _T_27750, _T_28806) @[Mux.scala 98:16] + node _T_28808 = mux(_T_27731, _T_27732, _T_28807) @[Mux.scala 98:16] + node _T_28809 = mux(_T_27715, _T_27716, _T_28808) @[Mux.scala 98:16] + node _T_28810 = mux(_T_27701, _T_27702, _T_28809) @[Mux.scala 98:16] + node _T_28811 = mux(_T_27689, _T_27690, _T_28810) @[Mux.scala 98:16] + node _T_28812 = mux(_T_27679, _T_27680, _T_28811) @[Mux.scala 98:16] + node _T_28813 = mux(_T_27671, _T_27672, _T_28812) @[Mux.scala 98:16] + node _T_28814 = mux(_T_27665, _T_27666, _T_28813) @[Mux.scala 98:16] + node _T_28815 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_28816 = eq(_T_28815, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28817 = bits(_T_28816, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28818 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_28819 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28820 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28821 = add(_T_28819, _T_28820) @[exu_mul_ctl.scala 137:112] + node _T_28822 = eq(_T_28821, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28823 = bits(_T_28822, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28824 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_28825 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28826 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28827 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28828 = add(_T_28825, _T_28826) @[exu_mul_ctl.scala 137:112] + node _T_28829 = add(_T_28828, _T_28827) @[exu_mul_ctl.scala 137:112] + node _T_28830 = eq(_T_28829, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28831 = bits(_T_28830, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28832 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_28833 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28834 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28835 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28836 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28837 = add(_T_28833, _T_28834) @[exu_mul_ctl.scala 137:112] + node _T_28838 = add(_T_28837, _T_28835) @[exu_mul_ctl.scala 137:112] + node _T_28839 = add(_T_28838, _T_28836) @[exu_mul_ctl.scala 137:112] + node _T_28840 = eq(_T_28839, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28841 = bits(_T_28840, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28842 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_28843 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28844 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28845 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28846 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28847 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28848 = add(_T_28843, _T_28844) @[exu_mul_ctl.scala 137:112] + node _T_28849 = add(_T_28848, _T_28845) @[exu_mul_ctl.scala 137:112] + node _T_28850 = add(_T_28849, _T_28846) @[exu_mul_ctl.scala 137:112] + node _T_28851 = add(_T_28850, _T_28847) @[exu_mul_ctl.scala 137:112] + node _T_28852 = eq(_T_28851, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28853 = bits(_T_28852, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28854 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_28855 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28856 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28857 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28858 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28859 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28860 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28861 = add(_T_28855, _T_28856) @[exu_mul_ctl.scala 137:112] + node _T_28862 = add(_T_28861, _T_28857) @[exu_mul_ctl.scala 137:112] + node _T_28863 = add(_T_28862, _T_28858) @[exu_mul_ctl.scala 137:112] + node _T_28864 = add(_T_28863, _T_28859) @[exu_mul_ctl.scala 137:112] + node _T_28865 = add(_T_28864, _T_28860) @[exu_mul_ctl.scala 137:112] + node _T_28866 = eq(_T_28865, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28867 = bits(_T_28866, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28868 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_28869 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28870 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28871 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28872 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28873 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28874 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28875 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28876 = add(_T_28869, _T_28870) @[exu_mul_ctl.scala 137:112] + node _T_28877 = add(_T_28876, _T_28871) @[exu_mul_ctl.scala 137:112] + node _T_28878 = add(_T_28877, _T_28872) @[exu_mul_ctl.scala 137:112] + node _T_28879 = add(_T_28878, _T_28873) @[exu_mul_ctl.scala 137:112] + node _T_28880 = add(_T_28879, _T_28874) @[exu_mul_ctl.scala 137:112] + node _T_28881 = add(_T_28880, _T_28875) @[exu_mul_ctl.scala 137:112] + node _T_28882 = eq(_T_28881, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28883 = bits(_T_28882, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28884 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_28885 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28886 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28887 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28888 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28889 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28890 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28891 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28892 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28893 = add(_T_28885, _T_28886) @[exu_mul_ctl.scala 137:112] + node _T_28894 = add(_T_28893, _T_28887) @[exu_mul_ctl.scala 137:112] + node _T_28895 = add(_T_28894, _T_28888) @[exu_mul_ctl.scala 137:112] + node _T_28896 = add(_T_28895, _T_28889) @[exu_mul_ctl.scala 137:112] + node _T_28897 = add(_T_28896, _T_28890) @[exu_mul_ctl.scala 137:112] + node _T_28898 = add(_T_28897, _T_28891) @[exu_mul_ctl.scala 137:112] + node _T_28899 = add(_T_28898, _T_28892) @[exu_mul_ctl.scala 137:112] + node _T_28900 = eq(_T_28899, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28901 = bits(_T_28900, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28902 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_28903 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28904 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28905 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28906 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28907 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28908 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28909 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28910 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28911 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28912 = add(_T_28903, _T_28904) @[exu_mul_ctl.scala 137:112] + node _T_28913 = add(_T_28912, _T_28905) @[exu_mul_ctl.scala 137:112] + node _T_28914 = add(_T_28913, _T_28906) @[exu_mul_ctl.scala 137:112] + node _T_28915 = add(_T_28914, _T_28907) @[exu_mul_ctl.scala 137:112] + node _T_28916 = add(_T_28915, _T_28908) @[exu_mul_ctl.scala 137:112] + node _T_28917 = add(_T_28916, _T_28909) @[exu_mul_ctl.scala 137:112] + node _T_28918 = add(_T_28917, _T_28910) @[exu_mul_ctl.scala 137:112] + node _T_28919 = add(_T_28918, _T_28911) @[exu_mul_ctl.scala 137:112] + node _T_28920 = eq(_T_28919, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28921 = bits(_T_28920, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28922 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_28923 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28924 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28925 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28926 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28927 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28928 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28929 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28930 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28931 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28932 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28933 = add(_T_28923, _T_28924) @[exu_mul_ctl.scala 137:112] + node _T_28934 = add(_T_28933, _T_28925) @[exu_mul_ctl.scala 137:112] + node _T_28935 = add(_T_28934, _T_28926) @[exu_mul_ctl.scala 137:112] + node _T_28936 = add(_T_28935, _T_28927) @[exu_mul_ctl.scala 137:112] + node _T_28937 = add(_T_28936, _T_28928) @[exu_mul_ctl.scala 137:112] + node _T_28938 = add(_T_28937, _T_28929) @[exu_mul_ctl.scala 137:112] + node _T_28939 = add(_T_28938, _T_28930) @[exu_mul_ctl.scala 137:112] + node _T_28940 = add(_T_28939, _T_28931) @[exu_mul_ctl.scala 137:112] + node _T_28941 = add(_T_28940, _T_28932) @[exu_mul_ctl.scala 137:112] + node _T_28942 = eq(_T_28941, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28943 = bits(_T_28942, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28944 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_28945 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28946 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28947 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28948 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28949 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28950 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28951 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28952 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28953 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28954 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28955 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28956 = add(_T_28945, _T_28946) @[exu_mul_ctl.scala 137:112] + node _T_28957 = add(_T_28956, _T_28947) @[exu_mul_ctl.scala 137:112] + node _T_28958 = add(_T_28957, _T_28948) @[exu_mul_ctl.scala 137:112] + node _T_28959 = add(_T_28958, _T_28949) @[exu_mul_ctl.scala 137:112] + node _T_28960 = add(_T_28959, _T_28950) @[exu_mul_ctl.scala 137:112] + node _T_28961 = add(_T_28960, _T_28951) @[exu_mul_ctl.scala 137:112] + node _T_28962 = add(_T_28961, _T_28952) @[exu_mul_ctl.scala 137:112] + node _T_28963 = add(_T_28962, _T_28953) @[exu_mul_ctl.scala 137:112] + node _T_28964 = add(_T_28963, _T_28954) @[exu_mul_ctl.scala 137:112] + node _T_28965 = add(_T_28964, _T_28955) @[exu_mul_ctl.scala 137:112] + node _T_28966 = eq(_T_28965, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28967 = bits(_T_28966, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28968 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_28969 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28970 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28971 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28972 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28973 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_28974 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_28975 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_28976 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_28977 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_28978 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_28979 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_28980 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_28981 = add(_T_28969, _T_28970) @[exu_mul_ctl.scala 137:112] + node _T_28982 = add(_T_28981, _T_28971) @[exu_mul_ctl.scala 137:112] + node _T_28983 = add(_T_28982, _T_28972) @[exu_mul_ctl.scala 137:112] + node _T_28984 = add(_T_28983, _T_28973) @[exu_mul_ctl.scala 137:112] + node _T_28985 = add(_T_28984, _T_28974) @[exu_mul_ctl.scala 137:112] + node _T_28986 = add(_T_28985, _T_28975) @[exu_mul_ctl.scala 137:112] + node _T_28987 = add(_T_28986, _T_28976) @[exu_mul_ctl.scala 137:112] + node _T_28988 = add(_T_28987, _T_28977) @[exu_mul_ctl.scala 137:112] + node _T_28989 = add(_T_28988, _T_28978) @[exu_mul_ctl.scala 137:112] + node _T_28990 = add(_T_28989, _T_28979) @[exu_mul_ctl.scala 137:112] + node _T_28991 = add(_T_28990, _T_28980) @[exu_mul_ctl.scala 137:112] + node _T_28992 = eq(_T_28991, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_28993 = bits(_T_28992, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_28994 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_28995 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_28996 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_28997 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_28998 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_28999 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29000 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29001 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29002 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29003 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29004 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29005 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29006 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29007 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29008 = add(_T_28995, _T_28996) @[exu_mul_ctl.scala 137:112] + node _T_29009 = add(_T_29008, _T_28997) @[exu_mul_ctl.scala 137:112] + node _T_29010 = add(_T_29009, _T_28998) @[exu_mul_ctl.scala 137:112] + node _T_29011 = add(_T_29010, _T_28999) @[exu_mul_ctl.scala 137:112] + node _T_29012 = add(_T_29011, _T_29000) @[exu_mul_ctl.scala 137:112] + node _T_29013 = add(_T_29012, _T_29001) @[exu_mul_ctl.scala 137:112] + node _T_29014 = add(_T_29013, _T_29002) @[exu_mul_ctl.scala 137:112] + node _T_29015 = add(_T_29014, _T_29003) @[exu_mul_ctl.scala 137:112] + node _T_29016 = add(_T_29015, _T_29004) @[exu_mul_ctl.scala 137:112] + node _T_29017 = add(_T_29016, _T_29005) @[exu_mul_ctl.scala 137:112] + node _T_29018 = add(_T_29017, _T_29006) @[exu_mul_ctl.scala 137:112] + node _T_29019 = add(_T_29018, _T_29007) @[exu_mul_ctl.scala 137:112] + node _T_29020 = eq(_T_29019, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29021 = bits(_T_29020, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29022 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_29023 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29024 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29025 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29026 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29027 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29028 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29029 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29030 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29031 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29032 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29033 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29034 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29035 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29036 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29037 = add(_T_29023, _T_29024) @[exu_mul_ctl.scala 137:112] + node _T_29038 = add(_T_29037, _T_29025) @[exu_mul_ctl.scala 137:112] + node _T_29039 = add(_T_29038, _T_29026) @[exu_mul_ctl.scala 137:112] + node _T_29040 = add(_T_29039, _T_29027) @[exu_mul_ctl.scala 137:112] + node _T_29041 = add(_T_29040, _T_29028) @[exu_mul_ctl.scala 137:112] + node _T_29042 = add(_T_29041, _T_29029) @[exu_mul_ctl.scala 137:112] + node _T_29043 = add(_T_29042, _T_29030) @[exu_mul_ctl.scala 137:112] + node _T_29044 = add(_T_29043, _T_29031) @[exu_mul_ctl.scala 137:112] + node _T_29045 = add(_T_29044, _T_29032) @[exu_mul_ctl.scala 137:112] + node _T_29046 = add(_T_29045, _T_29033) @[exu_mul_ctl.scala 137:112] + node _T_29047 = add(_T_29046, _T_29034) @[exu_mul_ctl.scala 137:112] + node _T_29048 = add(_T_29047, _T_29035) @[exu_mul_ctl.scala 137:112] + node _T_29049 = add(_T_29048, _T_29036) @[exu_mul_ctl.scala 137:112] + node _T_29050 = eq(_T_29049, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29051 = bits(_T_29050, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29052 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_29053 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29054 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29055 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29056 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29057 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29058 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29059 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29060 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29061 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29062 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29063 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29064 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29065 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29066 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29067 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29068 = add(_T_29053, _T_29054) @[exu_mul_ctl.scala 137:112] + node _T_29069 = add(_T_29068, _T_29055) @[exu_mul_ctl.scala 137:112] + node _T_29070 = add(_T_29069, _T_29056) @[exu_mul_ctl.scala 137:112] + node _T_29071 = add(_T_29070, _T_29057) @[exu_mul_ctl.scala 137:112] + node _T_29072 = add(_T_29071, _T_29058) @[exu_mul_ctl.scala 137:112] + node _T_29073 = add(_T_29072, _T_29059) @[exu_mul_ctl.scala 137:112] + node _T_29074 = add(_T_29073, _T_29060) @[exu_mul_ctl.scala 137:112] + node _T_29075 = add(_T_29074, _T_29061) @[exu_mul_ctl.scala 137:112] + node _T_29076 = add(_T_29075, _T_29062) @[exu_mul_ctl.scala 137:112] + node _T_29077 = add(_T_29076, _T_29063) @[exu_mul_ctl.scala 137:112] + node _T_29078 = add(_T_29077, _T_29064) @[exu_mul_ctl.scala 137:112] + node _T_29079 = add(_T_29078, _T_29065) @[exu_mul_ctl.scala 137:112] + node _T_29080 = add(_T_29079, _T_29066) @[exu_mul_ctl.scala 137:112] + node _T_29081 = add(_T_29080, _T_29067) @[exu_mul_ctl.scala 137:112] + node _T_29082 = eq(_T_29081, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29083 = bits(_T_29082, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29084 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_29085 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29086 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29087 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29088 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29089 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29090 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29091 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29092 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29093 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29094 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29095 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29096 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29097 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29098 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29099 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29100 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29101 = add(_T_29085, _T_29086) @[exu_mul_ctl.scala 137:112] + node _T_29102 = add(_T_29101, _T_29087) @[exu_mul_ctl.scala 137:112] + node _T_29103 = add(_T_29102, _T_29088) @[exu_mul_ctl.scala 137:112] + node _T_29104 = add(_T_29103, _T_29089) @[exu_mul_ctl.scala 137:112] + node _T_29105 = add(_T_29104, _T_29090) @[exu_mul_ctl.scala 137:112] + node _T_29106 = add(_T_29105, _T_29091) @[exu_mul_ctl.scala 137:112] + node _T_29107 = add(_T_29106, _T_29092) @[exu_mul_ctl.scala 137:112] + node _T_29108 = add(_T_29107, _T_29093) @[exu_mul_ctl.scala 137:112] + node _T_29109 = add(_T_29108, _T_29094) @[exu_mul_ctl.scala 137:112] + node _T_29110 = add(_T_29109, _T_29095) @[exu_mul_ctl.scala 137:112] + node _T_29111 = add(_T_29110, _T_29096) @[exu_mul_ctl.scala 137:112] + node _T_29112 = add(_T_29111, _T_29097) @[exu_mul_ctl.scala 137:112] + node _T_29113 = add(_T_29112, _T_29098) @[exu_mul_ctl.scala 137:112] + node _T_29114 = add(_T_29113, _T_29099) @[exu_mul_ctl.scala 137:112] + node _T_29115 = add(_T_29114, _T_29100) @[exu_mul_ctl.scala 137:112] + node _T_29116 = eq(_T_29115, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29117 = bits(_T_29116, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29118 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_29119 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29120 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29121 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29122 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29123 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29124 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29125 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29126 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29127 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29128 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29129 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29130 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29131 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29132 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29133 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29134 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29135 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29136 = add(_T_29119, _T_29120) @[exu_mul_ctl.scala 137:112] + node _T_29137 = add(_T_29136, _T_29121) @[exu_mul_ctl.scala 137:112] + node _T_29138 = add(_T_29137, _T_29122) @[exu_mul_ctl.scala 137:112] + node _T_29139 = add(_T_29138, _T_29123) @[exu_mul_ctl.scala 137:112] + node _T_29140 = add(_T_29139, _T_29124) @[exu_mul_ctl.scala 137:112] + node _T_29141 = add(_T_29140, _T_29125) @[exu_mul_ctl.scala 137:112] + node _T_29142 = add(_T_29141, _T_29126) @[exu_mul_ctl.scala 137:112] + node _T_29143 = add(_T_29142, _T_29127) @[exu_mul_ctl.scala 137:112] + node _T_29144 = add(_T_29143, _T_29128) @[exu_mul_ctl.scala 137:112] + node _T_29145 = add(_T_29144, _T_29129) @[exu_mul_ctl.scala 137:112] + node _T_29146 = add(_T_29145, _T_29130) @[exu_mul_ctl.scala 137:112] + node _T_29147 = add(_T_29146, _T_29131) @[exu_mul_ctl.scala 137:112] + node _T_29148 = add(_T_29147, _T_29132) @[exu_mul_ctl.scala 137:112] + node _T_29149 = add(_T_29148, _T_29133) @[exu_mul_ctl.scala 137:112] + node _T_29150 = add(_T_29149, _T_29134) @[exu_mul_ctl.scala 137:112] + node _T_29151 = add(_T_29150, _T_29135) @[exu_mul_ctl.scala 137:112] + node _T_29152 = eq(_T_29151, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29153 = bits(_T_29152, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29154 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_29155 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29156 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29157 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29158 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29159 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29160 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29161 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29162 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29163 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29164 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29165 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29166 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29167 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29168 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29169 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29170 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29171 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29172 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29173 = add(_T_29155, _T_29156) @[exu_mul_ctl.scala 137:112] + node _T_29174 = add(_T_29173, _T_29157) @[exu_mul_ctl.scala 137:112] + node _T_29175 = add(_T_29174, _T_29158) @[exu_mul_ctl.scala 137:112] + node _T_29176 = add(_T_29175, _T_29159) @[exu_mul_ctl.scala 137:112] + node _T_29177 = add(_T_29176, _T_29160) @[exu_mul_ctl.scala 137:112] + node _T_29178 = add(_T_29177, _T_29161) @[exu_mul_ctl.scala 137:112] + node _T_29179 = add(_T_29178, _T_29162) @[exu_mul_ctl.scala 137:112] + node _T_29180 = add(_T_29179, _T_29163) @[exu_mul_ctl.scala 137:112] + node _T_29181 = add(_T_29180, _T_29164) @[exu_mul_ctl.scala 137:112] + node _T_29182 = add(_T_29181, _T_29165) @[exu_mul_ctl.scala 137:112] + node _T_29183 = add(_T_29182, _T_29166) @[exu_mul_ctl.scala 137:112] + node _T_29184 = add(_T_29183, _T_29167) @[exu_mul_ctl.scala 137:112] + node _T_29185 = add(_T_29184, _T_29168) @[exu_mul_ctl.scala 137:112] + node _T_29186 = add(_T_29185, _T_29169) @[exu_mul_ctl.scala 137:112] + node _T_29187 = add(_T_29186, _T_29170) @[exu_mul_ctl.scala 137:112] + node _T_29188 = add(_T_29187, _T_29171) @[exu_mul_ctl.scala 137:112] + node _T_29189 = add(_T_29188, _T_29172) @[exu_mul_ctl.scala 137:112] + node _T_29190 = eq(_T_29189, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29191 = bits(_T_29190, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29192 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_29193 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29194 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29195 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29196 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29197 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29198 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29199 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29200 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29201 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29202 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29203 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29204 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29205 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29206 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29207 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29208 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29209 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29210 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29211 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29212 = add(_T_29193, _T_29194) @[exu_mul_ctl.scala 137:112] + node _T_29213 = add(_T_29212, _T_29195) @[exu_mul_ctl.scala 137:112] + node _T_29214 = add(_T_29213, _T_29196) @[exu_mul_ctl.scala 137:112] + node _T_29215 = add(_T_29214, _T_29197) @[exu_mul_ctl.scala 137:112] + node _T_29216 = add(_T_29215, _T_29198) @[exu_mul_ctl.scala 137:112] + node _T_29217 = add(_T_29216, _T_29199) @[exu_mul_ctl.scala 137:112] + node _T_29218 = add(_T_29217, _T_29200) @[exu_mul_ctl.scala 137:112] + node _T_29219 = add(_T_29218, _T_29201) @[exu_mul_ctl.scala 137:112] + node _T_29220 = add(_T_29219, _T_29202) @[exu_mul_ctl.scala 137:112] + node _T_29221 = add(_T_29220, _T_29203) @[exu_mul_ctl.scala 137:112] + node _T_29222 = add(_T_29221, _T_29204) @[exu_mul_ctl.scala 137:112] + node _T_29223 = add(_T_29222, _T_29205) @[exu_mul_ctl.scala 137:112] + node _T_29224 = add(_T_29223, _T_29206) @[exu_mul_ctl.scala 137:112] + node _T_29225 = add(_T_29224, _T_29207) @[exu_mul_ctl.scala 137:112] + node _T_29226 = add(_T_29225, _T_29208) @[exu_mul_ctl.scala 137:112] + node _T_29227 = add(_T_29226, _T_29209) @[exu_mul_ctl.scala 137:112] + node _T_29228 = add(_T_29227, _T_29210) @[exu_mul_ctl.scala 137:112] + node _T_29229 = add(_T_29228, _T_29211) @[exu_mul_ctl.scala 137:112] + node _T_29230 = eq(_T_29229, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29231 = bits(_T_29230, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29232 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_29233 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29234 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29235 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29236 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29237 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29238 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29239 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29240 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29241 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29242 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29243 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29244 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29245 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29246 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29247 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29248 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29249 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29250 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29251 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29252 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29253 = add(_T_29233, _T_29234) @[exu_mul_ctl.scala 137:112] + node _T_29254 = add(_T_29253, _T_29235) @[exu_mul_ctl.scala 137:112] + node _T_29255 = add(_T_29254, _T_29236) @[exu_mul_ctl.scala 137:112] + node _T_29256 = add(_T_29255, _T_29237) @[exu_mul_ctl.scala 137:112] + node _T_29257 = add(_T_29256, _T_29238) @[exu_mul_ctl.scala 137:112] + node _T_29258 = add(_T_29257, _T_29239) @[exu_mul_ctl.scala 137:112] + node _T_29259 = add(_T_29258, _T_29240) @[exu_mul_ctl.scala 137:112] + node _T_29260 = add(_T_29259, _T_29241) @[exu_mul_ctl.scala 137:112] + node _T_29261 = add(_T_29260, _T_29242) @[exu_mul_ctl.scala 137:112] + node _T_29262 = add(_T_29261, _T_29243) @[exu_mul_ctl.scala 137:112] + node _T_29263 = add(_T_29262, _T_29244) @[exu_mul_ctl.scala 137:112] + node _T_29264 = add(_T_29263, _T_29245) @[exu_mul_ctl.scala 137:112] + node _T_29265 = add(_T_29264, _T_29246) @[exu_mul_ctl.scala 137:112] + node _T_29266 = add(_T_29265, _T_29247) @[exu_mul_ctl.scala 137:112] + node _T_29267 = add(_T_29266, _T_29248) @[exu_mul_ctl.scala 137:112] + node _T_29268 = add(_T_29267, _T_29249) @[exu_mul_ctl.scala 137:112] + node _T_29269 = add(_T_29268, _T_29250) @[exu_mul_ctl.scala 137:112] + node _T_29270 = add(_T_29269, _T_29251) @[exu_mul_ctl.scala 137:112] + node _T_29271 = add(_T_29270, _T_29252) @[exu_mul_ctl.scala 137:112] + node _T_29272 = eq(_T_29271, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29273 = bits(_T_29272, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29274 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_29275 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29276 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29277 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29278 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29279 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29280 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29281 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29282 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29283 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29284 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29285 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29286 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29287 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29288 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29289 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29290 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29291 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29292 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29293 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29294 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29295 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29296 = add(_T_29275, _T_29276) @[exu_mul_ctl.scala 137:112] + node _T_29297 = add(_T_29296, _T_29277) @[exu_mul_ctl.scala 137:112] + node _T_29298 = add(_T_29297, _T_29278) @[exu_mul_ctl.scala 137:112] + node _T_29299 = add(_T_29298, _T_29279) @[exu_mul_ctl.scala 137:112] + node _T_29300 = add(_T_29299, _T_29280) @[exu_mul_ctl.scala 137:112] + node _T_29301 = add(_T_29300, _T_29281) @[exu_mul_ctl.scala 137:112] + node _T_29302 = add(_T_29301, _T_29282) @[exu_mul_ctl.scala 137:112] + node _T_29303 = add(_T_29302, _T_29283) @[exu_mul_ctl.scala 137:112] + node _T_29304 = add(_T_29303, _T_29284) @[exu_mul_ctl.scala 137:112] + node _T_29305 = add(_T_29304, _T_29285) @[exu_mul_ctl.scala 137:112] + node _T_29306 = add(_T_29305, _T_29286) @[exu_mul_ctl.scala 137:112] + node _T_29307 = add(_T_29306, _T_29287) @[exu_mul_ctl.scala 137:112] + node _T_29308 = add(_T_29307, _T_29288) @[exu_mul_ctl.scala 137:112] + node _T_29309 = add(_T_29308, _T_29289) @[exu_mul_ctl.scala 137:112] + node _T_29310 = add(_T_29309, _T_29290) @[exu_mul_ctl.scala 137:112] + node _T_29311 = add(_T_29310, _T_29291) @[exu_mul_ctl.scala 137:112] + node _T_29312 = add(_T_29311, _T_29292) @[exu_mul_ctl.scala 137:112] + node _T_29313 = add(_T_29312, _T_29293) @[exu_mul_ctl.scala 137:112] + node _T_29314 = add(_T_29313, _T_29294) @[exu_mul_ctl.scala 137:112] + node _T_29315 = add(_T_29314, _T_29295) @[exu_mul_ctl.scala 137:112] + node _T_29316 = eq(_T_29315, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29317 = bits(_T_29316, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29318 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_29319 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29320 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29321 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29322 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29323 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29324 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29325 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29326 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29327 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29328 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29329 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29330 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29331 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29332 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29333 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29334 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29335 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29336 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29337 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29338 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29339 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29340 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29341 = add(_T_29319, _T_29320) @[exu_mul_ctl.scala 137:112] + node _T_29342 = add(_T_29341, _T_29321) @[exu_mul_ctl.scala 137:112] + node _T_29343 = add(_T_29342, _T_29322) @[exu_mul_ctl.scala 137:112] + node _T_29344 = add(_T_29343, _T_29323) @[exu_mul_ctl.scala 137:112] + node _T_29345 = add(_T_29344, _T_29324) @[exu_mul_ctl.scala 137:112] + node _T_29346 = add(_T_29345, _T_29325) @[exu_mul_ctl.scala 137:112] + node _T_29347 = add(_T_29346, _T_29326) @[exu_mul_ctl.scala 137:112] + node _T_29348 = add(_T_29347, _T_29327) @[exu_mul_ctl.scala 137:112] + node _T_29349 = add(_T_29348, _T_29328) @[exu_mul_ctl.scala 137:112] + node _T_29350 = add(_T_29349, _T_29329) @[exu_mul_ctl.scala 137:112] + node _T_29351 = add(_T_29350, _T_29330) @[exu_mul_ctl.scala 137:112] + node _T_29352 = add(_T_29351, _T_29331) @[exu_mul_ctl.scala 137:112] + node _T_29353 = add(_T_29352, _T_29332) @[exu_mul_ctl.scala 137:112] + node _T_29354 = add(_T_29353, _T_29333) @[exu_mul_ctl.scala 137:112] + node _T_29355 = add(_T_29354, _T_29334) @[exu_mul_ctl.scala 137:112] + node _T_29356 = add(_T_29355, _T_29335) @[exu_mul_ctl.scala 137:112] + node _T_29357 = add(_T_29356, _T_29336) @[exu_mul_ctl.scala 137:112] + node _T_29358 = add(_T_29357, _T_29337) @[exu_mul_ctl.scala 137:112] + node _T_29359 = add(_T_29358, _T_29338) @[exu_mul_ctl.scala 137:112] + node _T_29360 = add(_T_29359, _T_29339) @[exu_mul_ctl.scala 137:112] + node _T_29361 = add(_T_29360, _T_29340) @[exu_mul_ctl.scala 137:112] + node _T_29362 = eq(_T_29361, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29363 = bits(_T_29362, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29364 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_29365 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29366 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29367 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29368 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29369 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29370 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29371 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29372 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29373 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29374 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29375 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29376 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29377 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29378 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29379 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29380 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29381 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29382 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29383 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29384 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29385 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29386 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29387 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29388 = add(_T_29365, _T_29366) @[exu_mul_ctl.scala 137:112] + node _T_29389 = add(_T_29388, _T_29367) @[exu_mul_ctl.scala 137:112] + node _T_29390 = add(_T_29389, _T_29368) @[exu_mul_ctl.scala 137:112] + node _T_29391 = add(_T_29390, _T_29369) @[exu_mul_ctl.scala 137:112] + node _T_29392 = add(_T_29391, _T_29370) @[exu_mul_ctl.scala 137:112] + node _T_29393 = add(_T_29392, _T_29371) @[exu_mul_ctl.scala 137:112] + node _T_29394 = add(_T_29393, _T_29372) @[exu_mul_ctl.scala 137:112] + node _T_29395 = add(_T_29394, _T_29373) @[exu_mul_ctl.scala 137:112] + node _T_29396 = add(_T_29395, _T_29374) @[exu_mul_ctl.scala 137:112] + node _T_29397 = add(_T_29396, _T_29375) @[exu_mul_ctl.scala 137:112] + node _T_29398 = add(_T_29397, _T_29376) @[exu_mul_ctl.scala 137:112] + node _T_29399 = add(_T_29398, _T_29377) @[exu_mul_ctl.scala 137:112] + node _T_29400 = add(_T_29399, _T_29378) @[exu_mul_ctl.scala 137:112] + node _T_29401 = add(_T_29400, _T_29379) @[exu_mul_ctl.scala 137:112] + node _T_29402 = add(_T_29401, _T_29380) @[exu_mul_ctl.scala 137:112] + node _T_29403 = add(_T_29402, _T_29381) @[exu_mul_ctl.scala 137:112] + node _T_29404 = add(_T_29403, _T_29382) @[exu_mul_ctl.scala 137:112] + node _T_29405 = add(_T_29404, _T_29383) @[exu_mul_ctl.scala 137:112] + node _T_29406 = add(_T_29405, _T_29384) @[exu_mul_ctl.scala 137:112] + node _T_29407 = add(_T_29406, _T_29385) @[exu_mul_ctl.scala 137:112] + node _T_29408 = add(_T_29407, _T_29386) @[exu_mul_ctl.scala 137:112] + node _T_29409 = add(_T_29408, _T_29387) @[exu_mul_ctl.scala 137:112] + node _T_29410 = eq(_T_29409, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29411 = bits(_T_29410, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29412 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_29413 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29414 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29415 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29416 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29417 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29418 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29419 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29420 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29421 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29422 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29423 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29424 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29425 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29426 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29427 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29428 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29429 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29430 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29431 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29432 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29433 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29434 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29435 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29436 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29437 = add(_T_29413, _T_29414) @[exu_mul_ctl.scala 137:112] + node _T_29438 = add(_T_29437, _T_29415) @[exu_mul_ctl.scala 137:112] + node _T_29439 = add(_T_29438, _T_29416) @[exu_mul_ctl.scala 137:112] + node _T_29440 = add(_T_29439, _T_29417) @[exu_mul_ctl.scala 137:112] + node _T_29441 = add(_T_29440, _T_29418) @[exu_mul_ctl.scala 137:112] + node _T_29442 = add(_T_29441, _T_29419) @[exu_mul_ctl.scala 137:112] + node _T_29443 = add(_T_29442, _T_29420) @[exu_mul_ctl.scala 137:112] + node _T_29444 = add(_T_29443, _T_29421) @[exu_mul_ctl.scala 137:112] + node _T_29445 = add(_T_29444, _T_29422) @[exu_mul_ctl.scala 137:112] + node _T_29446 = add(_T_29445, _T_29423) @[exu_mul_ctl.scala 137:112] + node _T_29447 = add(_T_29446, _T_29424) @[exu_mul_ctl.scala 137:112] + node _T_29448 = add(_T_29447, _T_29425) @[exu_mul_ctl.scala 137:112] + node _T_29449 = add(_T_29448, _T_29426) @[exu_mul_ctl.scala 137:112] + node _T_29450 = add(_T_29449, _T_29427) @[exu_mul_ctl.scala 137:112] + node _T_29451 = add(_T_29450, _T_29428) @[exu_mul_ctl.scala 137:112] + node _T_29452 = add(_T_29451, _T_29429) @[exu_mul_ctl.scala 137:112] + node _T_29453 = add(_T_29452, _T_29430) @[exu_mul_ctl.scala 137:112] + node _T_29454 = add(_T_29453, _T_29431) @[exu_mul_ctl.scala 137:112] + node _T_29455 = add(_T_29454, _T_29432) @[exu_mul_ctl.scala 137:112] + node _T_29456 = add(_T_29455, _T_29433) @[exu_mul_ctl.scala 137:112] + node _T_29457 = add(_T_29456, _T_29434) @[exu_mul_ctl.scala 137:112] + node _T_29458 = add(_T_29457, _T_29435) @[exu_mul_ctl.scala 137:112] + node _T_29459 = add(_T_29458, _T_29436) @[exu_mul_ctl.scala 137:112] + node _T_29460 = eq(_T_29459, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29461 = bits(_T_29460, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29462 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_29463 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29464 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29465 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29466 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29467 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29468 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29469 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29470 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29471 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29472 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29473 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29474 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29475 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29476 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29477 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29478 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29479 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29480 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29481 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29482 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29483 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29484 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29485 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29486 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29487 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29488 = add(_T_29463, _T_29464) @[exu_mul_ctl.scala 137:112] + node _T_29489 = add(_T_29488, _T_29465) @[exu_mul_ctl.scala 137:112] + node _T_29490 = add(_T_29489, _T_29466) @[exu_mul_ctl.scala 137:112] + node _T_29491 = add(_T_29490, _T_29467) @[exu_mul_ctl.scala 137:112] + node _T_29492 = add(_T_29491, _T_29468) @[exu_mul_ctl.scala 137:112] + node _T_29493 = add(_T_29492, _T_29469) @[exu_mul_ctl.scala 137:112] + node _T_29494 = add(_T_29493, _T_29470) @[exu_mul_ctl.scala 137:112] + node _T_29495 = add(_T_29494, _T_29471) @[exu_mul_ctl.scala 137:112] + node _T_29496 = add(_T_29495, _T_29472) @[exu_mul_ctl.scala 137:112] + node _T_29497 = add(_T_29496, _T_29473) @[exu_mul_ctl.scala 137:112] + node _T_29498 = add(_T_29497, _T_29474) @[exu_mul_ctl.scala 137:112] + node _T_29499 = add(_T_29498, _T_29475) @[exu_mul_ctl.scala 137:112] + node _T_29500 = add(_T_29499, _T_29476) @[exu_mul_ctl.scala 137:112] + node _T_29501 = add(_T_29500, _T_29477) @[exu_mul_ctl.scala 137:112] + node _T_29502 = add(_T_29501, _T_29478) @[exu_mul_ctl.scala 137:112] + node _T_29503 = add(_T_29502, _T_29479) @[exu_mul_ctl.scala 137:112] + node _T_29504 = add(_T_29503, _T_29480) @[exu_mul_ctl.scala 137:112] + node _T_29505 = add(_T_29504, _T_29481) @[exu_mul_ctl.scala 137:112] + node _T_29506 = add(_T_29505, _T_29482) @[exu_mul_ctl.scala 137:112] + node _T_29507 = add(_T_29506, _T_29483) @[exu_mul_ctl.scala 137:112] + node _T_29508 = add(_T_29507, _T_29484) @[exu_mul_ctl.scala 137:112] + node _T_29509 = add(_T_29508, _T_29485) @[exu_mul_ctl.scala 137:112] + node _T_29510 = add(_T_29509, _T_29486) @[exu_mul_ctl.scala 137:112] + node _T_29511 = add(_T_29510, _T_29487) @[exu_mul_ctl.scala 137:112] + node _T_29512 = eq(_T_29511, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29513 = bits(_T_29512, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29514 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_29515 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29516 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29517 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29518 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29519 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29520 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29521 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29522 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29523 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29524 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29525 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29526 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29527 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29528 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29529 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29530 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29531 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29532 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29533 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29534 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29535 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29536 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29537 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29538 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29539 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29540 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29541 = add(_T_29515, _T_29516) @[exu_mul_ctl.scala 137:112] + node _T_29542 = add(_T_29541, _T_29517) @[exu_mul_ctl.scala 137:112] + node _T_29543 = add(_T_29542, _T_29518) @[exu_mul_ctl.scala 137:112] + node _T_29544 = add(_T_29543, _T_29519) @[exu_mul_ctl.scala 137:112] + node _T_29545 = add(_T_29544, _T_29520) @[exu_mul_ctl.scala 137:112] + node _T_29546 = add(_T_29545, _T_29521) @[exu_mul_ctl.scala 137:112] + node _T_29547 = add(_T_29546, _T_29522) @[exu_mul_ctl.scala 137:112] + node _T_29548 = add(_T_29547, _T_29523) @[exu_mul_ctl.scala 137:112] + node _T_29549 = add(_T_29548, _T_29524) @[exu_mul_ctl.scala 137:112] + node _T_29550 = add(_T_29549, _T_29525) @[exu_mul_ctl.scala 137:112] + node _T_29551 = add(_T_29550, _T_29526) @[exu_mul_ctl.scala 137:112] + node _T_29552 = add(_T_29551, _T_29527) @[exu_mul_ctl.scala 137:112] + node _T_29553 = add(_T_29552, _T_29528) @[exu_mul_ctl.scala 137:112] + node _T_29554 = add(_T_29553, _T_29529) @[exu_mul_ctl.scala 137:112] + node _T_29555 = add(_T_29554, _T_29530) @[exu_mul_ctl.scala 137:112] + node _T_29556 = add(_T_29555, _T_29531) @[exu_mul_ctl.scala 137:112] + node _T_29557 = add(_T_29556, _T_29532) @[exu_mul_ctl.scala 137:112] + node _T_29558 = add(_T_29557, _T_29533) @[exu_mul_ctl.scala 137:112] + node _T_29559 = add(_T_29558, _T_29534) @[exu_mul_ctl.scala 137:112] + node _T_29560 = add(_T_29559, _T_29535) @[exu_mul_ctl.scala 137:112] + node _T_29561 = add(_T_29560, _T_29536) @[exu_mul_ctl.scala 137:112] + node _T_29562 = add(_T_29561, _T_29537) @[exu_mul_ctl.scala 137:112] + node _T_29563 = add(_T_29562, _T_29538) @[exu_mul_ctl.scala 137:112] + node _T_29564 = add(_T_29563, _T_29539) @[exu_mul_ctl.scala 137:112] + node _T_29565 = add(_T_29564, _T_29540) @[exu_mul_ctl.scala 137:112] + node _T_29566 = eq(_T_29565, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29567 = bits(_T_29566, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29568 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_29569 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29570 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29571 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29572 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29573 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29574 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29575 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29576 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29577 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29578 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29579 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29580 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29581 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29582 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29583 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29584 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29585 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29586 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29587 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29588 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29589 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29590 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29591 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29592 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29593 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29594 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29595 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29596 = add(_T_29569, _T_29570) @[exu_mul_ctl.scala 137:112] + node _T_29597 = add(_T_29596, _T_29571) @[exu_mul_ctl.scala 137:112] + node _T_29598 = add(_T_29597, _T_29572) @[exu_mul_ctl.scala 137:112] + node _T_29599 = add(_T_29598, _T_29573) @[exu_mul_ctl.scala 137:112] + node _T_29600 = add(_T_29599, _T_29574) @[exu_mul_ctl.scala 137:112] + node _T_29601 = add(_T_29600, _T_29575) @[exu_mul_ctl.scala 137:112] + node _T_29602 = add(_T_29601, _T_29576) @[exu_mul_ctl.scala 137:112] + node _T_29603 = add(_T_29602, _T_29577) @[exu_mul_ctl.scala 137:112] + node _T_29604 = add(_T_29603, _T_29578) @[exu_mul_ctl.scala 137:112] + node _T_29605 = add(_T_29604, _T_29579) @[exu_mul_ctl.scala 137:112] + node _T_29606 = add(_T_29605, _T_29580) @[exu_mul_ctl.scala 137:112] + node _T_29607 = add(_T_29606, _T_29581) @[exu_mul_ctl.scala 137:112] + node _T_29608 = add(_T_29607, _T_29582) @[exu_mul_ctl.scala 137:112] + node _T_29609 = add(_T_29608, _T_29583) @[exu_mul_ctl.scala 137:112] + node _T_29610 = add(_T_29609, _T_29584) @[exu_mul_ctl.scala 137:112] + node _T_29611 = add(_T_29610, _T_29585) @[exu_mul_ctl.scala 137:112] + node _T_29612 = add(_T_29611, _T_29586) @[exu_mul_ctl.scala 137:112] + node _T_29613 = add(_T_29612, _T_29587) @[exu_mul_ctl.scala 137:112] + node _T_29614 = add(_T_29613, _T_29588) @[exu_mul_ctl.scala 137:112] + node _T_29615 = add(_T_29614, _T_29589) @[exu_mul_ctl.scala 137:112] + node _T_29616 = add(_T_29615, _T_29590) @[exu_mul_ctl.scala 137:112] + node _T_29617 = add(_T_29616, _T_29591) @[exu_mul_ctl.scala 137:112] + node _T_29618 = add(_T_29617, _T_29592) @[exu_mul_ctl.scala 137:112] + node _T_29619 = add(_T_29618, _T_29593) @[exu_mul_ctl.scala 137:112] + node _T_29620 = add(_T_29619, _T_29594) @[exu_mul_ctl.scala 137:112] + node _T_29621 = add(_T_29620, _T_29595) @[exu_mul_ctl.scala 137:112] + node _T_29622 = eq(_T_29621, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29623 = bits(_T_29622, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29624 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_29625 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29626 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29627 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29628 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29629 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29630 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29631 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29632 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29633 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29634 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29635 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29636 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29637 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29638 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29639 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29640 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29641 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29642 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29643 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29644 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29645 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29646 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29647 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29648 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29649 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29650 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29651 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29652 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_29653 = add(_T_29625, _T_29626) @[exu_mul_ctl.scala 137:112] + node _T_29654 = add(_T_29653, _T_29627) @[exu_mul_ctl.scala 137:112] + node _T_29655 = add(_T_29654, _T_29628) @[exu_mul_ctl.scala 137:112] + node _T_29656 = add(_T_29655, _T_29629) @[exu_mul_ctl.scala 137:112] + node _T_29657 = add(_T_29656, _T_29630) @[exu_mul_ctl.scala 137:112] + node _T_29658 = add(_T_29657, _T_29631) @[exu_mul_ctl.scala 137:112] + node _T_29659 = add(_T_29658, _T_29632) @[exu_mul_ctl.scala 137:112] + node _T_29660 = add(_T_29659, _T_29633) @[exu_mul_ctl.scala 137:112] + node _T_29661 = add(_T_29660, _T_29634) @[exu_mul_ctl.scala 137:112] + node _T_29662 = add(_T_29661, _T_29635) @[exu_mul_ctl.scala 137:112] + node _T_29663 = add(_T_29662, _T_29636) @[exu_mul_ctl.scala 137:112] + node _T_29664 = add(_T_29663, _T_29637) @[exu_mul_ctl.scala 137:112] + node _T_29665 = add(_T_29664, _T_29638) @[exu_mul_ctl.scala 137:112] + node _T_29666 = add(_T_29665, _T_29639) @[exu_mul_ctl.scala 137:112] + node _T_29667 = add(_T_29666, _T_29640) @[exu_mul_ctl.scala 137:112] + node _T_29668 = add(_T_29667, _T_29641) @[exu_mul_ctl.scala 137:112] + node _T_29669 = add(_T_29668, _T_29642) @[exu_mul_ctl.scala 137:112] + node _T_29670 = add(_T_29669, _T_29643) @[exu_mul_ctl.scala 137:112] + node _T_29671 = add(_T_29670, _T_29644) @[exu_mul_ctl.scala 137:112] + node _T_29672 = add(_T_29671, _T_29645) @[exu_mul_ctl.scala 137:112] + node _T_29673 = add(_T_29672, _T_29646) @[exu_mul_ctl.scala 137:112] + node _T_29674 = add(_T_29673, _T_29647) @[exu_mul_ctl.scala 137:112] + node _T_29675 = add(_T_29674, _T_29648) @[exu_mul_ctl.scala 137:112] + node _T_29676 = add(_T_29675, _T_29649) @[exu_mul_ctl.scala 137:112] + node _T_29677 = add(_T_29676, _T_29650) @[exu_mul_ctl.scala 137:112] + node _T_29678 = add(_T_29677, _T_29651) @[exu_mul_ctl.scala 137:112] + node _T_29679 = add(_T_29678, _T_29652) @[exu_mul_ctl.scala 137:112] + node _T_29680 = eq(_T_29679, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29681 = bits(_T_29680, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29682 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_29683 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29684 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29685 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29686 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29687 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29688 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29689 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29690 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29691 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29692 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29693 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29694 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29695 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29696 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29697 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29698 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29699 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29700 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29701 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29702 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29703 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29704 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29705 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29706 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29707 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29708 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29709 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29710 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_29711 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_29712 = add(_T_29683, _T_29684) @[exu_mul_ctl.scala 137:112] + node _T_29713 = add(_T_29712, _T_29685) @[exu_mul_ctl.scala 137:112] + node _T_29714 = add(_T_29713, _T_29686) @[exu_mul_ctl.scala 137:112] + node _T_29715 = add(_T_29714, _T_29687) @[exu_mul_ctl.scala 137:112] + node _T_29716 = add(_T_29715, _T_29688) @[exu_mul_ctl.scala 137:112] + node _T_29717 = add(_T_29716, _T_29689) @[exu_mul_ctl.scala 137:112] + node _T_29718 = add(_T_29717, _T_29690) @[exu_mul_ctl.scala 137:112] + node _T_29719 = add(_T_29718, _T_29691) @[exu_mul_ctl.scala 137:112] + node _T_29720 = add(_T_29719, _T_29692) @[exu_mul_ctl.scala 137:112] + node _T_29721 = add(_T_29720, _T_29693) @[exu_mul_ctl.scala 137:112] + node _T_29722 = add(_T_29721, _T_29694) @[exu_mul_ctl.scala 137:112] + node _T_29723 = add(_T_29722, _T_29695) @[exu_mul_ctl.scala 137:112] + node _T_29724 = add(_T_29723, _T_29696) @[exu_mul_ctl.scala 137:112] + node _T_29725 = add(_T_29724, _T_29697) @[exu_mul_ctl.scala 137:112] + node _T_29726 = add(_T_29725, _T_29698) @[exu_mul_ctl.scala 137:112] + node _T_29727 = add(_T_29726, _T_29699) @[exu_mul_ctl.scala 137:112] + node _T_29728 = add(_T_29727, _T_29700) @[exu_mul_ctl.scala 137:112] + node _T_29729 = add(_T_29728, _T_29701) @[exu_mul_ctl.scala 137:112] + node _T_29730 = add(_T_29729, _T_29702) @[exu_mul_ctl.scala 137:112] + node _T_29731 = add(_T_29730, _T_29703) @[exu_mul_ctl.scala 137:112] + node _T_29732 = add(_T_29731, _T_29704) @[exu_mul_ctl.scala 137:112] + node _T_29733 = add(_T_29732, _T_29705) @[exu_mul_ctl.scala 137:112] + node _T_29734 = add(_T_29733, _T_29706) @[exu_mul_ctl.scala 137:112] + node _T_29735 = add(_T_29734, _T_29707) @[exu_mul_ctl.scala 137:112] + node _T_29736 = add(_T_29735, _T_29708) @[exu_mul_ctl.scala 137:112] + node _T_29737 = add(_T_29736, _T_29709) @[exu_mul_ctl.scala 137:112] + node _T_29738 = add(_T_29737, _T_29710) @[exu_mul_ctl.scala 137:112] + node _T_29739 = add(_T_29738, _T_29711) @[exu_mul_ctl.scala 137:112] + node _T_29740 = eq(_T_29739, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29741 = bits(_T_29740, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29742 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_29743 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29744 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29745 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29746 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29747 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29748 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29749 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29750 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29751 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29752 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29753 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29754 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29755 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29756 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29757 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29758 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29759 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29760 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29761 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29762 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29763 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29764 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29765 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29766 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29767 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29768 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29769 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29770 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_29771 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_29772 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_29773 = add(_T_29743, _T_29744) @[exu_mul_ctl.scala 137:112] + node _T_29774 = add(_T_29773, _T_29745) @[exu_mul_ctl.scala 137:112] + node _T_29775 = add(_T_29774, _T_29746) @[exu_mul_ctl.scala 137:112] + node _T_29776 = add(_T_29775, _T_29747) @[exu_mul_ctl.scala 137:112] + node _T_29777 = add(_T_29776, _T_29748) @[exu_mul_ctl.scala 137:112] + node _T_29778 = add(_T_29777, _T_29749) @[exu_mul_ctl.scala 137:112] + node _T_29779 = add(_T_29778, _T_29750) @[exu_mul_ctl.scala 137:112] + node _T_29780 = add(_T_29779, _T_29751) @[exu_mul_ctl.scala 137:112] + node _T_29781 = add(_T_29780, _T_29752) @[exu_mul_ctl.scala 137:112] + node _T_29782 = add(_T_29781, _T_29753) @[exu_mul_ctl.scala 137:112] + node _T_29783 = add(_T_29782, _T_29754) @[exu_mul_ctl.scala 137:112] + node _T_29784 = add(_T_29783, _T_29755) @[exu_mul_ctl.scala 137:112] + node _T_29785 = add(_T_29784, _T_29756) @[exu_mul_ctl.scala 137:112] + node _T_29786 = add(_T_29785, _T_29757) @[exu_mul_ctl.scala 137:112] + node _T_29787 = add(_T_29786, _T_29758) @[exu_mul_ctl.scala 137:112] + node _T_29788 = add(_T_29787, _T_29759) @[exu_mul_ctl.scala 137:112] + node _T_29789 = add(_T_29788, _T_29760) @[exu_mul_ctl.scala 137:112] + node _T_29790 = add(_T_29789, _T_29761) @[exu_mul_ctl.scala 137:112] + node _T_29791 = add(_T_29790, _T_29762) @[exu_mul_ctl.scala 137:112] + node _T_29792 = add(_T_29791, _T_29763) @[exu_mul_ctl.scala 137:112] + node _T_29793 = add(_T_29792, _T_29764) @[exu_mul_ctl.scala 137:112] + node _T_29794 = add(_T_29793, _T_29765) @[exu_mul_ctl.scala 137:112] + node _T_29795 = add(_T_29794, _T_29766) @[exu_mul_ctl.scala 137:112] + node _T_29796 = add(_T_29795, _T_29767) @[exu_mul_ctl.scala 137:112] + node _T_29797 = add(_T_29796, _T_29768) @[exu_mul_ctl.scala 137:112] + node _T_29798 = add(_T_29797, _T_29769) @[exu_mul_ctl.scala 137:112] + node _T_29799 = add(_T_29798, _T_29770) @[exu_mul_ctl.scala 137:112] + node _T_29800 = add(_T_29799, _T_29771) @[exu_mul_ctl.scala 137:112] + node _T_29801 = add(_T_29800, _T_29772) @[exu_mul_ctl.scala 137:112] + node _T_29802 = eq(_T_29801, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29803 = bits(_T_29802, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29804 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_29805 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29806 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29807 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29808 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29809 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29810 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29811 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29812 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29813 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29814 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29815 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29816 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29817 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29818 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29819 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29820 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29821 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29822 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29823 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29824 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29825 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29826 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29827 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29828 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29829 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29830 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29831 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29832 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_29833 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_29834 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_29835 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_29836 = add(_T_29805, _T_29806) @[exu_mul_ctl.scala 137:112] + node _T_29837 = add(_T_29836, _T_29807) @[exu_mul_ctl.scala 137:112] + node _T_29838 = add(_T_29837, _T_29808) @[exu_mul_ctl.scala 137:112] + node _T_29839 = add(_T_29838, _T_29809) @[exu_mul_ctl.scala 137:112] + node _T_29840 = add(_T_29839, _T_29810) @[exu_mul_ctl.scala 137:112] + node _T_29841 = add(_T_29840, _T_29811) @[exu_mul_ctl.scala 137:112] + node _T_29842 = add(_T_29841, _T_29812) @[exu_mul_ctl.scala 137:112] + node _T_29843 = add(_T_29842, _T_29813) @[exu_mul_ctl.scala 137:112] + node _T_29844 = add(_T_29843, _T_29814) @[exu_mul_ctl.scala 137:112] + node _T_29845 = add(_T_29844, _T_29815) @[exu_mul_ctl.scala 137:112] + node _T_29846 = add(_T_29845, _T_29816) @[exu_mul_ctl.scala 137:112] + node _T_29847 = add(_T_29846, _T_29817) @[exu_mul_ctl.scala 137:112] + node _T_29848 = add(_T_29847, _T_29818) @[exu_mul_ctl.scala 137:112] + node _T_29849 = add(_T_29848, _T_29819) @[exu_mul_ctl.scala 137:112] + node _T_29850 = add(_T_29849, _T_29820) @[exu_mul_ctl.scala 137:112] + node _T_29851 = add(_T_29850, _T_29821) @[exu_mul_ctl.scala 137:112] + node _T_29852 = add(_T_29851, _T_29822) @[exu_mul_ctl.scala 137:112] + node _T_29853 = add(_T_29852, _T_29823) @[exu_mul_ctl.scala 137:112] + node _T_29854 = add(_T_29853, _T_29824) @[exu_mul_ctl.scala 137:112] + node _T_29855 = add(_T_29854, _T_29825) @[exu_mul_ctl.scala 137:112] + node _T_29856 = add(_T_29855, _T_29826) @[exu_mul_ctl.scala 137:112] + node _T_29857 = add(_T_29856, _T_29827) @[exu_mul_ctl.scala 137:112] + node _T_29858 = add(_T_29857, _T_29828) @[exu_mul_ctl.scala 137:112] + node _T_29859 = add(_T_29858, _T_29829) @[exu_mul_ctl.scala 137:112] + node _T_29860 = add(_T_29859, _T_29830) @[exu_mul_ctl.scala 137:112] + node _T_29861 = add(_T_29860, _T_29831) @[exu_mul_ctl.scala 137:112] + node _T_29862 = add(_T_29861, _T_29832) @[exu_mul_ctl.scala 137:112] + node _T_29863 = add(_T_29862, _T_29833) @[exu_mul_ctl.scala 137:112] + node _T_29864 = add(_T_29863, _T_29834) @[exu_mul_ctl.scala 137:112] + node _T_29865 = add(_T_29864, _T_29835) @[exu_mul_ctl.scala 137:112] + node _T_29866 = eq(_T_29865, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29867 = bits(_T_29866, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29868 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_29869 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29870 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29871 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29872 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29873 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_29874 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_29875 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_29876 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_29877 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_29878 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_29879 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_29880 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_29881 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_29882 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_29883 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_29884 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_29885 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_29886 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_29887 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_29888 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_29889 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_29890 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_29891 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_29892 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_29893 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_29894 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_29895 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_29896 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_29897 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_29898 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_29899 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_29900 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_29901 = add(_T_29869, _T_29870) @[exu_mul_ctl.scala 137:112] + node _T_29902 = add(_T_29901, _T_29871) @[exu_mul_ctl.scala 137:112] + node _T_29903 = add(_T_29902, _T_29872) @[exu_mul_ctl.scala 137:112] + node _T_29904 = add(_T_29903, _T_29873) @[exu_mul_ctl.scala 137:112] + node _T_29905 = add(_T_29904, _T_29874) @[exu_mul_ctl.scala 137:112] + node _T_29906 = add(_T_29905, _T_29875) @[exu_mul_ctl.scala 137:112] + node _T_29907 = add(_T_29906, _T_29876) @[exu_mul_ctl.scala 137:112] + node _T_29908 = add(_T_29907, _T_29877) @[exu_mul_ctl.scala 137:112] + node _T_29909 = add(_T_29908, _T_29878) @[exu_mul_ctl.scala 137:112] + node _T_29910 = add(_T_29909, _T_29879) @[exu_mul_ctl.scala 137:112] + node _T_29911 = add(_T_29910, _T_29880) @[exu_mul_ctl.scala 137:112] + node _T_29912 = add(_T_29911, _T_29881) @[exu_mul_ctl.scala 137:112] + node _T_29913 = add(_T_29912, _T_29882) @[exu_mul_ctl.scala 137:112] + node _T_29914 = add(_T_29913, _T_29883) @[exu_mul_ctl.scala 137:112] + node _T_29915 = add(_T_29914, _T_29884) @[exu_mul_ctl.scala 137:112] + node _T_29916 = add(_T_29915, _T_29885) @[exu_mul_ctl.scala 137:112] + node _T_29917 = add(_T_29916, _T_29886) @[exu_mul_ctl.scala 137:112] + node _T_29918 = add(_T_29917, _T_29887) @[exu_mul_ctl.scala 137:112] + node _T_29919 = add(_T_29918, _T_29888) @[exu_mul_ctl.scala 137:112] + node _T_29920 = add(_T_29919, _T_29889) @[exu_mul_ctl.scala 137:112] + node _T_29921 = add(_T_29920, _T_29890) @[exu_mul_ctl.scala 137:112] + node _T_29922 = add(_T_29921, _T_29891) @[exu_mul_ctl.scala 137:112] + node _T_29923 = add(_T_29922, _T_29892) @[exu_mul_ctl.scala 137:112] + node _T_29924 = add(_T_29923, _T_29893) @[exu_mul_ctl.scala 137:112] + node _T_29925 = add(_T_29924, _T_29894) @[exu_mul_ctl.scala 137:112] + node _T_29926 = add(_T_29925, _T_29895) @[exu_mul_ctl.scala 137:112] + node _T_29927 = add(_T_29926, _T_29896) @[exu_mul_ctl.scala 137:112] + node _T_29928 = add(_T_29927, _T_29897) @[exu_mul_ctl.scala 137:112] + node _T_29929 = add(_T_29928, _T_29898) @[exu_mul_ctl.scala 137:112] + node _T_29930 = add(_T_29929, _T_29899) @[exu_mul_ctl.scala 137:112] + node _T_29931 = add(_T_29930, _T_29900) @[exu_mul_ctl.scala 137:112] + node _T_29932 = eq(_T_29931, UInt<5>("h01a")) @[exu_mul_ctl.scala 138:87] + node _T_29933 = bits(_T_29932, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29934 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_29935 = mux(_T_29933, _T_29934, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_29936 = mux(_T_29867, _T_29868, _T_29935) @[Mux.scala 98:16] + node _T_29937 = mux(_T_29803, _T_29804, _T_29936) @[Mux.scala 98:16] + node _T_29938 = mux(_T_29741, _T_29742, _T_29937) @[Mux.scala 98:16] + node _T_29939 = mux(_T_29681, _T_29682, _T_29938) @[Mux.scala 98:16] + node _T_29940 = mux(_T_29623, _T_29624, _T_29939) @[Mux.scala 98:16] + node _T_29941 = mux(_T_29567, _T_29568, _T_29940) @[Mux.scala 98:16] + node _T_29942 = mux(_T_29513, _T_29514, _T_29941) @[Mux.scala 98:16] + node _T_29943 = mux(_T_29461, _T_29462, _T_29942) @[Mux.scala 98:16] + node _T_29944 = mux(_T_29411, _T_29412, _T_29943) @[Mux.scala 98:16] + node _T_29945 = mux(_T_29363, _T_29364, _T_29944) @[Mux.scala 98:16] + node _T_29946 = mux(_T_29317, _T_29318, _T_29945) @[Mux.scala 98:16] + node _T_29947 = mux(_T_29273, _T_29274, _T_29946) @[Mux.scala 98:16] + node _T_29948 = mux(_T_29231, _T_29232, _T_29947) @[Mux.scala 98:16] + node _T_29949 = mux(_T_29191, _T_29192, _T_29948) @[Mux.scala 98:16] + node _T_29950 = mux(_T_29153, _T_29154, _T_29949) @[Mux.scala 98:16] + node _T_29951 = mux(_T_29117, _T_29118, _T_29950) @[Mux.scala 98:16] + node _T_29952 = mux(_T_29083, _T_29084, _T_29951) @[Mux.scala 98:16] + node _T_29953 = mux(_T_29051, _T_29052, _T_29952) @[Mux.scala 98:16] + node _T_29954 = mux(_T_29021, _T_29022, _T_29953) @[Mux.scala 98:16] + node _T_29955 = mux(_T_28993, _T_28994, _T_29954) @[Mux.scala 98:16] + node _T_29956 = mux(_T_28967, _T_28968, _T_29955) @[Mux.scala 98:16] + node _T_29957 = mux(_T_28943, _T_28944, _T_29956) @[Mux.scala 98:16] + node _T_29958 = mux(_T_28921, _T_28922, _T_29957) @[Mux.scala 98:16] + node _T_29959 = mux(_T_28901, _T_28902, _T_29958) @[Mux.scala 98:16] + node _T_29960 = mux(_T_28883, _T_28884, _T_29959) @[Mux.scala 98:16] + node _T_29961 = mux(_T_28867, _T_28868, _T_29960) @[Mux.scala 98:16] + node _T_29962 = mux(_T_28853, _T_28854, _T_29961) @[Mux.scala 98:16] + node _T_29963 = mux(_T_28841, _T_28842, _T_29962) @[Mux.scala 98:16] + node _T_29964 = mux(_T_28831, _T_28832, _T_29963) @[Mux.scala 98:16] + node _T_29965 = mux(_T_28823, _T_28824, _T_29964) @[Mux.scala 98:16] + node _T_29966 = mux(_T_28817, _T_28818, _T_29965) @[Mux.scala 98:16] + node _T_29967 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_29968 = eq(_T_29967, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_29969 = bits(_T_29968, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29970 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_29971 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29972 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29973 = add(_T_29971, _T_29972) @[exu_mul_ctl.scala 137:112] + node _T_29974 = eq(_T_29973, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_29975 = bits(_T_29974, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29976 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_29977 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29978 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29979 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29980 = add(_T_29977, _T_29978) @[exu_mul_ctl.scala 137:112] + node _T_29981 = add(_T_29980, _T_29979) @[exu_mul_ctl.scala 137:112] + node _T_29982 = eq(_T_29981, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_29983 = bits(_T_29982, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29984 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_29985 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29986 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29987 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29988 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29989 = add(_T_29985, _T_29986) @[exu_mul_ctl.scala 137:112] + node _T_29990 = add(_T_29989, _T_29987) @[exu_mul_ctl.scala 137:112] + node _T_29991 = add(_T_29990, _T_29988) @[exu_mul_ctl.scala 137:112] + node _T_29992 = eq(_T_29991, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_29993 = bits(_T_29992, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_29994 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_29995 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_29996 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_29997 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_29998 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_29999 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30000 = add(_T_29995, _T_29996) @[exu_mul_ctl.scala 137:112] + node _T_30001 = add(_T_30000, _T_29997) @[exu_mul_ctl.scala 137:112] + node _T_30002 = add(_T_30001, _T_29998) @[exu_mul_ctl.scala 137:112] + node _T_30003 = add(_T_30002, _T_29999) @[exu_mul_ctl.scala 137:112] + node _T_30004 = eq(_T_30003, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30005 = bits(_T_30004, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30006 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_30007 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30008 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30009 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30010 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30011 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30012 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30013 = add(_T_30007, _T_30008) @[exu_mul_ctl.scala 137:112] + node _T_30014 = add(_T_30013, _T_30009) @[exu_mul_ctl.scala 137:112] + node _T_30015 = add(_T_30014, _T_30010) @[exu_mul_ctl.scala 137:112] + node _T_30016 = add(_T_30015, _T_30011) @[exu_mul_ctl.scala 137:112] + node _T_30017 = add(_T_30016, _T_30012) @[exu_mul_ctl.scala 137:112] + node _T_30018 = eq(_T_30017, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30019 = bits(_T_30018, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30020 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_30021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30028 = add(_T_30021, _T_30022) @[exu_mul_ctl.scala 137:112] + node _T_30029 = add(_T_30028, _T_30023) @[exu_mul_ctl.scala 137:112] + node _T_30030 = add(_T_30029, _T_30024) @[exu_mul_ctl.scala 137:112] + node _T_30031 = add(_T_30030, _T_30025) @[exu_mul_ctl.scala 137:112] + node _T_30032 = add(_T_30031, _T_30026) @[exu_mul_ctl.scala 137:112] + node _T_30033 = add(_T_30032, _T_30027) @[exu_mul_ctl.scala 137:112] + node _T_30034 = eq(_T_30033, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30035 = bits(_T_30034, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30036 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_30037 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30038 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30039 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30040 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30041 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30042 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30043 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30044 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30045 = add(_T_30037, _T_30038) @[exu_mul_ctl.scala 137:112] + node _T_30046 = add(_T_30045, _T_30039) @[exu_mul_ctl.scala 137:112] + node _T_30047 = add(_T_30046, _T_30040) @[exu_mul_ctl.scala 137:112] + node _T_30048 = add(_T_30047, _T_30041) @[exu_mul_ctl.scala 137:112] + node _T_30049 = add(_T_30048, _T_30042) @[exu_mul_ctl.scala 137:112] + node _T_30050 = add(_T_30049, _T_30043) @[exu_mul_ctl.scala 137:112] + node _T_30051 = add(_T_30050, _T_30044) @[exu_mul_ctl.scala 137:112] + node _T_30052 = eq(_T_30051, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30053 = bits(_T_30052, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30054 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_30055 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30056 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30057 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30058 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30059 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30060 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30061 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30062 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30063 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30064 = add(_T_30055, _T_30056) @[exu_mul_ctl.scala 137:112] + node _T_30065 = add(_T_30064, _T_30057) @[exu_mul_ctl.scala 137:112] + node _T_30066 = add(_T_30065, _T_30058) @[exu_mul_ctl.scala 137:112] + node _T_30067 = add(_T_30066, _T_30059) @[exu_mul_ctl.scala 137:112] + node _T_30068 = add(_T_30067, _T_30060) @[exu_mul_ctl.scala 137:112] + node _T_30069 = add(_T_30068, _T_30061) @[exu_mul_ctl.scala 137:112] + node _T_30070 = add(_T_30069, _T_30062) @[exu_mul_ctl.scala 137:112] + node _T_30071 = add(_T_30070, _T_30063) @[exu_mul_ctl.scala 137:112] + node _T_30072 = eq(_T_30071, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30073 = bits(_T_30072, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30074 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_30075 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30076 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30077 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30078 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30079 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30080 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30081 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30082 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30083 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30084 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30085 = add(_T_30075, _T_30076) @[exu_mul_ctl.scala 137:112] + node _T_30086 = add(_T_30085, _T_30077) @[exu_mul_ctl.scala 137:112] + node _T_30087 = add(_T_30086, _T_30078) @[exu_mul_ctl.scala 137:112] + node _T_30088 = add(_T_30087, _T_30079) @[exu_mul_ctl.scala 137:112] + node _T_30089 = add(_T_30088, _T_30080) @[exu_mul_ctl.scala 137:112] + node _T_30090 = add(_T_30089, _T_30081) @[exu_mul_ctl.scala 137:112] + node _T_30091 = add(_T_30090, _T_30082) @[exu_mul_ctl.scala 137:112] + node _T_30092 = add(_T_30091, _T_30083) @[exu_mul_ctl.scala 137:112] + node _T_30093 = add(_T_30092, _T_30084) @[exu_mul_ctl.scala 137:112] + node _T_30094 = eq(_T_30093, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30095 = bits(_T_30094, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30096 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_30097 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30098 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30099 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30100 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30101 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30102 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30103 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30104 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30105 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30106 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30107 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30108 = add(_T_30097, _T_30098) @[exu_mul_ctl.scala 137:112] + node _T_30109 = add(_T_30108, _T_30099) @[exu_mul_ctl.scala 137:112] + node _T_30110 = add(_T_30109, _T_30100) @[exu_mul_ctl.scala 137:112] + node _T_30111 = add(_T_30110, _T_30101) @[exu_mul_ctl.scala 137:112] + node _T_30112 = add(_T_30111, _T_30102) @[exu_mul_ctl.scala 137:112] + node _T_30113 = add(_T_30112, _T_30103) @[exu_mul_ctl.scala 137:112] + node _T_30114 = add(_T_30113, _T_30104) @[exu_mul_ctl.scala 137:112] + node _T_30115 = add(_T_30114, _T_30105) @[exu_mul_ctl.scala 137:112] + node _T_30116 = add(_T_30115, _T_30106) @[exu_mul_ctl.scala 137:112] + node _T_30117 = add(_T_30116, _T_30107) @[exu_mul_ctl.scala 137:112] + node _T_30118 = eq(_T_30117, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30119 = bits(_T_30118, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30120 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_30121 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30122 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30123 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30124 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30125 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30126 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30127 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30128 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30129 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30130 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30131 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30132 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30133 = add(_T_30121, _T_30122) @[exu_mul_ctl.scala 137:112] + node _T_30134 = add(_T_30133, _T_30123) @[exu_mul_ctl.scala 137:112] + node _T_30135 = add(_T_30134, _T_30124) @[exu_mul_ctl.scala 137:112] + node _T_30136 = add(_T_30135, _T_30125) @[exu_mul_ctl.scala 137:112] + node _T_30137 = add(_T_30136, _T_30126) @[exu_mul_ctl.scala 137:112] + node _T_30138 = add(_T_30137, _T_30127) @[exu_mul_ctl.scala 137:112] + node _T_30139 = add(_T_30138, _T_30128) @[exu_mul_ctl.scala 137:112] + node _T_30140 = add(_T_30139, _T_30129) @[exu_mul_ctl.scala 137:112] + node _T_30141 = add(_T_30140, _T_30130) @[exu_mul_ctl.scala 137:112] + node _T_30142 = add(_T_30141, _T_30131) @[exu_mul_ctl.scala 137:112] + node _T_30143 = add(_T_30142, _T_30132) @[exu_mul_ctl.scala 137:112] + node _T_30144 = eq(_T_30143, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30145 = bits(_T_30144, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30146 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_30147 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30148 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30149 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30150 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30151 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30152 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30153 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30154 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30155 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30156 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30157 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30158 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30159 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30160 = add(_T_30147, _T_30148) @[exu_mul_ctl.scala 137:112] + node _T_30161 = add(_T_30160, _T_30149) @[exu_mul_ctl.scala 137:112] + node _T_30162 = add(_T_30161, _T_30150) @[exu_mul_ctl.scala 137:112] + node _T_30163 = add(_T_30162, _T_30151) @[exu_mul_ctl.scala 137:112] + node _T_30164 = add(_T_30163, _T_30152) @[exu_mul_ctl.scala 137:112] + node _T_30165 = add(_T_30164, _T_30153) @[exu_mul_ctl.scala 137:112] + node _T_30166 = add(_T_30165, _T_30154) @[exu_mul_ctl.scala 137:112] + node _T_30167 = add(_T_30166, _T_30155) @[exu_mul_ctl.scala 137:112] + node _T_30168 = add(_T_30167, _T_30156) @[exu_mul_ctl.scala 137:112] + node _T_30169 = add(_T_30168, _T_30157) @[exu_mul_ctl.scala 137:112] + node _T_30170 = add(_T_30169, _T_30158) @[exu_mul_ctl.scala 137:112] + node _T_30171 = add(_T_30170, _T_30159) @[exu_mul_ctl.scala 137:112] + node _T_30172 = eq(_T_30171, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30173 = bits(_T_30172, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30174 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_30175 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30176 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30177 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30178 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30179 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30180 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30181 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30182 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30183 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30184 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30185 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30186 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30187 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30188 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30189 = add(_T_30175, _T_30176) @[exu_mul_ctl.scala 137:112] + node _T_30190 = add(_T_30189, _T_30177) @[exu_mul_ctl.scala 137:112] + node _T_30191 = add(_T_30190, _T_30178) @[exu_mul_ctl.scala 137:112] + node _T_30192 = add(_T_30191, _T_30179) @[exu_mul_ctl.scala 137:112] + node _T_30193 = add(_T_30192, _T_30180) @[exu_mul_ctl.scala 137:112] + node _T_30194 = add(_T_30193, _T_30181) @[exu_mul_ctl.scala 137:112] + node _T_30195 = add(_T_30194, _T_30182) @[exu_mul_ctl.scala 137:112] + node _T_30196 = add(_T_30195, _T_30183) @[exu_mul_ctl.scala 137:112] + node _T_30197 = add(_T_30196, _T_30184) @[exu_mul_ctl.scala 137:112] + node _T_30198 = add(_T_30197, _T_30185) @[exu_mul_ctl.scala 137:112] + node _T_30199 = add(_T_30198, _T_30186) @[exu_mul_ctl.scala 137:112] + node _T_30200 = add(_T_30199, _T_30187) @[exu_mul_ctl.scala 137:112] + node _T_30201 = add(_T_30200, _T_30188) @[exu_mul_ctl.scala 137:112] + node _T_30202 = eq(_T_30201, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30203 = bits(_T_30202, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30204 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_30205 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30206 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30207 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30208 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30209 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30210 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30211 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30212 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30213 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30214 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30215 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30216 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30217 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30218 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30219 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30220 = add(_T_30205, _T_30206) @[exu_mul_ctl.scala 137:112] + node _T_30221 = add(_T_30220, _T_30207) @[exu_mul_ctl.scala 137:112] + node _T_30222 = add(_T_30221, _T_30208) @[exu_mul_ctl.scala 137:112] + node _T_30223 = add(_T_30222, _T_30209) @[exu_mul_ctl.scala 137:112] + node _T_30224 = add(_T_30223, _T_30210) @[exu_mul_ctl.scala 137:112] + node _T_30225 = add(_T_30224, _T_30211) @[exu_mul_ctl.scala 137:112] + node _T_30226 = add(_T_30225, _T_30212) @[exu_mul_ctl.scala 137:112] + node _T_30227 = add(_T_30226, _T_30213) @[exu_mul_ctl.scala 137:112] + node _T_30228 = add(_T_30227, _T_30214) @[exu_mul_ctl.scala 137:112] + node _T_30229 = add(_T_30228, _T_30215) @[exu_mul_ctl.scala 137:112] + node _T_30230 = add(_T_30229, _T_30216) @[exu_mul_ctl.scala 137:112] + node _T_30231 = add(_T_30230, _T_30217) @[exu_mul_ctl.scala 137:112] + node _T_30232 = add(_T_30231, _T_30218) @[exu_mul_ctl.scala 137:112] + node _T_30233 = add(_T_30232, _T_30219) @[exu_mul_ctl.scala 137:112] + node _T_30234 = eq(_T_30233, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30235 = bits(_T_30234, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30236 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_30237 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30238 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30239 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30240 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30241 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30242 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30243 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30244 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30245 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30246 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30247 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30248 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30249 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30250 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30251 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30252 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30253 = add(_T_30237, _T_30238) @[exu_mul_ctl.scala 137:112] + node _T_30254 = add(_T_30253, _T_30239) @[exu_mul_ctl.scala 137:112] + node _T_30255 = add(_T_30254, _T_30240) @[exu_mul_ctl.scala 137:112] + node _T_30256 = add(_T_30255, _T_30241) @[exu_mul_ctl.scala 137:112] + node _T_30257 = add(_T_30256, _T_30242) @[exu_mul_ctl.scala 137:112] + node _T_30258 = add(_T_30257, _T_30243) @[exu_mul_ctl.scala 137:112] + node _T_30259 = add(_T_30258, _T_30244) @[exu_mul_ctl.scala 137:112] + node _T_30260 = add(_T_30259, _T_30245) @[exu_mul_ctl.scala 137:112] + node _T_30261 = add(_T_30260, _T_30246) @[exu_mul_ctl.scala 137:112] + node _T_30262 = add(_T_30261, _T_30247) @[exu_mul_ctl.scala 137:112] + node _T_30263 = add(_T_30262, _T_30248) @[exu_mul_ctl.scala 137:112] + node _T_30264 = add(_T_30263, _T_30249) @[exu_mul_ctl.scala 137:112] + node _T_30265 = add(_T_30264, _T_30250) @[exu_mul_ctl.scala 137:112] + node _T_30266 = add(_T_30265, _T_30251) @[exu_mul_ctl.scala 137:112] + node _T_30267 = add(_T_30266, _T_30252) @[exu_mul_ctl.scala 137:112] + node _T_30268 = eq(_T_30267, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30269 = bits(_T_30268, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30270 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_30271 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30272 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30273 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30274 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30275 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30276 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30277 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30278 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30279 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30280 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30281 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30282 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30283 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30284 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30285 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30286 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30287 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30288 = add(_T_30271, _T_30272) @[exu_mul_ctl.scala 137:112] + node _T_30289 = add(_T_30288, _T_30273) @[exu_mul_ctl.scala 137:112] + node _T_30290 = add(_T_30289, _T_30274) @[exu_mul_ctl.scala 137:112] + node _T_30291 = add(_T_30290, _T_30275) @[exu_mul_ctl.scala 137:112] + node _T_30292 = add(_T_30291, _T_30276) @[exu_mul_ctl.scala 137:112] + node _T_30293 = add(_T_30292, _T_30277) @[exu_mul_ctl.scala 137:112] + node _T_30294 = add(_T_30293, _T_30278) @[exu_mul_ctl.scala 137:112] + node _T_30295 = add(_T_30294, _T_30279) @[exu_mul_ctl.scala 137:112] + node _T_30296 = add(_T_30295, _T_30280) @[exu_mul_ctl.scala 137:112] + node _T_30297 = add(_T_30296, _T_30281) @[exu_mul_ctl.scala 137:112] + node _T_30298 = add(_T_30297, _T_30282) @[exu_mul_ctl.scala 137:112] + node _T_30299 = add(_T_30298, _T_30283) @[exu_mul_ctl.scala 137:112] + node _T_30300 = add(_T_30299, _T_30284) @[exu_mul_ctl.scala 137:112] + node _T_30301 = add(_T_30300, _T_30285) @[exu_mul_ctl.scala 137:112] + node _T_30302 = add(_T_30301, _T_30286) @[exu_mul_ctl.scala 137:112] + node _T_30303 = add(_T_30302, _T_30287) @[exu_mul_ctl.scala 137:112] + node _T_30304 = eq(_T_30303, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30305 = bits(_T_30304, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30306 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_30307 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30308 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30309 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30310 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30311 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30312 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30313 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30314 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30315 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30316 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30317 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30318 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30319 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30320 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30321 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30322 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30323 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30324 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30325 = add(_T_30307, _T_30308) @[exu_mul_ctl.scala 137:112] + node _T_30326 = add(_T_30325, _T_30309) @[exu_mul_ctl.scala 137:112] + node _T_30327 = add(_T_30326, _T_30310) @[exu_mul_ctl.scala 137:112] + node _T_30328 = add(_T_30327, _T_30311) @[exu_mul_ctl.scala 137:112] + node _T_30329 = add(_T_30328, _T_30312) @[exu_mul_ctl.scala 137:112] + node _T_30330 = add(_T_30329, _T_30313) @[exu_mul_ctl.scala 137:112] + node _T_30331 = add(_T_30330, _T_30314) @[exu_mul_ctl.scala 137:112] + node _T_30332 = add(_T_30331, _T_30315) @[exu_mul_ctl.scala 137:112] + node _T_30333 = add(_T_30332, _T_30316) @[exu_mul_ctl.scala 137:112] + node _T_30334 = add(_T_30333, _T_30317) @[exu_mul_ctl.scala 137:112] + node _T_30335 = add(_T_30334, _T_30318) @[exu_mul_ctl.scala 137:112] + node _T_30336 = add(_T_30335, _T_30319) @[exu_mul_ctl.scala 137:112] + node _T_30337 = add(_T_30336, _T_30320) @[exu_mul_ctl.scala 137:112] + node _T_30338 = add(_T_30337, _T_30321) @[exu_mul_ctl.scala 137:112] + node _T_30339 = add(_T_30338, _T_30322) @[exu_mul_ctl.scala 137:112] + node _T_30340 = add(_T_30339, _T_30323) @[exu_mul_ctl.scala 137:112] + node _T_30341 = add(_T_30340, _T_30324) @[exu_mul_ctl.scala 137:112] + node _T_30342 = eq(_T_30341, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30343 = bits(_T_30342, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30344 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_30345 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30346 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30347 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30348 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30349 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30350 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30351 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30352 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30353 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30354 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30355 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30356 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30357 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30358 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30359 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30360 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30361 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30362 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30363 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30364 = add(_T_30345, _T_30346) @[exu_mul_ctl.scala 137:112] + node _T_30365 = add(_T_30364, _T_30347) @[exu_mul_ctl.scala 137:112] + node _T_30366 = add(_T_30365, _T_30348) @[exu_mul_ctl.scala 137:112] + node _T_30367 = add(_T_30366, _T_30349) @[exu_mul_ctl.scala 137:112] + node _T_30368 = add(_T_30367, _T_30350) @[exu_mul_ctl.scala 137:112] + node _T_30369 = add(_T_30368, _T_30351) @[exu_mul_ctl.scala 137:112] + node _T_30370 = add(_T_30369, _T_30352) @[exu_mul_ctl.scala 137:112] + node _T_30371 = add(_T_30370, _T_30353) @[exu_mul_ctl.scala 137:112] + node _T_30372 = add(_T_30371, _T_30354) @[exu_mul_ctl.scala 137:112] + node _T_30373 = add(_T_30372, _T_30355) @[exu_mul_ctl.scala 137:112] + node _T_30374 = add(_T_30373, _T_30356) @[exu_mul_ctl.scala 137:112] + node _T_30375 = add(_T_30374, _T_30357) @[exu_mul_ctl.scala 137:112] + node _T_30376 = add(_T_30375, _T_30358) @[exu_mul_ctl.scala 137:112] + node _T_30377 = add(_T_30376, _T_30359) @[exu_mul_ctl.scala 137:112] + node _T_30378 = add(_T_30377, _T_30360) @[exu_mul_ctl.scala 137:112] + node _T_30379 = add(_T_30378, _T_30361) @[exu_mul_ctl.scala 137:112] + node _T_30380 = add(_T_30379, _T_30362) @[exu_mul_ctl.scala 137:112] + node _T_30381 = add(_T_30380, _T_30363) @[exu_mul_ctl.scala 137:112] + node _T_30382 = eq(_T_30381, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30383 = bits(_T_30382, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30384 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_30385 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30386 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30387 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30388 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30389 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30390 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30391 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30392 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30393 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30394 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30395 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30396 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30397 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30398 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30399 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30400 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30401 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30402 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30403 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30404 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30405 = add(_T_30385, _T_30386) @[exu_mul_ctl.scala 137:112] + node _T_30406 = add(_T_30405, _T_30387) @[exu_mul_ctl.scala 137:112] + node _T_30407 = add(_T_30406, _T_30388) @[exu_mul_ctl.scala 137:112] + node _T_30408 = add(_T_30407, _T_30389) @[exu_mul_ctl.scala 137:112] + node _T_30409 = add(_T_30408, _T_30390) @[exu_mul_ctl.scala 137:112] + node _T_30410 = add(_T_30409, _T_30391) @[exu_mul_ctl.scala 137:112] + node _T_30411 = add(_T_30410, _T_30392) @[exu_mul_ctl.scala 137:112] + node _T_30412 = add(_T_30411, _T_30393) @[exu_mul_ctl.scala 137:112] + node _T_30413 = add(_T_30412, _T_30394) @[exu_mul_ctl.scala 137:112] + node _T_30414 = add(_T_30413, _T_30395) @[exu_mul_ctl.scala 137:112] + node _T_30415 = add(_T_30414, _T_30396) @[exu_mul_ctl.scala 137:112] + node _T_30416 = add(_T_30415, _T_30397) @[exu_mul_ctl.scala 137:112] + node _T_30417 = add(_T_30416, _T_30398) @[exu_mul_ctl.scala 137:112] + node _T_30418 = add(_T_30417, _T_30399) @[exu_mul_ctl.scala 137:112] + node _T_30419 = add(_T_30418, _T_30400) @[exu_mul_ctl.scala 137:112] + node _T_30420 = add(_T_30419, _T_30401) @[exu_mul_ctl.scala 137:112] + node _T_30421 = add(_T_30420, _T_30402) @[exu_mul_ctl.scala 137:112] + node _T_30422 = add(_T_30421, _T_30403) @[exu_mul_ctl.scala 137:112] + node _T_30423 = add(_T_30422, _T_30404) @[exu_mul_ctl.scala 137:112] + node _T_30424 = eq(_T_30423, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30425 = bits(_T_30424, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30426 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_30427 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30428 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30429 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30430 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30431 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30432 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30433 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30434 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30435 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30436 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30437 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30438 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30439 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30440 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30441 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30442 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30443 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30444 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30445 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30446 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30447 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30448 = add(_T_30427, _T_30428) @[exu_mul_ctl.scala 137:112] + node _T_30449 = add(_T_30448, _T_30429) @[exu_mul_ctl.scala 137:112] + node _T_30450 = add(_T_30449, _T_30430) @[exu_mul_ctl.scala 137:112] + node _T_30451 = add(_T_30450, _T_30431) @[exu_mul_ctl.scala 137:112] + node _T_30452 = add(_T_30451, _T_30432) @[exu_mul_ctl.scala 137:112] + node _T_30453 = add(_T_30452, _T_30433) @[exu_mul_ctl.scala 137:112] + node _T_30454 = add(_T_30453, _T_30434) @[exu_mul_ctl.scala 137:112] + node _T_30455 = add(_T_30454, _T_30435) @[exu_mul_ctl.scala 137:112] + node _T_30456 = add(_T_30455, _T_30436) @[exu_mul_ctl.scala 137:112] + node _T_30457 = add(_T_30456, _T_30437) @[exu_mul_ctl.scala 137:112] + node _T_30458 = add(_T_30457, _T_30438) @[exu_mul_ctl.scala 137:112] + node _T_30459 = add(_T_30458, _T_30439) @[exu_mul_ctl.scala 137:112] + node _T_30460 = add(_T_30459, _T_30440) @[exu_mul_ctl.scala 137:112] + node _T_30461 = add(_T_30460, _T_30441) @[exu_mul_ctl.scala 137:112] + node _T_30462 = add(_T_30461, _T_30442) @[exu_mul_ctl.scala 137:112] + node _T_30463 = add(_T_30462, _T_30443) @[exu_mul_ctl.scala 137:112] + node _T_30464 = add(_T_30463, _T_30444) @[exu_mul_ctl.scala 137:112] + node _T_30465 = add(_T_30464, _T_30445) @[exu_mul_ctl.scala 137:112] + node _T_30466 = add(_T_30465, _T_30446) @[exu_mul_ctl.scala 137:112] + node _T_30467 = add(_T_30466, _T_30447) @[exu_mul_ctl.scala 137:112] + node _T_30468 = eq(_T_30467, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30469 = bits(_T_30468, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30470 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_30471 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30472 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30473 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30474 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30475 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30476 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30477 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30478 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30479 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30480 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30481 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30482 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30483 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30484 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30485 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30486 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30487 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30488 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30489 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30490 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30491 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30492 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30493 = add(_T_30471, _T_30472) @[exu_mul_ctl.scala 137:112] + node _T_30494 = add(_T_30493, _T_30473) @[exu_mul_ctl.scala 137:112] + node _T_30495 = add(_T_30494, _T_30474) @[exu_mul_ctl.scala 137:112] + node _T_30496 = add(_T_30495, _T_30475) @[exu_mul_ctl.scala 137:112] + node _T_30497 = add(_T_30496, _T_30476) @[exu_mul_ctl.scala 137:112] + node _T_30498 = add(_T_30497, _T_30477) @[exu_mul_ctl.scala 137:112] + node _T_30499 = add(_T_30498, _T_30478) @[exu_mul_ctl.scala 137:112] + node _T_30500 = add(_T_30499, _T_30479) @[exu_mul_ctl.scala 137:112] + node _T_30501 = add(_T_30500, _T_30480) @[exu_mul_ctl.scala 137:112] + node _T_30502 = add(_T_30501, _T_30481) @[exu_mul_ctl.scala 137:112] + node _T_30503 = add(_T_30502, _T_30482) @[exu_mul_ctl.scala 137:112] + node _T_30504 = add(_T_30503, _T_30483) @[exu_mul_ctl.scala 137:112] + node _T_30505 = add(_T_30504, _T_30484) @[exu_mul_ctl.scala 137:112] + node _T_30506 = add(_T_30505, _T_30485) @[exu_mul_ctl.scala 137:112] + node _T_30507 = add(_T_30506, _T_30486) @[exu_mul_ctl.scala 137:112] + node _T_30508 = add(_T_30507, _T_30487) @[exu_mul_ctl.scala 137:112] + node _T_30509 = add(_T_30508, _T_30488) @[exu_mul_ctl.scala 137:112] + node _T_30510 = add(_T_30509, _T_30489) @[exu_mul_ctl.scala 137:112] + node _T_30511 = add(_T_30510, _T_30490) @[exu_mul_ctl.scala 137:112] + node _T_30512 = add(_T_30511, _T_30491) @[exu_mul_ctl.scala 137:112] + node _T_30513 = add(_T_30512, _T_30492) @[exu_mul_ctl.scala 137:112] + node _T_30514 = eq(_T_30513, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30515 = bits(_T_30514, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30516 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_30517 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30518 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30519 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30520 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30521 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30522 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30523 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30524 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30525 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30526 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30527 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30528 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30529 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30530 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30531 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30532 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30533 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30534 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30535 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30536 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30537 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30538 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30539 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30540 = add(_T_30517, _T_30518) @[exu_mul_ctl.scala 137:112] + node _T_30541 = add(_T_30540, _T_30519) @[exu_mul_ctl.scala 137:112] + node _T_30542 = add(_T_30541, _T_30520) @[exu_mul_ctl.scala 137:112] + node _T_30543 = add(_T_30542, _T_30521) @[exu_mul_ctl.scala 137:112] + node _T_30544 = add(_T_30543, _T_30522) @[exu_mul_ctl.scala 137:112] + node _T_30545 = add(_T_30544, _T_30523) @[exu_mul_ctl.scala 137:112] + node _T_30546 = add(_T_30545, _T_30524) @[exu_mul_ctl.scala 137:112] + node _T_30547 = add(_T_30546, _T_30525) @[exu_mul_ctl.scala 137:112] + node _T_30548 = add(_T_30547, _T_30526) @[exu_mul_ctl.scala 137:112] + node _T_30549 = add(_T_30548, _T_30527) @[exu_mul_ctl.scala 137:112] + node _T_30550 = add(_T_30549, _T_30528) @[exu_mul_ctl.scala 137:112] + node _T_30551 = add(_T_30550, _T_30529) @[exu_mul_ctl.scala 137:112] + node _T_30552 = add(_T_30551, _T_30530) @[exu_mul_ctl.scala 137:112] + node _T_30553 = add(_T_30552, _T_30531) @[exu_mul_ctl.scala 137:112] + node _T_30554 = add(_T_30553, _T_30532) @[exu_mul_ctl.scala 137:112] + node _T_30555 = add(_T_30554, _T_30533) @[exu_mul_ctl.scala 137:112] + node _T_30556 = add(_T_30555, _T_30534) @[exu_mul_ctl.scala 137:112] + node _T_30557 = add(_T_30556, _T_30535) @[exu_mul_ctl.scala 137:112] + node _T_30558 = add(_T_30557, _T_30536) @[exu_mul_ctl.scala 137:112] + node _T_30559 = add(_T_30558, _T_30537) @[exu_mul_ctl.scala 137:112] + node _T_30560 = add(_T_30559, _T_30538) @[exu_mul_ctl.scala 137:112] + node _T_30561 = add(_T_30560, _T_30539) @[exu_mul_ctl.scala 137:112] + node _T_30562 = eq(_T_30561, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30563 = bits(_T_30562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30564 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_30565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30572 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30573 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30574 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30575 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30576 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30577 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30578 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30579 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30580 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30581 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30582 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30583 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30584 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30585 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30586 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30587 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30588 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30589 = add(_T_30565, _T_30566) @[exu_mul_ctl.scala 137:112] + node _T_30590 = add(_T_30589, _T_30567) @[exu_mul_ctl.scala 137:112] + node _T_30591 = add(_T_30590, _T_30568) @[exu_mul_ctl.scala 137:112] + node _T_30592 = add(_T_30591, _T_30569) @[exu_mul_ctl.scala 137:112] + node _T_30593 = add(_T_30592, _T_30570) @[exu_mul_ctl.scala 137:112] + node _T_30594 = add(_T_30593, _T_30571) @[exu_mul_ctl.scala 137:112] + node _T_30595 = add(_T_30594, _T_30572) @[exu_mul_ctl.scala 137:112] + node _T_30596 = add(_T_30595, _T_30573) @[exu_mul_ctl.scala 137:112] + node _T_30597 = add(_T_30596, _T_30574) @[exu_mul_ctl.scala 137:112] + node _T_30598 = add(_T_30597, _T_30575) @[exu_mul_ctl.scala 137:112] + node _T_30599 = add(_T_30598, _T_30576) @[exu_mul_ctl.scala 137:112] + node _T_30600 = add(_T_30599, _T_30577) @[exu_mul_ctl.scala 137:112] + node _T_30601 = add(_T_30600, _T_30578) @[exu_mul_ctl.scala 137:112] + node _T_30602 = add(_T_30601, _T_30579) @[exu_mul_ctl.scala 137:112] + node _T_30603 = add(_T_30602, _T_30580) @[exu_mul_ctl.scala 137:112] + node _T_30604 = add(_T_30603, _T_30581) @[exu_mul_ctl.scala 137:112] + node _T_30605 = add(_T_30604, _T_30582) @[exu_mul_ctl.scala 137:112] + node _T_30606 = add(_T_30605, _T_30583) @[exu_mul_ctl.scala 137:112] + node _T_30607 = add(_T_30606, _T_30584) @[exu_mul_ctl.scala 137:112] + node _T_30608 = add(_T_30607, _T_30585) @[exu_mul_ctl.scala 137:112] + node _T_30609 = add(_T_30608, _T_30586) @[exu_mul_ctl.scala 137:112] + node _T_30610 = add(_T_30609, _T_30587) @[exu_mul_ctl.scala 137:112] + node _T_30611 = add(_T_30610, _T_30588) @[exu_mul_ctl.scala 137:112] + node _T_30612 = eq(_T_30611, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30613 = bits(_T_30612, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30614 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_30615 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30616 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30617 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30618 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30619 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30620 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30621 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30622 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30623 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30624 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30625 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30626 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30627 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30628 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30629 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30630 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30631 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30632 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30633 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30634 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30635 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30636 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30637 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30638 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30639 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30640 = add(_T_30615, _T_30616) @[exu_mul_ctl.scala 137:112] + node _T_30641 = add(_T_30640, _T_30617) @[exu_mul_ctl.scala 137:112] + node _T_30642 = add(_T_30641, _T_30618) @[exu_mul_ctl.scala 137:112] + node _T_30643 = add(_T_30642, _T_30619) @[exu_mul_ctl.scala 137:112] + node _T_30644 = add(_T_30643, _T_30620) @[exu_mul_ctl.scala 137:112] + node _T_30645 = add(_T_30644, _T_30621) @[exu_mul_ctl.scala 137:112] + node _T_30646 = add(_T_30645, _T_30622) @[exu_mul_ctl.scala 137:112] + node _T_30647 = add(_T_30646, _T_30623) @[exu_mul_ctl.scala 137:112] + node _T_30648 = add(_T_30647, _T_30624) @[exu_mul_ctl.scala 137:112] + node _T_30649 = add(_T_30648, _T_30625) @[exu_mul_ctl.scala 137:112] + node _T_30650 = add(_T_30649, _T_30626) @[exu_mul_ctl.scala 137:112] + node _T_30651 = add(_T_30650, _T_30627) @[exu_mul_ctl.scala 137:112] + node _T_30652 = add(_T_30651, _T_30628) @[exu_mul_ctl.scala 137:112] + node _T_30653 = add(_T_30652, _T_30629) @[exu_mul_ctl.scala 137:112] + node _T_30654 = add(_T_30653, _T_30630) @[exu_mul_ctl.scala 137:112] + node _T_30655 = add(_T_30654, _T_30631) @[exu_mul_ctl.scala 137:112] + node _T_30656 = add(_T_30655, _T_30632) @[exu_mul_ctl.scala 137:112] + node _T_30657 = add(_T_30656, _T_30633) @[exu_mul_ctl.scala 137:112] + node _T_30658 = add(_T_30657, _T_30634) @[exu_mul_ctl.scala 137:112] + node _T_30659 = add(_T_30658, _T_30635) @[exu_mul_ctl.scala 137:112] + node _T_30660 = add(_T_30659, _T_30636) @[exu_mul_ctl.scala 137:112] + node _T_30661 = add(_T_30660, _T_30637) @[exu_mul_ctl.scala 137:112] + node _T_30662 = add(_T_30661, _T_30638) @[exu_mul_ctl.scala 137:112] + node _T_30663 = add(_T_30662, _T_30639) @[exu_mul_ctl.scala 137:112] + node _T_30664 = eq(_T_30663, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30665 = bits(_T_30664, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30666 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_30667 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30668 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30669 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30670 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30671 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30672 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30673 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30674 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30675 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30676 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30677 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30678 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30679 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30680 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30681 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30682 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30683 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30684 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30685 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30686 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30687 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30688 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30689 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30690 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30691 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30692 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30693 = add(_T_30667, _T_30668) @[exu_mul_ctl.scala 137:112] + node _T_30694 = add(_T_30693, _T_30669) @[exu_mul_ctl.scala 137:112] + node _T_30695 = add(_T_30694, _T_30670) @[exu_mul_ctl.scala 137:112] + node _T_30696 = add(_T_30695, _T_30671) @[exu_mul_ctl.scala 137:112] + node _T_30697 = add(_T_30696, _T_30672) @[exu_mul_ctl.scala 137:112] + node _T_30698 = add(_T_30697, _T_30673) @[exu_mul_ctl.scala 137:112] + node _T_30699 = add(_T_30698, _T_30674) @[exu_mul_ctl.scala 137:112] + node _T_30700 = add(_T_30699, _T_30675) @[exu_mul_ctl.scala 137:112] + node _T_30701 = add(_T_30700, _T_30676) @[exu_mul_ctl.scala 137:112] + node _T_30702 = add(_T_30701, _T_30677) @[exu_mul_ctl.scala 137:112] + node _T_30703 = add(_T_30702, _T_30678) @[exu_mul_ctl.scala 137:112] + node _T_30704 = add(_T_30703, _T_30679) @[exu_mul_ctl.scala 137:112] + node _T_30705 = add(_T_30704, _T_30680) @[exu_mul_ctl.scala 137:112] + node _T_30706 = add(_T_30705, _T_30681) @[exu_mul_ctl.scala 137:112] + node _T_30707 = add(_T_30706, _T_30682) @[exu_mul_ctl.scala 137:112] + node _T_30708 = add(_T_30707, _T_30683) @[exu_mul_ctl.scala 137:112] + node _T_30709 = add(_T_30708, _T_30684) @[exu_mul_ctl.scala 137:112] + node _T_30710 = add(_T_30709, _T_30685) @[exu_mul_ctl.scala 137:112] + node _T_30711 = add(_T_30710, _T_30686) @[exu_mul_ctl.scala 137:112] + node _T_30712 = add(_T_30711, _T_30687) @[exu_mul_ctl.scala 137:112] + node _T_30713 = add(_T_30712, _T_30688) @[exu_mul_ctl.scala 137:112] + node _T_30714 = add(_T_30713, _T_30689) @[exu_mul_ctl.scala 137:112] + node _T_30715 = add(_T_30714, _T_30690) @[exu_mul_ctl.scala 137:112] + node _T_30716 = add(_T_30715, _T_30691) @[exu_mul_ctl.scala 137:112] + node _T_30717 = add(_T_30716, _T_30692) @[exu_mul_ctl.scala 137:112] + node _T_30718 = eq(_T_30717, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30719 = bits(_T_30718, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30720 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_30721 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30722 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30723 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30724 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30725 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30726 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30727 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30728 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30729 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30730 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30731 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30732 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30733 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30734 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30735 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30736 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30737 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30738 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30739 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30740 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30741 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30742 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30743 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30744 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30745 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30746 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30747 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_30748 = add(_T_30721, _T_30722) @[exu_mul_ctl.scala 137:112] + node _T_30749 = add(_T_30748, _T_30723) @[exu_mul_ctl.scala 137:112] + node _T_30750 = add(_T_30749, _T_30724) @[exu_mul_ctl.scala 137:112] + node _T_30751 = add(_T_30750, _T_30725) @[exu_mul_ctl.scala 137:112] + node _T_30752 = add(_T_30751, _T_30726) @[exu_mul_ctl.scala 137:112] + node _T_30753 = add(_T_30752, _T_30727) @[exu_mul_ctl.scala 137:112] + node _T_30754 = add(_T_30753, _T_30728) @[exu_mul_ctl.scala 137:112] + node _T_30755 = add(_T_30754, _T_30729) @[exu_mul_ctl.scala 137:112] + node _T_30756 = add(_T_30755, _T_30730) @[exu_mul_ctl.scala 137:112] + node _T_30757 = add(_T_30756, _T_30731) @[exu_mul_ctl.scala 137:112] + node _T_30758 = add(_T_30757, _T_30732) @[exu_mul_ctl.scala 137:112] + node _T_30759 = add(_T_30758, _T_30733) @[exu_mul_ctl.scala 137:112] + node _T_30760 = add(_T_30759, _T_30734) @[exu_mul_ctl.scala 137:112] + node _T_30761 = add(_T_30760, _T_30735) @[exu_mul_ctl.scala 137:112] + node _T_30762 = add(_T_30761, _T_30736) @[exu_mul_ctl.scala 137:112] + node _T_30763 = add(_T_30762, _T_30737) @[exu_mul_ctl.scala 137:112] + node _T_30764 = add(_T_30763, _T_30738) @[exu_mul_ctl.scala 137:112] + node _T_30765 = add(_T_30764, _T_30739) @[exu_mul_ctl.scala 137:112] + node _T_30766 = add(_T_30765, _T_30740) @[exu_mul_ctl.scala 137:112] + node _T_30767 = add(_T_30766, _T_30741) @[exu_mul_ctl.scala 137:112] + node _T_30768 = add(_T_30767, _T_30742) @[exu_mul_ctl.scala 137:112] + node _T_30769 = add(_T_30768, _T_30743) @[exu_mul_ctl.scala 137:112] + node _T_30770 = add(_T_30769, _T_30744) @[exu_mul_ctl.scala 137:112] + node _T_30771 = add(_T_30770, _T_30745) @[exu_mul_ctl.scala 137:112] + node _T_30772 = add(_T_30771, _T_30746) @[exu_mul_ctl.scala 137:112] + node _T_30773 = add(_T_30772, _T_30747) @[exu_mul_ctl.scala 137:112] + node _T_30774 = eq(_T_30773, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30775 = bits(_T_30774, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30776 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_30777 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30778 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30779 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30780 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30781 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30782 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30783 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30784 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30785 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30786 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30787 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30788 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30789 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30790 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30791 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30792 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30793 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30794 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30795 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30796 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30797 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30798 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30799 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30800 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30801 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30802 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30803 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_30804 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_30805 = add(_T_30777, _T_30778) @[exu_mul_ctl.scala 137:112] + node _T_30806 = add(_T_30805, _T_30779) @[exu_mul_ctl.scala 137:112] + node _T_30807 = add(_T_30806, _T_30780) @[exu_mul_ctl.scala 137:112] + node _T_30808 = add(_T_30807, _T_30781) @[exu_mul_ctl.scala 137:112] + node _T_30809 = add(_T_30808, _T_30782) @[exu_mul_ctl.scala 137:112] + node _T_30810 = add(_T_30809, _T_30783) @[exu_mul_ctl.scala 137:112] + node _T_30811 = add(_T_30810, _T_30784) @[exu_mul_ctl.scala 137:112] + node _T_30812 = add(_T_30811, _T_30785) @[exu_mul_ctl.scala 137:112] + node _T_30813 = add(_T_30812, _T_30786) @[exu_mul_ctl.scala 137:112] + node _T_30814 = add(_T_30813, _T_30787) @[exu_mul_ctl.scala 137:112] + node _T_30815 = add(_T_30814, _T_30788) @[exu_mul_ctl.scala 137:112] + node _T_30816 = add(_T_30815, _T_30789) @[exu_mul_ctl.scala 137:112] + node _T_30817 = add(_T_30816, _T_30790) @[exu_mul_ctl.scala 137:112] + node _T_30818 = add(_T_30817, _T_30791) @[exu_mul_ctl.scala 137:112] + node _T_30819 = add(_T_30818, _T_30792) @[exu_mul_ctl.scala 137:112] + node _T_30820 = add(_T_30819, _T_30793) @[exu_mul_ctl.scala 137:112] + node _T_30821 = add(_T_30820, _T_30794) @[exu_mul_ctl.scala 137:112] + node _T_30822 = add(_T_30821, _T_30795) @[exu_mul_ctl.scala 137:112] + node _T_30823 = add(_T_30822, _T_30796) @[exu_mul_ctl.scala 137:112] + node _T_30824 = add(_T_30823, _T_30797) @[exu_mul_ctl.scala 137:112] + node _T_30825 = add(_T_30824, _T_30798) @[exu_mul_ctl.scala 137:112] + node _T_30826 = add(_T_30825, _T_30799) @[exu_mul_ctl.scala 137:112] + node _T_30827 = add(_T_30826, _T_30800) @[exu_mul_ctl.scala 137:112] + node _T_30828 = add(_T_30827, _T_30801) @[exu_mul_ctl.scala 137:112] + node _T_30829 = add(_T_30828, _T_30802) @[exu_mul_ctl.scala 137:112] + node _T_30830 = add(_T_30829, _T_30803) @[exu_mul_ctl.scala 137:112] + node _T_30831 = add(_T_30830, _T_30804) @[exu_mul_ctl.scala 137:112] + node _T_30832 = eq(_T_30831, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30833 = bits(_T_30832, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30834 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_30835 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30836 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30837 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30838 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30839 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30840 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30841 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30842 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30843 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30844 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30845 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30846 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30847 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30848 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30849 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30850 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30851 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30852 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30853 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30854 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30855 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30856 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30857 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30858 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30859 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30860 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30861 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_30862 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_30863 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_30864 = add(_T_30835, _T_30836) @[exu_mul_ctl.scala 137:112] + node _T_30865 = add(_T_30864, _T_30837) @[exu_mul_ctl.scala 137:112] + node _T_30866 = add(_T_30865, _T_30838) @[exu_mul_ctl.scala 137:112] + node _T_30867 = add(_T_30866, _T_30839) @[exu_mul_ctl.scala 137:112] + node _T_30868 = add(_T_30867, _T_30840) @[exu_mul_ctl.scala 137:112] + node _T_30869 = add(_T_30868, _T_30841) @[exu_mul_ctl.scala 137:112] + node _T_30870 = add(_T_30869, _T_30842) @[exu_mul_ctl.scala 137:112] + node _T_30871 = add(_T_30870, _T_30843) @[exu_mul_ctl.scala 137:112] + node _T_30872 = add(_T_30871, _T_30844) @[exu_mul_ctl.scala 137:112] + node _T_30873 = add(_T_30872, _T_30845) @[exu_mul_ctl.scala 137:112] + node _T_30874 = add(_T_30873, _T_30846) @[exu_mul_ctl.scala 137:112] + node _T_30875 = add(_T_30874, _T_30847) @[exu_mul_ctl.scala 137:112] + node _T_30876 = add(_T_30875, _T_30848) @[exu_mul_ctl.scala 137:112] + node _T_30877 = add(_T_30876, _T_30849) @[exu_mul_ctl.scala 137:112] + node _T_30878 = add(_T_30877, _T_30850) @[exu_mul_ctl.scala 137:112] + node _T_30879 = add(_T_30878, _T_30851) @[exu_mul_ctl.scala 137:112] + node _T_30880 = add(_T_30879, _T_30852) @[exu_mul_ctl.scala 137:112] + node _T_30881 = add(_T_30880, _T_30853) @[exu_mul_ctl.scala 137:112] + node _T_30882 = add(_T_30881, _T_30854) @[exu_mul_ctl.scala 137:112] + node _T_30883 = add(_T_30882, _T_30855) @[exu_mul_ctl.scala 137:112] + node _T_30884 = add(_T_30883, _T_30856) @[exu_mul_ctl.scala 137:112] + node _T_30885 = add(_T_30884, _T_30857) @[exu_mul_ctl.scala 137:112] + node _T_30886 = add(_T_30885, _T_30858) @[exu_mul_ctl.scala 137:112] + node _T_30887 = add(_T_30886, _T_30859) @[exu_mul_ctl.scala 137:112] + node _T_30888 = add(_T_30887, _T_30860) @[exu_mul_ctl.scala 137:112] + node _T_30889 = add(_T_30888, _T_30861) @[exu_mul_ctl.scala 137:112] + node _T_30890 = add(_T_30889, _T_30862) @[exu_mul_ctl.scala 137:112] + node _T_30891 = add(_T_30890, _T_30863) @[exu_mul_ctl.scala 137:112] + node _T_30892 = eq(_T_30891, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30893 = bits(_T_30892, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30894 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_30895 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30896 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30897 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30898 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30899 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30900 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30901 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30902 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30903 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30904 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30905 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30906 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30907 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30908 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30909 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30910 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30911 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30912 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30913 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30914 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30915 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30916 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30917 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30918 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30919 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30920 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30921 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_30922 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_30923 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_30924 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_30925 = add(_T_30895, _T_30896) @[exu_mul_ctl.scala 137:112] + node _T_30926 = add(_T_30925, _T_30897) @[exu_mul_ctl.scala 137:112] + node _T_30927 = add(_T_30926, _T_30898) @[exu_mul_ctl.scala 137:112] + node _T_30928 = add(_T_30927, _T_30899) @[exu_mul_ctl.scala 137:112] + node _T_30929 = add(_T_30928, _T_30900) @[exu_mul_ctl.scala 137:112] + node _T_30930 = add(_T_30929, _T_30901) @[exu_mul_ctl.scala 137:112] + node _T_30931 = add(_T_30930, _T_30902) @[exu_mul_ctl.scala 137:112] + node _T_30932 = add(_T_30931, _T_30903) @[exu_mul_ctl.scala 137:112] + node _T_30933 = add(_T_30932, _T_30904) @[exu_mul_ctl.scala 137:112] + node _T_30934 = add(_T_30933, _T_30905) @[exu_mul_ctl.scala 137:112] + node _T_30935 = add(_T_30934, _T_30906) @[exu_mul_ctl.scala 137:112] + node _T_30936 = add(_T_30935, _T_30907) @[exu_mul_ctl.scala 137:112] + node _T_30937 = add(_T_30936, _T_30908) @[exu_mul_ctl.scala 137:112] + node _T_30938 = add(_T_30937, _T_30909) @[exu_mul_ctl.scala 137:112] + node _T_30939 = add(_T_30938, _T_30910) @[exu_mul_ctl.scala 137:112] + node _T_30940 = add(_T_30939, _T_30911) @[exu_mul_ctl.scala 137:112] + node _T_30941 = add(_T_30940, _T_30912) @[exu_mul_ctl.scala 137:112] + node _T_30942 = add(_T_30941, _T_30913) @[exu_mul_ctl.scala 137:112] + node _T_30943 = add(_T_30942, _T_30914) @[exu_mul_ctl.scala 137:112] + node _T_30944 = add(_T_30943, _T_30915) @[exu_mul_ctl.scala 137:112] + node _T_30945 = add(_T_30944, _T_30916) @[exu_mul_ctl.scala 137:112] + node _T_30946 = add(_T_30945, _T_30917) @[exu_mul_ctl.scala 137:112] + node _T_30947 = add(_T_30946, _T_30918) @[exu_mul_ctl.scala 137:112] + node _T_30948 = add(_T_30947, _T_30919) @[exu_mul_ctl.scala 137:112] + node _T_30949 = add(_T_30948, _T_30920) @[exu_mul_ctl.scala 137:112] + node _T_30950 = add(_T_30949, _T_30921) @[exu_mul_ctl.scala 137:112] + node _T_30951 = add(_T_30950, _T_30922) @[exu_mul_ctl.scala 137:112] + node _T_30952 = add(_T_30951, _T_30923) @[exu_mul_ctl.scala 137:112] + node _T_30953 = add(_T_30952, _T_30924) @[exu_mul_ctl.scala 137:112] + node _T_30954 = eq(_T_30953, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_30955 = bits(_T_30954, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_30956 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_30957 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_30958 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_30959 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_30960 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_30961 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_30962 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_30963 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_30964 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_30965 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_30966 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_30967 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_30968 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_30969 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_30970 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_30971 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_30972 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_30973 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_30974 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_30975 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_30976 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_30977 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_30978 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_30979 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_30980 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_30981 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_30982 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_30983 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_30984 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_30985 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_30986 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_30987 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_30988 = add(_T_30957, _T_30958) @[exu_mul_ctl.scala 137:112] + node _T_30989 = add(_T_30988, _T_30959) @[exu_mul_ctl.scala 137:112] + node _T_30990 = add(_T_30989, _T_30960) @[exu_mul_ctl.scala 137:112] + node _T_30991 = add(_T_30990, _T_30961) @[exu_mul_ctl.scala 137:112] + node _T_30992 = add(_T_30991, _T_30962) @[exu_mul_ctl.scala 137:112] + node _T_30993 = add(_T_30992, _T_30963) @[exu_mul_ctl.scala 137:112] + node _T_30994 = add(_T_30993, _T_30964) @[exu_mul_ctl.scala 137:112] + node _T_30995 = add(_T_30994, _T_30965) @[exu_mul_ctl.scala 137:112] + node _T_30996 = add(_T_30995, _T_30966) @[exu_mul_ctl.scala 137:112] + node _T_30997 = add(_T_30996, _T_30967) @[exu_mul_ctl.scala 137:112] + node _T_30998 = add(_T_30997, _T_30968) @[exu_mul_ctl.scala 137:112] + node _T_30999 = add(_T_30998, _T_30969) @[exu_mul_ctl.scala 137:112] + node _T_31000 = add(_T_30999, _T_30970) @[exu_mul_ctl.scala 137:112] + node _T_31001 = add(_T_31000, _T_30971) @[exu_mul_ctl.scala 137:112] + node _T_31002 = add(_T_31001, _T_30972) @[exu_mul_ctl.scala 137:112] + node _T_31003 = add(_T_31002, _T_30973) @[exu_mul_ctl.scala 137:112] + node _T_31004 = add(_T_31003, _T_30974) @[exu_mul_ctl.scala 137:112] + node _T_31005 = add(_T_31004, _T_30975) @[exu_mul_ctl.scala 137:112] + node _T_31006 = add(_T_31005, _T_30976) @[exu_mul_ctl.scala 137:112] + node _T_31007 = add(_T_31006, _T_30977) @[exu_mul_ctl.scala 137:112] + node _T_31008 = add(_T_31007, _T_30978) @[exu_mul_ctl.scala 137:112] + node _T_31009 = add(_T_31008, _T_30979) @[exu_mul_ctl.scala 137:112] + node _T_31010 = add(_T_31009, _T_30980) @[exu_mul_ctl.scala 137:112] + node _T_31011 = add(_T_31010, _T_30981) @[exu_mul_ctl.scala 137:112] + node _T_31012 = add(_T_31011, _T_30982) @[exu_mul_ctl.scala 137:112] + node _T_31013 = add(_T_31012, _T_30983) @[exu_mul_ctl.scala 137:112] + node _T_31014 = add(_T_31013, _T_30984) @[exu_mul_ctl.scala 137:112] + node _T_31015 = add(_T_31014, _T_30985) @[exu_mul_ctl.scala 137:112] + node _T_31016 = add(_T_31015, _T_30986) @[exu_mul_ctl.scala 137:112] + node _T_31017 = add(_T_31016, _T_30987) @[exu_mul_ctl.scala 137:112] + node _T_31018 = eq(_T_31017, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_31019 = bits(_T_31018, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31020 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_31021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31028 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31030 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31031 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31032 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31033 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31034 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31035 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31036 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31037 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31038 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31039 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31040 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31041 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31042 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31043 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31044 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31045 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_31046 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_31047 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_31048 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_31049 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_31050 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_31051 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_31052 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_31053 = add(_T_31021, _T_31022) @[exu_mul_ctl.scala 137:112] + node _T_31054 = add(_T_31053, _T_31023) @[exu_mul_ctl.scala 137:112] + node _T_31055 = add(_T_31054, _T_31024) @[exu_mul_ctl.scala 137:112] + node _T_31056 = add(_T_31055, _T_31025) @[exu_mul_ctl.scala 137:112] + node _T_31057 = add(_T_31056, _T_31026) @[exu_mul_ctl.scala 137:112] + node _T_31058 = add(_T_31057, _T_31027) @[exu_mul_ctl.scala 137:112] + node _T_31059 = add(_T_31058, _T_31028) @[exu_mul_ctl.scala 137:112] + node _T_31060 = add(_T_31059, _T_31029) @[exu_mul_ctl.scala 137:112] + node _T_31061 = add(_T_31060, _T_31030) @[exu_mul_ctl.scala 137:112] + node _T_31062 = add(_T_31061, _T_31031) @[exu_mul_ctl.scala 137:112] + node _T_31063 = add(_T_31062, _T_31032) @[exu_mul_ctl.scala 137:112] + node _T_31064 = add(_T_31063, _T_31033) @[exu_mul_ctl.scala 137:112] + node _T_31065 = add(_T_31064, _T_31034) @[exu_mul_ctl.scala 137:112] + node _T_31066 = add(_T_31065, _T_31035) @[exu_mul_ctl.scala 137:112] + node _T_31067 = add(_T_31066, _T_31036) @[exu_mul_ctl.scala 137:112] + node _T_31068 = add(_T_31067, _T_31037) @[exu_mul_ctl.scala 137:112] + node _T_31069 = add(_T_31068, _T_31038) @[exu_mul_ctl.scala 137:112] + node _T_31070 = add(_T_31069, _T_31039) @[exu_mul_ctl.scala 137:112] + node _T_31071 = add(_T_31070, _T_31040) @[exu_mul_ctl.scala 137:112] + node _T_31072 = add(_T_31071, _T_31041) @[exu_mul_ctl.scala 137:112] + node _T_31073 = add(_T_31072, _T_31042) @[exu_mul_ctl.scala 137:112] + node _T_31074 = add(_T_31073, _T_31043) @[exu_mul_ctl.scala 137:112] + node _T_31075 = add(_T_31074, _T_31044) @[exu_mul_ctl.scala 137:112] + node _T_31076 = add(_T_31075, _T_31045) @[exu_mul_ctl.scala 137:112] + node _T_31077 = add(_T_31076, _T_31046) @[exu_mul_ctl.scala 137:112] + node _T_31078 = add(_T_31077, _T_31047) @[exu_mul_ctl.scala 137:112] + node _T_31079 = add(_T_31078, _T_31048) @[exu_mul_ctl.scala 137:112] + node _T_31080 = add(_T_31079, _T_31049) @[exu_mul_ctl.scala 137:112] + node _T_31081 = add(_T_31080, _T_31050) @[exu_mul_ctl.scala 137:112] + node _T_31082 = add(_T_31081, _T_31051) @[exu_mul_ctl.scala 137:112] + node _T_31083 = add(_T_31082, _T_31052) @[exu_mul_ctl.scala 137:112] + node _T_31084 = eq(_T_31083, UInt<5>("h01b")) @[exu_mul_ctl.scala 138:87] + node _T_31085 = bits(_T_31084, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31086 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_31087 = mux(_T_31085, _T_31086, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_31088 = mux(_T_31019, _T_31020, _T_31087) @[Mux.scala 98:16] + node _T_31089 = mux(_T_30955, _T_30956, _T_31088) @[Mux.scala 98:16] + node _T_31090 = mux(_T_30893, _T_30894, _T_31089) @[Mux.scala 98:16] + node _T_31091 = mux(_T_30833, _T_30834, _T_31090) @[Mux.scala 98:16] + node _T_31092 = mux(_T_30775, _T_30776, _T_31091) @[Mux.scala 98:16] + node _T_31093 = mux(_T_30719, _T_30720, _T_31092) @[Mux.scala 98:16] + node _T_31094 = mux(_T_30665, _T_30666, _T_31093) @[Mux.scala 98:16] + node _T_31095 = mux(_T_30613, _T_30614, _T_31094) @[Mux.scala 98:16] + node _T_31096 = mux(_T_30563, _T_30564, _T_31095) @[Mux.scala 98:16] + node _T_31097 = mux(_T_30515, _T_30516, _T_31096) @[Mux.scala 98:16] + node _T_31098 = mux(_T_30469, _T_30470, _T_31097) @[Mux.scala 98:16] + node _T_31099 = mux(_T_30425, _T_30426, _T_31098) @[Mux.scala 98:16] + node _T_31100 = mux(_T_30383, _T_30384, _T_31099) @[Mux.scala 98:16] + node _T_31101 = mux(_T_30343, _T_30344, _T_31100) @[Mux.scala 98:16] + node _T_31102 = mux(_T_30305, _T_30306, _T_31101) @[Mux.scala 98:16] + node _T_31103 = mux(_T_30269, _T_30270, _T_31102) @[Mux.scala 98:16] + node _T_31104 = mux(_T_30235, _T_30236, _T_31103) @[Mux.scala 98:16] + node _T_31105 = mux(_T_30203, _T_30204, _T_31104) @[Mux.scala 98:16] + node _T_31106 = mux(_T_30173, _T_30174, _T_31105) @[Mux.scala 98:16] + node _T_31107 = mux(_T_30145, _T_30146, _T_31106) @[Mux.scala 98:16] + node _T_31108 = mux(_T_30119, _T_30120, _T_31107) @[Mux.scala 98:16] + node _T_31109 = mux(_T_30095, _T_30096, _T_31108) @[Mux.scala 98:16] + node _T_31110 = mux(_T_30073, _T_30074, _T_31109) @[Mux.scala 98:16] + node _T_31111 = mux(_T_30053, _T_30054, _T_31110) @[Mux.scala 98:16] + node _T_31112 = mux(_T_30035, _T_30036, _T_31111) @[Mux.scala 98:16] + node _T_31113 = mux(_T_30019, _T_30020, _T_31112) @[Mux.scala 98:16] + node _T_31114 = mux(_T_30005, _T_30006, _T_31113) @[Mux.scala 98:16] + node _T_31115 = mux(_T_29993, _T_29994, _T_31114) @[Mux.scala 98:16] + node _T_31116 = mux(_T_29983, _T_29984, _T_31115) @[Mux.scala 98:16] + node _T_31117 = mux(_T_29975, _T_29976, _T_31116) @[Mux.scala 98:16] + node _T_31118 = mux(_T_29969, _T_29970, _T_31117) @[Mux.scala 98:16] + node _T_31119 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_31120 = eq(_T_31119, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31121 = bits(_T_31120, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31122 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_31123 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31124 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31125 = add(_T_31123, _T_31124) @[exu_mul_ctl.scala 137:112] + node _T_31126 = eq(_T_31125, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31127 = bits(_T_31126, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31128 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_31129 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31130 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31131 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31132 = add(_T_31129, _T_31130) @[exu_mul_ctl.scala 137:112] + node _T_31133 = add(_T_31132, _T_31131) @[exu_mul_ctl.scala 137:112] + node _T_31134 = eq(_T_31133, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31135 = bits(_T_31134, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31136 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_31137 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31138 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31139 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31140 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31141 = add(_T_31137, _T_31138) @[exu_mul_ctl.scala 137:112] + node _T_31142 = add(_T_31141, _T_31139) @[exu_mul_ctl.scala 137:112] + node _T_31143 = add(_T_31142, _T_31140) @[exu_mul_ctl.scala 137:112] + node _T_31144 = eq(_T_31143, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31145 = bits(_T_31144, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31146 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_31147 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31148 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31149 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31150 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31151 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31152 = add(_T_31147, _T_31148) @[exu_mul_ctl.scala 137:112] + node _T_31153 = add(_T_31152, _T_31149) @[exu_mul_ctl.scala 137:112] + node _T_31154 = add(_T_31153, _T_31150) @[exu_mul_ctl.scala 137:112] + node _T_31155 = add(_T_31154, _T_31151) @[exu_mul_ctl.scala 137:112] + node _T_31156 = eq(_T_31155, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31157 = bits(_T_31156, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31158 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_31159 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31160 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31161 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31162 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31163 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31164 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31165 = add(_T_31159, _T_31160) @[exu_mul_ctl.scala 137:112] + node _T_31166 = add(_T_31165, _T_31161) @[exu_mul_ctl.scala 137:112] + node _T_31167 = add(_T_31166, _T_31162) @[exu_mul_ctl.scala 137:112] + node _T_31168 = add(_T_31167, _T_31163) @[exu_mul_ctl.scala 137:112] + node _T_31169 = add(_T_31168, _T_31164) @[exu_mul_ctl.scala 137:112] + node _T_31170 = eq(_T_31169, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31171 = bits(_T_31170, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31172 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_31173 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31174 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31175 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31176 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31177 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31178 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31179 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31180 = add(_T_31173, _T_31174) @[exu_mul_ctl.scala 137:112] + node _T_31181 = add(_T_31180, _T_31175) @[exu_mul_ctl.scala 137:112] + node _T_31182 = add(_T_31181, _T_31176) @[exu_mul_ctl.scala 137:112] + node _T_31183 = add(_T_31182, _T_31177) @[exu_mul_ctl.scala 137:112] + node _T_31184 = add(_T_31183, _T_31178) @[exu_mul_ctl.scala 137:112] + node _T_31185 = add(_T_31184, _T_31179) @[exu_mul_ctl.scala 137:112] + node _T_31186 = eq(_T_31185, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31187 = bits(_T_31186, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31188 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_31189 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31190 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31191 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31192 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31193 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31194 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31195 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31196 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31197 = add(_T_31189, _T_31190) @[exu_mul_ctl.scala 137:112] + node _T_31198 = add(_T_31197, _T_31191) @[exu_mul_ctl.scala 137:112] + node _T_31199 = add(_T_31198, _T_31192) @[exu_mul_ctl.scala 137:112] + node _T_31200 = add(_T_31199, _T_31193) @[exu_mul_ctl.scala 137:112] + node _T_31201 = add(_T_31200, _T_31194) @[exu_mul_ctl.scala 137:112] + node _T_31202 = add(_T_31201, _T_31195) @[exu_mul_ctl.scala 137:112] + node _T_31203 = add(_T_31202, _T_31196) @[exu_mul_ctl.scala 137:112] + node _T_31204 = eq(_T_31203, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31205 = bits(_T_31204, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31206 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_31207 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31208 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31209 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31210 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31211 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31212 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31213 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31214 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31215 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31216 = add(_T_31207, _T_31208) @[exu_mul_ctl.scala 137:112] + node _T_31217 = add(_T_31216, _T_31209) @[exu_mul_ctl.scala 137:112] + node _T_31218 = add(_T_31217, _T_31210) @[exu_mul_ctl.scala 137:112] + node _T_31219 = add(_T_31218, _T_31211) @[exu_mul_ctl.scala 137:112] + node _T_31220 = add(_T_31219, _T_31212) @[exu_mul_ctl.scala 137:112] + node _T_31221 = add(_T_31220, _T_31213) @[exu_mul_ctl.scala 137:112] + node _T_31222 = add(_T_31221, _T_31214) @[exu_mul_ctl.scala 137:112] + node _T_31223 = add(_T_31222, _T_31215) @[exu_mul_ctl.scala 137:112] + node _T_31224 = eq(_T_31223, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31225 = bits(_T_31224, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31226 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_31227 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31228 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31229 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31230 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31231 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31232 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31233 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31234 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31235 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31236 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31237 = add(_T_31227, _T_31228) @[exu_mul_ctl.scala 137:112] + node _T_31238 = add(_T_31237, _T_31229) @[exu_mul_ctl.scala 137:112] + node _T_31239 = add(_T_31238, _T_31230) @[exu_mul_ctl.scala 137:112] + node _T_31240 = add(_T_31239, _T_31231) @[exu_mul_ctl.scala 137:112] + node _T_31241 = add(_T_31240, _T_31232) @[exu_mul_ctl.scala 137:112] + node _T_31242 = add(_T_31241, _T_31233) @[exu_mul_ctl.scala 137:112] + node _T_31243 = add(_T_31242, _T_31234) @[exu_mul_ctl.scala 137:112] + node _T_31244 = add(_T_31243, _T_31235) @[exu_mul_ctl.scala 137:112] + node _T_31245 = add(_T_31244, _T_31236) @[exu_mul_ctl.scala 137:112] + node _T_31246 = eq(_T_31245, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31247 = bits(_T_31246, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31248 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_31249 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31250 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31251 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31252 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31253 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31254 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31255 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31256 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31257 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31258 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31259 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31260 = add(_T_31249, _T_31250) @[exu_mul_ctl.scala 137:112] + node _T_31261 = add(_T_31260, _T_31251) @[exu_mul_ctl.scala 137:112] + node _T_31262 = add(_T_31261, _T_31252) @[exu_mul_ctl.scala 137:112] + node _T_31263 = add(_T_31262, _T_31253) @[exu_mul_ctl.scala 137:112] + node _T_31264 = add(_T_31263, _T_31254) @[exu_mul_ctl.scala 137:112] + node _T_31265 = add(_T_31264, _T_31255) @[exu_mul_ctl.scala 137:112] + node _T_31266 = add(_T_31265, _T_31256) @[exu_mul_ctl.scala 137:112] + node _T_31267 = add(_T_31266, _T_31257) @[exu_mul_ctl.scala 137:112] + node _T_31268 = add(_T_31267, _T_31258) @[exu_mul_ctl.scala 137:112] + node _T_31269 = add(_T_31268, _T_31259) @[exu_mul_ctl.scala 137:112] + node _T_31270 = eq(_T_31269, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31271 = bits(_T_31270, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31272 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_31273 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31274 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31275 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31276 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31277 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31278 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31279 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31280 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31281 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31282 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31283 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31284 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31285 = add(_T_31273, _T_31274) @[exu_mul_ctl.scala 137:112] + node _T_31286 = add(_T_31285, _T_31275) @[exu_mul_ctl.scala 137:112] + node _T_31287 = add(_T_31286, _T_31276) @[exu_mul_ctl.scala 137:112] + node _T_31288 = add(_T_31287, _T_31277) @[exu_mul_ctl.scala 137:112] + node _T_31289 = add(_T_31288, _T_31278) @[exu_mul_ctl.scala 137:112] + node _T_31290 = add(_T_31289, _T_31279) @[exu_mul_ctl.scala 137:112] + node _T_31291 = add(_T_31290, _T_31280) @[exu_mul_ctl.scala 137:112] + node _T_31292 = add(_T_31291, _T_31281) @[exu_mul_ctl.scala 137:112] + node _T_31293 = add(_T_31292, _T_31282) @[exu_mul_ctl.scala 137:112] + node _T_31294 = add(_T_31293, _T_31283) @[exu_mul_ctl.scala 137:112] + node _T_31295 = add(_T_31294, _T_31284) @[exu_mul_ctl.scala 137:112] + node _T_31296 = eq(_T_31295, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31297 = bits(_T_31296, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31298 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_31299 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31300 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31301 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31302 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31303 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31304 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31305 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31306 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31307 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31308 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31309 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31310 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31311 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31312 = add(_T_31299, _T_31300) @[exu_mul_ctl.scala 137:112] + node _T_31313 = add(_T_31312, _T_31301) @[exu_mul_ctl.scala 137:112] + node _T_31314 = add(_T_31313, _T_31302) @[exu_mul_ctl.scala 137:112] + node _T_31315 = add(_T_31314, _T_31303) @[exu_mul_ctl.scala 137:112] + node _T_31316 = add(_T_31315, _T_31304) @[exu_mul_ctl.scala 137:112] + node _T_31317 = add(_T_31316, _T_31305) @[exu_mul_ctl.scala 137:112] + node _T_31318 = add(_T_31317, _T_31306) @[exu_mul_ctl.scala 137:112] + node _T_31319 = add(_T_31318, _T_31307) @[exu_mul_ctl.scala 137:112] + node _T_31320 = add(_T_31319, _T_31308) @[exu_mul_ctl.scala 137:112] + node _T_31321 = add(_T_31320, _T_31309) @[exu_mul_ctl.scala 137:112] + node _T_31322 = add(_T_31321, _T_31310) @[exu_mul_ctl.scala 137:112] + node _T_31323 = add(_T_31322, _T_31311) @[exu_mul_ctl.scala 137:112] + node _T_31324 = eq(_T_31323, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31325 = bits(_T_31324, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31326 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_31327 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31328 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31329 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31330 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31331 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31332 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31333 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31334 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31335 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31336 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31337 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31338 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31339 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31340 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31341 = add(_T_31327, _T_31328) @[exu_mul_ctl.scala 137:112] + node _T_31342 = add(_T_31341, _T_31329) @[exu_mul_ctl.scala 137:112] + node _T_31343 = add(_T_31342, _T_31330) @[exu_mul_ctl.scala 137:112] + node _T_31344 = add(_T_31343, _T_31331) @[exu_mul_ctl.scala 137:112] + node _T_31345 = add(_T_31344, _T_31332) @[exu_mul_ctl.scala 137:112] + node _T_31346 = add(_T_31345, _T_31333) @[exu_mul_ctl.scala 137:112] + node _T_31347 = add(_T_31346, _T_31334) @[exu_mul_ctl.scala 137:112] + node _T_31348 = add(_T_31347, _T_31335) @[exu_mul_ctl.scala 137:112] + node _T_31349 = add(_T_31348, _T_31336) @[exu_mul_ctl.scala 137:112] + node _T_31350 = add(_T_31349, _T_31337) @[exu_mul_ctl.scala 137:112] + node _T_31351 = add(_T_31350, _T_31338) @[exu_mul_ctl.scala 137:112] + node _T_31352 = add(_T_31351, _T_31339) @[exu_mul_ctl.scala 137:112] + node _T_31353 = add(_T_31352, _T_31340) @[exu_mul_ctl.scala 137:112] + node _T_31354 = eq(_T_31353, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31355 = bits(_T_31354, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31356 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_31357 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31358 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31359 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31360 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31361 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31362 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31363 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31364 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31365 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31366 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31367 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31368 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31369 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31370 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31371 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31372 = add(_T_31357, _T_31358) @[exu_mul_ctl.scala 137:112] + node _T_31373 = add(_T_31372, _T_31359) @[exu_mul_ctl.scala 137:112] + node _T_31374 = add(_T_31373, _T_31360) @[exu_mul_ctl.scala 137:112] + node _T_31375 = add(_T_31374, _T_31361) @[exu_mul_ctl.scala 137:112] + node _T_31376 = add(_T_31375, _T_31362) @[exu_mul_ctl.scala 137:112] + node _T_31377 = add(_T_31376, _T_31363) @[exu_mul_ctl.scala 137:112] + node _T_31378 = add(_T_31377, _T_31364) @[exu_mul_ctl.scala 137:112] + node _T_31379 = add(_T_31378, _T_31365) @[exu_mul_ctl.scala 137:112] + node _T_31380 = add(_T_31379, _T_31366) @[exu_mul_ctl.scala 137:112] + node _T_31381 = add(_T_31380, _T_31367) @[exu_mul_ctl.scala 137:112] + node _T_31382 = add(_T_31381, _T_31368) @[exu_mul_ctl.scala 137:112] + node _T_31383 = add(_T_31382, _T_31369) @[exu_mul_ctl.scala 137:112] + node _T_31384 = add(_T_31383, _T_31370) @[exu_mul_ctl.scala 137:112] + node _T_31385 = add(_T_31384, _T_31371) @[exu_mul_ctl.scala 137:112] + node _T_31386 = eq(_T_31385, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31387 = bits(_T_31386, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31388 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_31389 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31390 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31391 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31392 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31393 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31394 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31395 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31396 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31397 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31398 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31399 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31400 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31401 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31402 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31403 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31404 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31405 = add(_T_31389, _T_31390) @[exu_mul_ctl.scala 137:112] + node _T_31406 = add(_T_31405, _T_31391) @[exu_mul_ctl.scala 137:112] + node _T_31407 = add(_T_31406, _T_31392) @[exu_mul_ctl.scala 137:112] + node _T_31408 = add(_T_31407, _T_31393) @[exu_mul_ctl.scala 137:112] + node _T_31409 = add(_T_31408, _T_31394) @[exu_mul_ctl.scala 137:112] + node _T_31410 = add(_T_31409, _T_31395) @[exu_mul_ctl.scala 137:112] + node _T_31411 = add(_T_31410, _T_31396) @[exu_mul_ctl.scala 137:112] + node _T_31412 = add(_T_31411, _T_31397) @[exu_mul_ctl.scala 137:112] + node _T_31413 = add(_T_31412, _T_31398) @[exu_mul_ctl.scala 137:112] + node _T_31414 = add(_T_31413, _T_31399) @[exu_mul_ctl.scala 137:112] + node _T_31415 = add(_T_31414, _T_31400) @[exu_mul_ctl.scala 137:112] + node _T_31416 = add(_T_31415, _T_31401) @[exu_mul_ctl.scala 137:112] + node _T_31417 = add(_T_31416, _T_31402) @[exu_mul_ctl.scala 137:112] + node _T_31418 = add(_T_31417, _T_31403) @[exu_mul_ctl.scala 137:112] + node _T_31419 = add(_T_31418, _T_31404) @[exu_mul_ctl.scala 137:112] + node _T_31420 = eq(_T_31419, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31421 = bits(_T_31420, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31422 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_31423 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31424 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31425 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31426 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31427 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31428 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31429 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31430 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31431 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31432 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31433 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31434 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31435 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31436 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31437 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31438 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31439 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31440 = add(_T_31423, _T_31424) @[exu_mul_ctl.scala 137:112] + node _T_31441 = add(_T_31440, _T_31425) @[exu_mul_ctl.scala 137:112] + node _T_31442 = add(_T_31441, _T_31426) @[exu_mul_ctl.scala 137:112] + node _T_31443 = add(_T_31442, _T_31427) @[exu_mul_ctl.scala 137:112] + node _T_31444 = add(_T_31443, _T_31428) @[exu_mul_ctl.scala 137:112] + node _T_31445 = add(_T_31444, _T_31429) @[exu_mul_ctl.scala 137:112] + node _T_31446 = add(_T_31445, _T_31430) @[exu_mul_ctl.scala 137:112] + node _T_31447 = add(_T_31446, _T_31431) @[exu_mul_ctl.scala 137:112] + node _T_31448 = add(_T_31447, _T_31432) @[exu_mul_ctl.scala 137:112] + node _T_31449 = add(_T_31448, _T_31433) @[exu_mul_ctl.scala 137:112] + node _T_31450 = add(_T_31449, _T_31434) @[exu_mul_ctl.scala 137:112] + node _T_31451 = add(_T_31450, _T_31435) @[exu_mul_ctl.scala 137:112] + node _T_31452 = add(_T_31451, _T_31436) @[exu_mul_ctl.scala 137:112] + node _T_31453 = add(_T_31452, _T_31437) @[exu_mul_ctl.scala 137:112] + node _T_31454 = add(_T_31453, _T_31438) @[exu_mul_ctl.scala 137:112] + node _T_31455 = add(_T_31454, _T_31439) @[exu_mul_ctl.scala 137:112] + node _T_31456 = eq(_T_31455, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31457 = bits(_T_31456, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31458 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_31459 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31460 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31461 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31462 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31463 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31464 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31465 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31466 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31467 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31468 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31469 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31470 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31471 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31472 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31473 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31474 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31475 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31476 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31477 = add(_T_31459, _T_31460) @[exu_mul_ctl.scala 137:112] + node _T_31478 = add(_T_31477, _T_31461) @[exu_mul_ctl.scala 137:112] + node _T_31479 = add(_T_31478, _T_31462) @[exu_mul_ctl.scala 137:112] + node _T_31480 = add(_T_31479, _T_31463) @[exu_mul_ctl.scala 137:112] + node _T_31481 = add(_T_31480, _T_31464) @[exu_mul_ctl.scala 137:112] + node _T_31482 = add(_T_31481, _T_31465) @[exu_mul_ctl.scala 137:112] + node _T_31483 = add(_T_31482, _T_31466) @[exu_mul_ctl.scala 137:112] + node _T_31484 = add(_T_31483, _T_31467) @[exu_mul_ctl.scala 137:112] + node _T_31485 = add(_T_31484, _T_31468) @[exu_mul_ctl.scala 137:112] + node _T_31486 = add(_T_31485, _T_31469) @[exu_mul_ctl.scala 137:112] + node _T_31487 = add(_T_31486, _T_31470) @[exu_mul_ctl.scala 137:112] + node _T_31488 = add(_T_31487, _T_31471) @[exu_mul_ctl.scala 137:112] + node _T_31489 = add(_T_31488, _T_31472) @[exu_mul_ctl.scala 137:112] + node _T_31490 = add(_T_31489, _T_31473) @[exu_mul_ctl.scala 137:112] + node _T_31491 = add(_T_31490, _T_31474) @[exu_mul_ctl.scala 137:112] + node _T_31492 = add(_T_31491, _T_31475) @[exu_mul_ctl.scala 137:112] + node _T_31493 = add(_T_31492, _T_31476) @[exu_mul_ctl.scala 137:112] + node _T_31494 = eq(_T_31493, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31495 = bits(_T_31494, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31496 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_31497 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31498 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31499 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31500 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31501 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31502 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31503 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31504 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31505 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31506 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31507 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31508 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31509 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31510 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31511 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31512 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31513 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31514 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31515 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31516 = add(_T_31497, _T_31498) @[exu_mul_ctl.scala 137:112] + node _T_31517 = add(_T_31516, _T_31499) @[exu_mul_ctl.scala 137:112] + node _T_31518 = add(_T_31517, _T_31500) @[exu_mul_ctl.scala 137:112] + node _T_31519 = add(_T_31518, _T_31501) @[exu_mul_ctl.scala 137:112] + node _T_31520 = add(_T_31519, _T_31502) @[exu_mul_ctl.scala 137:112] + node _T_31521 = add(_T_31520, _T_31503) @[exu_mul_ctl.scala 137:112] + node _T_31522 = add(_T_31521, _T_31504) @[exu_mul_ctl.scala 137:112] + node _T_31523 = add(_T_31522, _T_31505) @[exu_mul_ctl.scala 137:112] + node _T_31524 = add(_T_31523, _T_31506) @[exu_mul_ctl.scala 137:112] + node _T_31525 = add(_T_31524, _T_31507) @[exu_mul_ctl.scala 137:112] + node _T_31526 = add(_T_31525, _T_31508) @[exu_mul_ctl.scala 137:112] + node _T_31527 = add(_T_31526, _T_31509) @[exu_mul_ctl.scala 137:112] + node _T_31528 = add(_T_31527, _T_31510) @[exu_mul_ctl.scala 137:112] + node _T_31529 = add(_T_31528, _T_31511) @[exu_mul_ctl.scala 137:112] + node _T_31530 = add(_T_31529, _T_31512) @[exu_mul_ctl.scala 137:112] + node _T_31531 = add(_T_31530, _T_31513) @[exu_mul_ctl.scala 137:112] + node _T_31532 = add(_T_31531, _T_31514) @[exu_mul_ctl.scala 137:112] + node _T_31533 = add(_T_31532, _T_31515) @[exu_mul_ctl.scala 137:112] + node _T_31534 = eq(_T_31533, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31535 = bits(_T_31534, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31536 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_31537 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31538 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31539 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31540 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31541 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31542 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31543 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31544 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31545 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31546 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31547 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31548 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31549 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31550 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31551 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31552 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31553 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31554 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31555 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31556 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31557 = add(_T_31537, _T_31538) @[exu_mul_ctl.scala 137:112] + node _T_31558 = add(_T_31557, _T_31539) @[exu_mul_ctl.scala 137:112] + node _T_31559 = add(_T_31558, _T_31540) @[exu_mul_ctl.scala 137:112] + node _T_31560 = add(_T_31559, _T_31541) @[exu_mul_ctl.scala 137:112] + node _T_31561 = add(_T_31560, _T_31542) @[exu_mul_ctl.scala 137:112] + node _T_31562 = add(_T_31561, _T_31543) @[exu_mul_ctl.scala 137:112] + node _T_31563 = add(_T_31562, _T_31544) @[exu_mul_ctl.scala 137:112] + node _T_31564 = add(_T_31563, _T_31545) @[exu_mul_ctl.scala 137:112] + node _T_31565 = add(_T_31564, _T_31546) @[exu_mul_ctl.scala 137:112] + node _T_31566 = add(_T_31565, _T_31547) @[exu_mul_ctl.scala 137:112] + node _T_31567 = add(_T_31566, _T_31548) @[exu_mul_ctl.scala 137:112] + node _T_31568 = add(_T_31567, _T_31549) @[exu_mul_ctl.scala 137:112] + node _T_31569 = add(_T_31568, _T_31550) @[exu_mul_ctl.scala 137:112] + node _T_31570 = add(_T_31569, _T_31551) @[exu_mul_ctl.scala 137:112] + node _T_31571 = add(_T_31570, _T_31552) @[exu_mul_ctl.scala 137:112] + node _T_31572 = add(_T_31571, _T_31553) @[exu_mul_ctl.scala 137:112] + node _T_31573 = add(_T_31572, _T_31554) @[exu_mul_ctl.scala 137:112] + node _T_31574 = add(_T_31573, _T_31555) @[exu_mul_ctl.scala 137:112] + node _T_31575 = add(_T_31574, _T_31556) @[exu_mul_ctl.scala 137:112] + node _T_31576 = eq(_T_31575, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31577 = bits(_T_31576, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31578 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_31579 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31580 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31581 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31582 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31583 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31584 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31585 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31586 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31587 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31588 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31589 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31590 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31591 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31592 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31593 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31594 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31595 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31596 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31597 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31598 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31599 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31600 = add(_T_31579, _T_31580) @[exu_mul_ctl.scala 137:112] + node _T_31601 = add(_T_31600, _T_31581) @[exu_mul_ctl.scala 137:112] + node _T_31602 = add(_T_31601, _T_31582) @[exu_mul_ctl.scala 137:112] + node _T_31603 = add(_T_31602, _T_31583) @[exu_mul_ctl.scala 137:112] + node _T_31604 = add(_T_31603, _T_31584) @[exu_mul_ctl.scala 137:112] + node _T_31605 = add(_T_31604, _T_31585) @[exu_mul_ctl.scala 137:112] + node _T_31606 = add(_T_31605, _T_31586) @[exu_mul_ctl.scala 137:112] + node _T_31607 = add(_T_31606, _T_31587) @[exu_mul_ctl.scala 137:112] + node _T_31608 = add(_T_31607, _T_31588) @[exu_mul_ctl.scala 137:112] + node _T_31609 = add(_T_31608, _T_31589) @[exu_mul_ctl.scala 137:112] + node _T_31610 = add(_T_31609, _T_31590) @[exu_mul_ctl.scala 137:112] + node _T_31611 = add(_T_31610, _T_31591) @[exu_mul_ctl.scala 137:112] + node _T_31612 = add(_T_31611, _T_31592) @[exu_mul_ctl.scala 137:112] + node _T_31613 = add(_T_31612, _T_31593) @[exu_mul_ctl.scala 137:112] + node _T_31614 = add(_T_31613, _T_31594) @[exu_mul_ctl.scala 137:112] + node _T_31615 = add(_T_31614, _T_31595) @[exu_mul_ctl.scala 137:112] + node _T_31616 = add(_T_31615, _T_31596) @[exu_mul_ctl.scala 137:112] + node _T_31617 = add(_T_31616, _T_31597) @[exu_mul_ctl.scala 137:112] + node _T_31618 = add(_T_31617, _T_31598) @[exu_mul_ctl.scala 137:112] + node _T_31619 = add(_T_31618, _T_31599) @[exu_mul_ctl.scala 137:112] + node _T_31620 = eq(_T_31619, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31621 = bits(_T_31620, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31622 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_31623 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31624 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31625 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31626 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31627 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31628 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31629 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31630 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31631 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31632 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31633 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31634 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31635 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31636 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31637 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31638 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31639 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31640 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31641 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31642 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31643 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31644 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31645 = add(_T_31623, _T_31624) @[exu_mul_ctl.scala 137:112] + node _T_31646 = add(_T_31645, _T_31625) @[exu_mul_ctl.scala 137:112] + node _T_31647 = add(_T_31646, _T_31626) @[exu_mul_ctl.scala 137:112] + node _T_31648 = add(_T_31647, _T_31627) @[exu_mul_ctl.scala 137:112] + node _T_31649 = add(_T_31648, _T_31628) @[exu_mul_ctl.scala 137:112] + node _T_31650 = add(_T_31649, _T_31629) @[exu_mul_ctl.scala 137:112] + node _T_31651 = add(_T_31650, _T_31630) @[exu_mul_ctl.scala 137:112] + node _T_31652 = add(_T_31651, _T_31631) @[exu_mul_ctl.scala 137:112] + node _T_31653 = add(_T_31652, _T_31632) @[exu_mul_ctl.scala 137:112] + node _T_31654 = add(_T_31653, _T_31633) @[exu_mul_ctl.scala 137:112] + node _T_31655 = add(_T_31654, _T_31634) @[exu_mul_ctl.scala 137:112] + node _T_31656 = add(_T_31655, _T_31635) @[exu_mul_ctl.scala 137:112] + node _T_31657 = add(_T_31656, _T_31636) @[exu_mul_ctl.scala 137:112] + node _T_31658 = add(_T_31657, _T_31637) @[exu_mul_ctl.scala 137:112] + node _T_31659 = add(_T_31658, _T_31638) @[exu_mul_ctl.scala 137:112] + node _T_31660 = add(_T_31659, _T_31639) @[exu_mul_ctl.scala 137:112] + node _T_31661 = add(_T_31660, _T_31640) @[exu_mul_ctl.scala 137:112] + node _T_31662 = add(_T_31661, _T_31641) @[exu_mul_ctl.scala 137:112] + node _T_31663 = add(_T_31662, _T_31642) @[exu_mul_ctl.scala 137:112] + node _T_31664 = add(_T_31663, _T_31643) @[exu_mul_ctl.scala 137:112] + node _T_31665 = add(_T_31664, _T_31644) @[exu_mul_ctl.scala 137:112] + node _T_31666 = eq(_T_31665, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31667 = bits(_T_31666, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31668 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_31669 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31670 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31671 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31672 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31673 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31674 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31675 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31676 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31677 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31678 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31679 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31680 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31681 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31682 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31683 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31684 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31685 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31686 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31687 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31688 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31689 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31690 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31691 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31692 = add(_T_31669, _T_31670) @[exu_mul_ctl.scala 137:112] + node _T_31693 = add(_T_31692, _T_31671) @[exu_mul_ctl.scala 137:112] + node _T_31694 = add(_T_31693, _T_31672) @[exu_mul_ctl.scala 137:112] + node _T_31695 = add(_T_31694, _T_31673) @[exu_mul_ctl.scala 137:112] + node _T_31696 = add(_T_31695, _T_31674) @[exu_mul_ctl.scala 137:112] + node _T_31697 = add(_T_31696, _T_31675) @[exu_mul_ctl.scala 137:112] + node _T_31698 = add(_T_31697, _T_31676) @[exu_mul_ctl.scala 137:112] + node _T_31699 = add(_T_31698, _T_31677) @[exu_mul_ctl.scala 137:112] + node _T_31700 = add(_T_31699, _T_31678) @[exu_mul_ctl.scala 137:112] + node _T_31701 = add(_T_31700, _T_31679) @[exu_mul_ctl.scala 137:112] + node _T_31702 = add(_T_31701, _T_31680) @[exu_mul_ctl.scala 137:112] + node _T_31703 = add(_T_31702, _T_31681) @[exu_mul_ctl.scala 137:112] + node _T_31704 = add(_T_31703, _T_31682) @[exu_mul_ctl.scala 137:112] + node _T_31705 = add(_T_31704, _T_31683) @[exu_mul_ctl.scala 137:112] + node _T_31706 = add(_T_31705, _T_31684) @[exu_mul_ctl.scala 137:112] + node _T_31707 = add(_T_31706, _T_31685) @[exu_mul_ctl.scala 137:112] + node _T_31708 = add(_T_31707, _T_31686) @[exu_mul_ctl.scala 137:112] + node _T_31709 = add(_T_31708, _T_31687) @[exu_mul_ctl.scala 137:112] + node _T_31710 = add(_T_31709, _T_31688) @[exu_mul_ctl.scala 137:112] + node _T_31711 = add(_T_31710, _T_31689) @[exu_mul_ctl.scala 137:112] + node _T_31712 = add(_T_31711, _T_31690) @[exu_mul_ctl.scala 137:112] + node _T_31713 = add(_T_31712, _T_31691) @[exu_mul_ctl.scala 137:112] + node _T_31714 = eq(_T_31713, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31715 = bits(_T_31714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31716 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_31717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31724 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31725 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31726 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31727 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31728 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31729 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31730 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31731 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31732 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31733 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31734 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31735 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31736 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31737 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31738 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31739 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31740 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31741 = add(_T_31717, _T_31718) @[exu_mul_ctl.scala 137:112] + node _T_31742 = add(_T_31741, _T_31719) @[exu_mul_ctl.scala 137:112] + node _T_31743 = add(_T_31742, _T_31720) @[exu_mul_ctl.scala 137:112] + node _T_31744 = add(_T_31743, _T_31721) @[exu_mul_ctl.scala 137:112] + node _T_31745 = add(_T_31744, _T_31722) @[exu_mul_ctl.scala 137:112] + node _T_31746 = add(_T_31745, _T_31723) @[exu_mul_ctl.scala 137:112] + node _T_31747 = add(_T_31746, _T_31724) @[exu_mul_ctl.scala 137:112] + node _T_31748 = add(_T_31747, _T_31725) @[exu_mul_ctl.scala 137:112] + node _T_31749 = add(_T_31748, _T_31726) @[exu_mul_ctl.scala 137:112] + node _T_31750 = add(_T_31749, _T_31727) @[exu_mul_ctl.scala 137:112] + node _T_31751 = add(_T_31750, _T_31728) @[exu_mul_ctl.scala 137:112] + node _T_31752 = add(_T_31751, _T_31729) @[exu_mul_ctl.scala 137:112] + node _T_31753 = add(_T_31752, _T_31730) @[exu_mul_ctl.scala 137:112] + node _T_31754 = add(_T_31753, _T_31731) @[exu_mul_ctl.scala 137:112] + node _T_31755 = add(_T_31754, _T_31732) @[exu_mul_ctl.scala 137:112] + node _T_31756 = add(_T_31755, _T_31733) @[exu_mul_ctl.scala 137:112] + node _T_31757 = add(_T_31756, _T_31734) @[exu_mul_ctl.scala 137:112] + node _T_31758 = add(_T_31757, _T_31735) @[exu_mul_ctl.scala 137:112] + node _T_31759 = add(_T_31758, _T_31736) @[exu_mul_ctl.scala 137:112] + node _T_31760 = add(_T_31759, _T_31737) @[exu_mul_ctl.scala 137:112] + node _T_31761 = add(_T_31760, _T_31738) @[exu_mul_ctl.scala 137:112] + node _T_31762 = add(_T_31761, _T_31739) @[exu_mul_ctl.scala 137:112] + node _T_31763 = add(_T_31762, _T_31740) @[exu_mul_ctl.scala 137:112] + node _T_31764 = eq(_T_31763, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31765 = bits(_T_31764, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31766 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_31767 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31768 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31769 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31770 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31771 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31772 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31773 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31774 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31775 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31776 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31777 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31778 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31779 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31780 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31781 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31782 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31783 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31784 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31785 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31786 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31787 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31788 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31789 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31790 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31791 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_31792 = add(_T_31767, _T_31768) @[exu_mul_ctl.scala 137:112] + node _T_31793 = add(_T_31792, _T_31769) @[exu_mul_ctl.scala 137:112] + node _T_31794 = add(_T_31793, _T_31770) @[exu_mul_ctl.scala 137:112] + node _T_31795 = add(_T_31794, _T_31771) @[exu_mul_ctl.scala 137:112] + node _T_31796 = add(_T_31795, _T_31772) @[exu_mul_ctl.scala 137:112] + node _T_31797 = add(_T_31796, _T_31773) @[exu_mul_ctl.scala 137:112] + node _T_31798 = add(_T_31797, _T_31774) @[exu_mul_ctl.scala 137:112] + node _T_31799 = add(_T_31798, _T_31775) @[exu_mul_ctl.scala 137:112] + node _T_31800 = add(_T_31799, _T_31776) @[exu_mul_ctl.scala 137:112] + node _T_31801 = add(_T_31800, _T_31777) @[exu_mul_ctl.scala 137:112] + node _T_31802 = add(_T_31801, _T_31778) @[exu_mul_ctl.scala 137:112] + node _T_31803 = add(_T_31802, _T_31779) @[exu_mul_ctl.scala 137:112] + node _T_31804 = add(_T_31803, _T_31780) @[exu_mul_ctl.scala 137:112] + node _T_31805 = add(_T_31804, _T_31781) @[exu_mul_ctl.scala 137:112] + node _T_31806 = add(_T_31805, _T_31782) @[exu_mul_ctl.scala 137:112] + node _T_31807 = add(_T_31806, _T_31783) @[exu_mul_ctl.scala 137:112] + node _T_31808 = add(_T_31807, _T_31784) @[exu_mul_ctl.scala 137:112] + node _T_31809 = add(_T_31808, _T_31785) @[exu_mul_ctl.scala 137:112] + node _T_31810 = add(_T_31809, _T_31786) @[exu_mul_ctl.scala 137:112] + node _T_31811 = add(_T_31810, _T_31787) @[exu_mul_ctl.scala 137:112] + node _T_31812 = add(_T_31811, _T_31788) @[exu_mul_ctl.scala 137:112] + node _T_31813 = add(_T_31812, _T_31789) @[exu_mul_ctl.scala 137:112] + node _T_31814 = add(_T_31813, _T_31790) @[exu_mul_ctl.scala 137:112] + node _T_31815 = add(_T_31814, _T_31791) @[exu_mul_ctl.scala 137:112] + node _T_31816 = eq(_T_31815, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31817 = bits(_T_31816, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31818 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_31819 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31820 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31821 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31822 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31823 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31824 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31825 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31826 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31827 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31828 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31829 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31830 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31831 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31832 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31833 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31834 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31835 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31836 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31837 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31838 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31839 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31840 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31841 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31842 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31843 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_31844 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_31845 = add(_T_31819, _T_31820) @[exu_mul_ctl.scala 137:112] + node _T_31846 = add(_T_31845, _T_31821) @[exu_mul_ctl.scala 137:112] + node _T_31847 = add(_T_31846, _T_31822) @[exu_mul_ctl.scala 137:112] + node _T_31848 = add(_T_31847, _T_31823) @[exu_mul_ctl.scala 137:112] + node _T_31849 = add(_T_31848, _T_31824) @[exu_mul_ctl.scala 137:112] + node _T_31850 = add(_T_31849, _T_31825) @[exu_mul_ctl.scala 137:112] + node _T_31851 = add(_T_31850, _T_31826) @[exu_mul_ctl.scala 137:112] + node _T_31852 = add(_T_31851, _T_31827) @[exu_mul_ctl.scala 137:112] + node _T_31853 = add(_T_31852, _T_31828) @[exu_mul_ctl.scala 137:112] + node _T_31854 = add(_T_31853, _T_31829) @[exu_mul_ctl.scala 137:112] + node _T_31855 = add(_T_31854, _T_31830) @[exu_mul_ctl.scala 137:112] + node _T_31856 = add(_T_31855, _T_31831) @[exu_mul_ctl.scala 137:112] + node _T_31857 = add(_T_31856, _T_31832) @[exu_mul_ctl.scala 137:112] + node _T_31858 = add(_T_31857, _T_31833) @[exu_mul_ctl.scala 137:112] + node _T_31859 = add(_T_31858, _T_31834) @[exu_mul_ctl.scala 137:112] + node _T_31860 = add(_T_31859, _T_31835) @[exu_mul_ctl.scala 137:112] + node _T_31861 = add(_T_31860, _T_31836) @[exu_mul_ctl.scala 137:112] + node _T_31862 = add(_T_31861, _T_31837) @[exu_mul_ctl.scala 137:112] + node _T_31863 = add(_T_31862, _T_31838) @[exu_mul_ctl.scala 137:112] + node _T_31864 = add(_T_31863, _T_31839) @[exu_mul_ctl.scala 137:112] + node _T_31865 = add(_T_31864, _T_31840) @[exu_mul_ctl.scala 137:112] + node _T_31866 = add(_T_31865, _T_31841) @[exu_mul_ctl.scala 137:112] + node _T_31867 = add(_T_31866, _T_31842) @[exu_mul_ctl.scala 137:112] + node _T_31868 = add(_T_31867, _T_31843) @[exu_mul_ctl.scala 137:112] + node _T_31869 = add(_T_31868, _T_31844) @[exu_mul_ctl.scala 137:112] + node _T_31870 = eq(_T_31869, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31871 = bits(_T_31870, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31872 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_31873 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31874 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31875 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31876 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31877 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31878 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31879 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31880 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31881 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31882 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31883 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31884 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31885 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31886 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31887 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31888 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31889 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31890 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31891 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31892 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31893 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31894 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31895 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31896 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31897 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_31898 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_31899 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_31900 = add(_T_31873, _T_31874) @[exu_mul_ctl.scala 137:112] + node _T_31901 = add(_T_31900, _T_31875) @[exu_mul_ctl.scala 137:112] + node _T_31902 = add(_T_31901, _T_31876) @[exu_mul_ctl.scala 137:112] + node _T_31903 = add(_T_31902, _T_31877) @[exu_mul_ctl.scala 137:112] + node _T_31904 = add(_T_31903, _T_31878) @[exu_mul_ctl.scala 137:112] + node _T_31905 = add(_T_31904, _T_31879) @[exu_mul_ctl.scala 137:112] + node _T_31906 = add(_T_31905, _T_31880) @[exu_mul_ctl.scala 137:112] + node _T_31907 = add(_T_31906, _T_31881) @[exu_mul_ctl.scala 137:112] + node _T_31908 = add(_T_31907, _T_31882) @[exu_mul_ctl.scala 137:112] + node _T_31909 = add(_T_31908, _T_31883) @[exu_mul_ctl.scala 137:112] + node _T_31910 = add(_T_31909, _T_31884) @[exu_mul_ctl.scala 137:112] + node _T_31911 = add(_T_31910, _T_31885) @[exu_mul_ctl.scala 137:112] + node _T_31912 = add(_T_31911, _T_31886) @[exu_mul_ctl.scala 137:112] + node _T_31913 = add(_T_31912, _T_31887) @[exu_mul_ctl.scala 137:112] + node _T_31914 = add(_T_31913, _T_31888) @[exu_mul_ctl.scala 137:112] + node _T_31915 = add(_T_31914, _T_31889) @[exu_mul_ctl.scala 137:112] + node _T_31916 = add(_T_31915, _T_31890) @[exu_mul_ctl.scala 137:112] + node _T_31917 = add(_T_31916, _T_31891) @[exu_mul_ctl.scala 137:112] + node _T_31918 = add(_T_31917, _T_31892) @[exu_mul_ctl.scala 137:112] + node _T_31919 = add(_T_31918, _T_31893) @[exu_mul_ctl.scala 137:112] + node _T_31920 = add(_T_31919, _T_31894) @[exu_mul_ctl.scala 137:112] + node _T_31921 = add(_T_31920, _T_31895) @[exu_mul_ctl.scala 137:112] + node _T_31922 = add(_T_31921, _T_31896) @[exu_mul_ctl.scala 137:112] + node _T_31923 = add(_T_31922, _T_31897) @[exu_mul_ctl.scala 137:112] + node _T_31924 = add(_T_31923, _T_31898) @[exu_mul_ctl.scala 137:112] + node _T_31925 = add(_T_31924, _T_31899) @[exu_mul_ctl.scala 137:112] + node _T_31926 = eq(_T_31925, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31927 = bits(_T_31926, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31928 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_31929 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31930 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31931 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31932 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31933 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31934 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31935 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31936 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31937 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31938 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31939 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31940 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31941 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_31942 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_31943 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_31944 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_31945 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_31946 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_31947 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_31948 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_31949 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_31950 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_31951 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_31952 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_31953 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_31954 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_31955 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_31956 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_31957 = add(_T_31929, _T_31930) @[exu_mul_ctl.scala 137:112] + node _T_31958 = add(_T_31957, _T_31931) @[exu_mul_ctl.scala 137:112] + node _T_31959 = add(_T_31958, _T_31932) @[exu_mul_ctl.scala 137:112] + node _T_31960 = add(_T_31959, _T_31933) @[exu_mul_ctl.scala 137:112] + node _T_31961 = add(_T_31960, _T_31934) @[exu_mul_ctl.scala 137:112] + node _T_31962 = add(_T_31961, _T_31935) @[exu_mul_ctl.scala 137:112] + node _T_31963 = add(_T_31962, _T_31936) @[exu_mul_ctl.scala 137:112] + node _T_31964 = add(_T_31963, _T_31937) @[exu_mul_ctl.scala 137:112] + node _T_31965 = add(_T_31964, _T_31938) @[exu_mul_ctl.scala 137:112] + node _T_31966 = add(_T_31965, _T_31939) @[exu_mul_ctl.scala 137:112] + node _T_31967 = add(_T_31966, _T_31940) @[exu_mul_ctl.scala 137:112] + node _T_31968 = add(_T_31967, _T_31941) @[exu_mul_ctl.scala 137:112] + node _T_31969 = add(_T_31968, _T_31942) @[exu_mul_ctl.scala 137:112] + node _T_31970 = add(_T_31969, _T_31943) @[exu_mul_ctl.scala 137:112] + node _T_31971 = add(_T_31970, _T_31944) @[exu_mul_ctl.scala 137:112] + node _T_31972 = add(_T_31971, _T_31945) @[exu_mul_ctl.scala 137:112] + node _T_31973 = add(_T_31972, _T_31946) @[exu_mul_ctl.scala 137:112] + node _T_31974 = add(_T_31973, _T_31947) @[exu_mul_ctl.scala 137:112] + node _T_31975 = add(_T_31974, _T_31948) @[exu_mul_ctl.scala 137:112] + node _T_31976 = add(_T_31975, _T_31949) @[exu_mul_ctl.scala 137:112] + node _T_31977 = add(_T_31976, _T_31950) @[exu_mul_ctl.scala 137:112] + node _T_31978 = add(_T_31977, _T_31951) @[exu_mul_ctl.scala 137:112] + node _T_31979 = add(_T_31978, _T_31952) @[exu_mul_ctl.scala 137:112] + node _T_31980 = add(_T_31979, _T_31953) @[exu_mul_ctl.scala 137:112] + node _T_31981 = add(_T_31980, _T_31954) @[exu_mul_ctl.scala 137:112] + node _T_31982 = add(_T_31981, _T_31955) @[exu_mul_ctl.scala 137:112] + node _T_31983 = add(_T_31982, _T_31956) @[exu_mul_ctl.scala 137:112] + node _T_31984 = eq(_T_31983, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_31985 = bits(_T_31984, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_31986 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_31987 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_31988 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_31989 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_31990 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_31991 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_31992 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_31993 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_31994 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_31995 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_31996 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_31997 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_31998 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_31999 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32000 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32001 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32002 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32003 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32004 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32005 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32006 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32007 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32008 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32009 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32010 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32011 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32012 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_32013 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_32014 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_32015 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_32016 = add(_T_31987, _T_31988) @[exu_mul_ctl.scala 137:112] + node _T_32017 = add(_T_32016, _T_31989) @[exu_mul_ctl.scala 137:112] + node _T_32018 = add(_T_32017, _T_31990) @[exu_mul_ctl.scala 137:112] + node _T_32019 = add(_T_32018, _T_31991) @[exu_mul_ctl.scala 137:112] + node _T_32020 = add(_T_32019, _T_31992) @[exu_mul_ctl.scala 137:112] + node _T_32021 = add(_T_32020, _T_31993) @[exu_mul_ctl.scala 137:112] + node _T_32022 = add(_T_32021, _T_31994) @[exu_mul_ctl.scala 137:112] + node _T_32023 = add(_T_32022, _T_31995) @[exu_mul_ctl.scala 137:112] + node _T_32024 = add(_T_32023, _T_31996) @[exu_mul_ctl.scala 137:112] + node _T_32025 = add(_T_32024, _T_31997) @[exu_mul_ctl.scala 137:112] + node _T_32026 = add(_T_32025, _T_31998) @[exu_mul_ctl.scala 137:112] + node _T_32027 = add(_T_32026, _T_31999) @[exu_mul_ctl.scala 137:112] + node _T_32028 = add(_T_32027, _T_32000) @[exu_mul_ctl.scala 137:112] + node _T_32029 = add(_T_32028, _T_32001) @[exu_mul_ctl.scala 137:112] + node _T_32030 = add(_T_32029, _T_32002) @[exu_mul_ctl.scala 137:112] + node _T_32031 = add(_T_32030, _T_32003) @[exu_mul_ctl.scala 137:112] + node _T_32032 = add(_T_32031, _T_32004) @[exu_mul_ctl.scala 137:112] + node _T_32033 = add(_T_32032, _T_32005) @[exu_mul_ctl.scala 137:112] + node _T_32034 = add(_T_32033, _T_32006) @[exu_mul_ctl.scala 137:112] + node _T_32035 = add(_T_32034, _T_32007) @[exu_mul_ctl.scala 137:112] + node _T_32036 = add(_T_32035, _T_32008) @[exu_mul_ctl.scala 137:112] + node _T_32037 = add(_T_32036, _T_32009) @[exu_mul_ctl.scala 137:112] + node _T_32038 = add(_T_32037, _T_32010) @[exu_mul_ctl.scala 137:112] + node _T_32039 = add(_T_32038, _T_32011) @[exu_mul_ctl.scala 137:112] + node _T_32040 = add(_T_32039, _T_32012) @[exu_mul_ctl.scala 137:112] + node _T_32041 = add(_T_32040, _T_32013) @[exu_mul_ctl.scala 137:112] + node _T_32042 = add(_T_32041, _T_32014) @[exu_mul_ctl.scala 137:112] + node _T_32043 = add(_T_32042, _T_32015) @[exu_mul_ctl.scala 137:112] + node _T_32044 = eq(_T_32043, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_32045 = bits(_T_32044, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32046 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_32047 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32048 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32049 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32050 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32051 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32052 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32053 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32054 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32055 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32056 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32057 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32058 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32059 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32060 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32061 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32062 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32063 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32064 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32065 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32066 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32067 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32068 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32069 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32070 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32071 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32072 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_32073 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_32074 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_32075 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_32076 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_32077 = add(_T_32047, _T_32048) @[exu_mul_ctl.scala 137:112] + node _T_32078 = add(_T_32077, _T_32049) @[exu_mul_ctl.scala 137:112] + node _T_32079 = add(_T_32078, _T_32050) @[exu_mul_ctl.scala 137:112] + node _T_32080 = add(_T_32079, _T_32051) @[exu_mul_ctl.scala 137:112] + node _T_32081 = add(_T_32080, _T_32052) @[exu_mul_ctl.scala 137:112] + node _T_32082 = add(_T_32081, _T_32053) @[exu_mul_ctl.scala 137:112] + node _T_32083 = add(_T_32082, _T_32054) @[exu_mul_ctl.scala 137:112] + node _T_32084 = add(_T_32083, _T_32055) @[exu_mul_ctl.scala 137:112] + node _T_32085 = add(_T_32084, _T_32056) @[exu_mul_ctl.scala 137:112] + node _T_32086 = add(_T_32085, _T_32057) @[exu_mul_ctl.scala 137:112] + node _T_32087 = add(_T_32086, _T_32058) @[exu_mul_ctl.scala 137:112] + node _T_32088 = add(_T_32087, _T_32059) @[exu_mul_ctl.scala 137:112] + node _T_32089 = add(_T_32088, _T_32060) @[exu_mul_ctl.scala 137:112] + node _T_32090 = add(_T_32089, _T_32061) @[exu_mul_ctl.scala 137:112] + node _T_32091 = add(_T_32090, _T_32062) @[exu_mul_ctl.scala 137:112] + node _T_32092 = add(_T_32091, _T_32063) @[exu_mul_ctl.scala 137:112] + node _T_32093 = add(_T_32092, _T_32064) @[exu_mul_ctl.scala 137:112] + node _T_32094 = add(_T_32093, _T_32065) @[exu_mul_ctl.scala 137:112] + node _T_32095 = add(_T_32094, _T_32066) @[exu_mul_ctl.scala 137:112] + node _T_32096 = add(_T_32095, _T_32067) @[exu_mul_ctl.scala 137:112] + node _T_32097 = add(_T_32096, _T_32068) @[exu_mul_ctl.scala 137:112] + node _T_32098 = add(_T_32097, _T_32069) @[exu_mul_ctl.scala 137:112] + node _T_32099 = add(_T_32098, _T_32070) @[exu_mul_ctl.scala 137:112] + node _T_32100 = add(_T_32099, _T_32071) @[exu_mul_ctl.scala 137:112] + node _T_32101 = add(_T_32100, _T_32072) @[exu_mul_ctl.scala 137:112] + node _T_32102 = add(_T_32101, _T_32073) @[exu_mul_ctl.scala 137:112] + node _T_32103 = add(_T_32102, _T_32074) @[exu_mul_ctl.scala 137:112] + node _T_32104 = add(_T_32103, _T_32075) @[exu_mul_ctl.scala 137:112] + node _T_32105 = add(_T_32104, _T_32076) @[exu_mul_ctl.scala 137:112] + node _T_32106 = eq(_T_32105, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_32107 = bits(_T_32106, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32108 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_32109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32116 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32117 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32118 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32119 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32120 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32121 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32122 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32123 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32124 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32125 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32126 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32127 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32128 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32129 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32130 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32131 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32132 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32133 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32134 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_32135 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_32136 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_32137 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_32138 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_32139 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_32140 = add(_T_32109, _T_32110) @[exu_mul_ctl.scala 137:112] + node _T_32141 = add(_T_32140, _T_32111) @[exu_mul_ctl.scala 137:112] + node _T_32142 = add(_T_32141, _T_32112) @[exu_mul_ctl.scala 137:112] + node _T_32143 = add(_T_32142, _T_32113) @[exu_mul_ctl.scala 137:112] + node _T_32144 = add(_T_32143, _T_32114) @[exu_mul_ctl.scala 137:112] + node _T_32145 = add(_T_32144, _T_32115) @[exu_mul_ctl.scala 137:112] + node _T_32146 = add(_T_32145, _T_32116) @[exu_mul_ctl.scala 137:112] + node _T_32147 = add(_T_32146, _T_32117) @[exu_mul_ctl.scala 137:112] + node _T_32148 = add(_T_32147, _T_32118) @[exu_mul_ctl.scala 137:112] + node _T_32149 = add(_T_32148, _T_32119) @[exu_mul_ctl.scala 137:112] + node _T_32150 = add(_T_32149, _T_32120) @[exu_mul_ctl.scala 137:112] + node _T_32151 = add(_T_32150, _T_32121) @[exu_mul_ctl.scala 137:112] + node _T_32152 = add(_T_32151, _T_32122) @[exu_mul_ctl.scala 137:112] + node _T_32153 = add(_T_32152, _T_32123) @[exu_mul_ctl.scala 137:112] + node _T_32154 = add(_T_32153, _T_32124) @[exu_mul_ctl.scala 137:112] + node _T_32155 = add(_T_32154, _T_32125) @[exu_mul_ctl.scala 137:112] + node _T_32156 = add(_T_32155, _T_32126) @[exu_mul_ctl.scala 137:112] + node _T_32157 = add(_T_32156, _T_32127) @[exu_mul_ctl.scala 137:112] + node _T_32158 = add(_T_32157, _T_32128) @[exu_mul_ctl.scala 137:112] + node _T_32159 = add(_T_32158, _T_32129) @[exu_mul_ctl.scala 137:112] + node _T_32160 = add(_T_32159, _T_32130) @[exu_mul_ctl.scala 137:112] + node _T_32161 = add(_T_32160, _T_32131) @[exu_mul_ctl.scala 137:112] + node _T_32162 = add(_T_32161, _T_32132) @[exu_mul_ctl.scala 137:112] + node _T_32163 = add(_T_32162, _T_32133) @[exu_mul_ctl.scala 137:112] + node _T_32164 = add(_T_32163, _T_32134) @[exu_mul_ctl.scala 137:112] + node _T_32165 = add(_T_32164, _T_32135) @[exu_mul_ctl.scala 137:112] + node _T_32166 = add(_T_32165, _T_32136) @[exu_mul_ctl.scala 137:112] + node _T_32167 = add(_T_32166, _T_32137) @[exu_mul_ctl.scala 137:112] + node _T_32168 = add(_T_32167, _T_32138) @[exu_mul_ctl.scala 137:112] + node _T_32169 = add(_T_32168, _T_32139) @[exu_mul_ctl.scala 137:112] + node _T_32170 = eq(_T_32169, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_32171 = bits(_T_32170, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32172 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_32173 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32174 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32175 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32176 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32177 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32178 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32179 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32180 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32181 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32182 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32183 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32184 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32185 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32186 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32187 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32188 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32189 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32190 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32191 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32192 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32193 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32194 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32195 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32196 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32197 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32198 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_32199 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_32200 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_32201 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_32202 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_32203 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_32204 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_32205 = add(_T_32173, _T_32174) @[exu_mul_ctl.scala 137:112] + node _T_32206 = add(_T_32205, _T_32175) @[exu_mul_ctl.scala 137:112] + node _T_32207 = add(_T_32206, _T_32176) @[exu_mul_ctl.scala 137:112] + node _T_32208 = add(_T_32207, _T_32177) @[exu_mul_ctl.scala 137:112] + node _T_32209 = add(_T_32208, _T_32178) @[exu_mul_ctl.scala 137:112] + node _T_32210 = add(_T_32209, _T_32179) @[exu_mul_ctl.scala 137:112] + node _T_32211 = add(_T_32210, _T_32180) @[exu_mul_ctl.scala 137:112] + node _T_32212 = add(_T_32211, _T_32181) @[exu_mul_ctl.scala 137:112] + node _T_32213 = add(_T_32212, _T_32182) @[exu_mul_ctl.scala 137:112] + node _T_32214 = add(_T_32213, _T_32183) @[exu_mul_ctl.scala 137:112] + node _T_32215 = add(_T_32214, _T_32184) @[exu_mul_ctl.scala 137:112] + node _T_32216 = add(_T_32215, _T_32185) @[exu_mul_ctl.scala 137:112] + node _T_32217 = add(_T_32216, _T_32186) @[exu_mul_ctl.scala 137:112] + node _T_32218 = add(_T_32217, _T_32187) @[exu_mul_ctl.scala 137:112] + node _T_32219 = add(_T_32218, _T_32188) @[exu_mul_ctl.scala 137:112] + node _T_32220 = add(_T_32219, _T_32189) @[exu_mul_ctl.scala 137:112] + node _T_32221 = add(_T_32220, _T_32190) @[exu_mul_ctl.scala 137:112] + node _T_32222 = add(_T_32221, _T_32191) @[exu_mul_ctl.scala 137:112] + node _T_32223 = add(_T_32222, _T_32192) @[exu_mul_ctl.scala 137:112] + node _T_32224 = add(_T_32223, _T_32193) @[exu_mul_ctl.scala 137:112] + node _T_32225 = add(_T_32224, _T_32194) @[exu_mul_ctl.scala 137:112] + node _T_32226 = add(_T_32225, _T_32195) @[exu_mul_ctl.scala 137:112] + node _T_32227 = add(_T_32226, _T_32196) @[exu_mul_ctl.scala 137:112] + node _T_32228 = add(_T_32227, _T_32197) @[exu_mul_ctl.scala 137:112] + node _T_32229 = add(_T_32228, _T_32198) @[exu_mul_ctl.scala 137:112] + node _T_32230 = add(_T_32229, _T_32199) @[exu_mul_ctl.scala 137:112] + node _T_32231 = add(_T_32230, _T_32200) @[exu_mul_ctl.scala 137:112] + node _T_32232 = add(_T_32231, _T_32201) @[exu_mul_ctl.scala 137:112] + node _T_32233 = add(_T_32232, _T_32202) @[exu_mul_ctl.scala 137:112] + node _T_32234 = add(_T_32233, _T_32203) @[exu_mul_ctl.scala 137:112] + node _T_32235 = add(_T_32234, _T_32204) @[exu_mul_ctl.scala 137:112] + node _T_32236 = eq(_T_32235, UInt<5>("h01c")) @[exu_mul_ctl.scala 138:87] + node _T_32237 = bits(_T_32236, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32238 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_32239 = mux(_T_32237, _T_32238, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_32240 = mux(_T_32171, _T_32172, _T_32239) @[Mux.scala 98:16] + node _T_32241 = mux(_T_32107, _T_32108, _T_32240) @[Mux.scala 98:16] + node _T_32242 = mux(_T_32045, _T_32046, _T_32241) @[Mux.scala 98:16] + node _T_32243 = mux(_T_31985, _T_31986, _T_32242) @[Mux.scala 98:16] + node _T_32244 = mux(_T_31927, _T_31928, _T_32243) @[Mux.scala 98:16] + node _T_32245 = mux(_T_31871, _T_31872, _T_32244) @[Mux.scala 98:16] + node _T_32246 = mux(_T_31817, _T_31818, _T_32245) @[Mux.scala 98:16] + node _T_32247 = mux(_T_31765, _T_31766, _T_32246) @[Mux.scala 98:16] + node _T_32248 = mux(_T_31715, _T_31716, _T_32247) @[Mux.scala 98:16] + node _T_32249 = mux(_T_31667, _T_31668, _T_32248) @[Mux.scala 98:16] + node _T_32250 = mux(_T_31621, _T_31622, _T_32249) @[Mux.scala 98:16] + node _T_32251 = mux(_T_31577, _T_31578, _T_32250) @[Mux.scala 98:16] + node _T_32252 = mux(_T_31535, _T_31536, _T_32251) @[Mux.scala 98:16] + node _T_32253 = mux(_T_31495, _T_31496, _T_32252) @[Mux.scala 98:16] + node _T_32254 = mux(_T_31457, _T_31458, _T_32253) @[Mux.scala 98:16] + node _T_32255 = mux(_T_31421, _T_31422, _T_32254) @[Mux.scala 98:16] + node _T_32256 = mux(_T_31387, _T_31388, _T_32255) @[Mux.scala 98:16] + node _T_32257 = mux(_T_31355, _T_31356, _T_32256) @[Mux.scala 98:16] + node _T_32258 = mux(_T_31325, _T_31326, _T_32257) @[Mux.scala 98:16] + node _T_32259 = mux(_T_31297, _T_31298, _T_32258) @[Mux.scala 98:16] + node _T_32260 = mux(_T_31271, _T_31272, _T_32259) @[Mux.scala 98:16] + node _T_32261 = mux(_T_31247, _T_31248, _T_32260) @[Mux.scala 98:16] + node _T_32262 = mux(_T_31225, _T_31226, _T_32261) @[Mux.scala 98:16] + node _T_32263 = mux(_T_31205, _T_31206, _T_32262) @[Mux.scala 98:16] + node _T_32264 = mux(_T_31187, _T_31188, _T_32263) @[Mux.scala 98:16] + node _T_32265 = mux(_T_31171, _T_31172, _T_32264) @[Mux.scala 98:16] + node _T_32266 = mux(_T_31157, _T_31158, _T_32265) @[Mux.scala 98:16] + node _T_32267 = mux(_T_31145, _T_31146, _T_32266) @[Mux.scala 98:16] + node _T_32268 = mux(_T_31135, _T_31136, _T_32267) @[Mux.scala 98:16] + node _T_32269 = mux(_T_31127, _T_31128, _T_32268) @[Mux.scala 98:16] + node _T_32270 = mux(_T_31121, _T_31122, _T_32269) @[Mux.scala 98:16] + node _T_32271 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_32272 = eq(_T_32271, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32273 = bits(_T_32272, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32274 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_32275 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32276 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32277 = add(_T_32275, _T_32276) @[exu_mul_ctl.scala 137:112] + node _T_32278 = eq(_T_32277, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32279 = bits(_T_32278, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32280 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_32281 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32282 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32283 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32284 = add(_T_32281, _T_32282) @[exu_mul_ctl.scala 137:112] + node _T_32285 = add(_T_32284, _T_32283) @[exu_mul_ctl.scala 137:112] + node _T_32286 = eq(_T_32285, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32287 = bits(_T_32286, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32288 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_32289 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32290 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32291 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32292 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32293 = add(_T_32289, _T_32290) @[exu_mul_ctl.scala 137:112] + node _T_32294 = add(_T_32293, _T_32291) @[exu_mul_ctl.scala 137:112] + node _T_32295 = add(_T_32294, _T_32292) @[exu_mul_ctl.scala 137:112] + node _T_32296 = eq(_T_32295, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32297 = bits(_T_32296, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32298 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_32299 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32300 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32301 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32302 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32303 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32304 = add(_T_32299, _T_32300) @[exu_mul_ctl.scala 137:112] + node _T_32305 = add(_T_32304, _T_32301) @[exu_mul_ctl.scala 137:112] + node _T_32306 = add(_T_32305, _T_32302) @[exu_mul_ctl.scala 137:112] + node _T_32307 = add(_T_32306, _T_32303) @[exu_mul_ctl.scala 137:112] + node _T_32308 = eq(_T_32307, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32309 = bits(_T_32308, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32310 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_32311 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32312 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32313 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32314 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32315 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32316 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32317 = add(_T_32311, _T_32312) @[exu_mul_ctl.scala 137:112] + node _T_32318 = add(_T_32317, _T_32313) @[exu_mul_ctl.scala 137:112] + node _T_32319 = add(_T_32318, _T_32314) @[exu_mul_ctl.scala 137:112] + node _T_32320 = add(_T_32319, _T_32315) @[exu_mul_ctl.scala 137:112] + node _T_32321 = add(_T_32320, _T_32316) @[exu_mul_ctl.scala 137:112] + node _T_32322 = eq(_T_32321, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32323 = bits(_T_32322, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32324 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_32325 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32326 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32327 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32328 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32329 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32330 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32331 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32332 = add(_T_32325, _T_32326) @[exu_mul_ctl.scala 137:112] + node _T_32333 = add(_T_32332, _T_32327) @[exu_mul_ctl.scala 137:112] + node _T_32334 = add(_T_32333, _T_32328) @[exu_mul_ctl.scala 137:112] + node _T_32335 = add(_T_32334, _T_32329) @[exu_mul_ctl.scala 137:112] + node _T_32336 = add(_T_32335, _T_32330) @[exu_mul_ctl.scala 137:112] + node _T_32337 = add(_T_32336, _T_32331) @[exu_mul_ctl.scala 137:112] + node _T_32338 = eq(_T_32337, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32339 = bits(_T_32338, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32340 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_32341 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32342 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32343 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32344 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32345 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32346 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32347 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32348 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32349 = add(_T_32341, _T_32342) @[exu_mul_ctl.scala 137:112] + node _T_32350 = add(_T_32349, _T_32343) @[exu_mul_ctl.scala 137:112] + node _T_32351 = add(_T_32350, _T_32344) @[exu_mul_ctl.scala 137:112] + node _T_32352 = add(_T_32351, _T_32345) @[exu_mul_ctl.scala 137:112] + node _T_32353 = add(_T_32352, _T_32346) @[exu_mul_ctl.scala 137:112] + node _T_32354 = add(_T_32353, _T_32347) @[exu_mul_ctl.scala 137:112] + node _T_32355 = add(_T_32354, _T_32348) @[exu_mul_ctl.scala 137:112] + node _T_32356 = eq(_T_32355, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32357 = bits(_T_32356, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32358 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_32359 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32360 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32361 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32362 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32363 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32364 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32365 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32366 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32367 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32368 = add(_T_32359, _T_32360) @[exu_mul_ctl.scala 137:112] + node _T_32369 = add(_T_32368, _T_32361) @[exu_mul_ctl.scala 137:112] + node _T_32370 = add(_T_32369, _T_32362) @[exu_mul_ctl.scala 137:112] + node _T_32371 = add(_T_32370, _T_32363) @[exu_mul_ctl.scala 137:112] + node _T_32372 = add(_T_32371, _T_32364) @[exu_mul_ctl.scala 137:112] + node _T_32373 = add(_T_32372, _T_32365) @[exu_mul_ctl.scala 137:112] + node _T_32374 = add(_T_32373, _T_32366) @[exu_mul_ctl.scala 137:112] + node _T_32375 = add(_T_32374, _T_32367) @[exu_mul_ctl.scala 137:112] + node _T_32376 = eq(_T_32375, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32377 = bits(_T_32376, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32378 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_32379 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32380 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32381 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32382 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32383 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32384 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32385 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32386 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32387 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32388 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32389 = add(_T_32379, _T_32380) @[exu_mul_ctl.scala 137:112] + node _T_32390 = add(_T_32389, _T_32381) @[exu_mul_ctl.scala 137:112] + node _T_32391 = add(_T_32390, _T_32382) @[exu_mul_ctl.scala 137:112] + node _T_32392 = add(_T_32391, _T_32383) @[exu_mul_ctl.scala 137:112] + node _T_32393 = add(_T_32392, _T_32384) @[exu_mul_ctl.scala 137:112] + node _T_32394 = add(_T_32393, _T_32385) @[exu_mul_ctl.scala 137:112] + node _T_32395 = add(_T_32394, _T_32386) @[exu_mul_ctl.scala 137:112] + node _T_32396 = add(_T_32395, _T_32387) @[exu_mul_ctl.scala 137:112] + node _T_32397 = add(_T_32396, _T_32388) @[exu_mul_ctl.scala 137:112] + node _T_32398 = eq(_T_32397, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32399 = bits(_T_32398, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32400 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_32401 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32402 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32403 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32404 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32405 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32406 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32407 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32408 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32409 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32410 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32411 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32412 = add(_T_32401, _T_32402) @[exu_mul_ctl.scala 137:112] + node _T_32413 = add(_T_32412, _T_32403) @[exu_mul_ctl.scala 137:112] + node _T_32414 = add(_T_32413, _T_32404) @[exu_mul_ctl.scala 137:112] + node _T_32415 = add(_T_32414, _T_32405) @[exu_mul_ctl.scala 137:112] + node _T_32416 = add(_T_32415, _T_32406) @[exu_mul_ctl.scala 137:112] + node _T_32417 = add(_T_32416, _T_32407) @[exu_mul_ctl.scala 137:112] + node _T_32418 = add(_T_32417, _T_32408) @[exu_mul_ctl.scala 137:112] + node _T_32419 = add(_T_32418, _T_32409) @[exu_mul_ctl.scala 137:112] + node _T_32420 = add(_T_32419, _T_32410) @[exu_mul_ctl.scala 137:112] + node _T_32421 = add(_T_32420, _T_32411) @[exu_mul_ctl.scala 137:112] + node _T_32422 = eq(_T_32421, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32423 = bits(_T_32422, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32424 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_32425 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32426 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32427 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32428 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32429 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32430 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32431 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32432 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32433 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32434 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32435 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32436 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32437 = add(_T_32425, _T_32426) @[exu_mul_ctl.scala 137:112] + node _T_32438 = add(_T_32437, _T_32427) @[exu_mul_ctl.scala 137:112] + node _T_32439 = add(_T_32438, _T_32428) @[exu_mul_ctl.scala 137:112] + node _T_32440 = add(_T_32439, _T_32429) @[exu_mul_ctl.scala 137:112] + node _T_32441 = add(_T_32440, _T_32430) @[exu_mul_ctl.scala 137:112] + node _T_32442 = add(_T_32441, _T_32431) @[exu_mul_ctl.scala 137:112] + node _T_32443 = add(_T_32442, _T_32432) @[exu_mul_ctl.scala 137:112] + node _T_32444 = add(_T_32443, _T_32433) @[exu_mul_ctl.scala 137:112] + node _T_32445 = add(_T_32444, _T_32434) @[exu_mul_ctl.scala 137:112] + node _T_32446 = add(_T_32445, _T_32435) @[exu_mul_ctl.scala 137:112] + node _T_32447 = add(_T_32446, _T_32436) @[exu_mul_ctl.scala 137:112] + node _T_32448 = eq(_T_32447, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32449 = bits(_T_32448, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32450 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_32451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32453 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32454 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32455 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32456 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32457 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32458 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32459 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32460 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32461 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32462 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32463 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32464 = add(_T_32451, _T_32452) @[exu_mul_ctl.scala 137:112] + node _T_32465 = add(_T_32464, _T_32453) @[exu_mul_ctl.scala 137:112] + node _T_32466 = add(_T_32465, _T_32454) @[exu_mul_ctl.scala 137:112] + node _T_32467 = add(_T_32466, _T_32455) @[exu_mul_ctl.scala 137:112] + node _T_32468 = add(_T_32467, _T_32456) @[exu_mul_ctl.scala 137:112] + node _T_32469 = add(_T_32468, _T_32457) @[exu_mul_ctl.scala 137:112] + node _T_32470 = add(_T_32469, _T_32458) @[exu_mul_ctl.scala 137:112] + node _T_32471 = add(_T_32470, _T_32459) @[exu_mul_ctl.scala 137:112] + node _T_32472 = add(_T_32471, _T_32460) @[exu_mul_ctl.scala 137:112] + node _T_32473 = add(_T_32472, _T_32461) @[exu_mul_ctl.scala 137:112] + node _T_32474 = add(_T_32473, _T_32462) @[exu_mul_ctl.scala 137:112] + node _T_32475 = add(_T_32474, _T_32463) @[exu_mul_ctl.scala 137:112] + node _T_32476 = eq(_T_32475, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32477 = bits(_T_32476, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32478 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_32479 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32480 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32481 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32482 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32483 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32484 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32485 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32486 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32487 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32488 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32489 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32490 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32491 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32492 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32493 = add(_T_32479, _T_32480) @[exu_mul_ctl.scala 137:112] + node _T_32494 = add(_T_32493, _T_32481) @[exu_mul_ctl.scala 137:112] + node _T_32495 = add(_T_32494, _T_32482) @[exu_mul_ctl.scala 137:112] + node _T_32496 = add(_T_32495, _T_32483) @[exu_mul_ctl.scala 137:112] + node _T_32497 = add(_T_32496, _T_32484) @[exu_mul_ctl.scala 137:112] + node _T_32498 = add(_T_32497, _T_32485) @[exu_mul_ctl.scala 137:112] + node _T_32499 = add(_T_32498, _T_32486) @[exu_mul_ctl.scala 137:112] + node _T_32500 = add(_T_32499, _T_32487) @[exu_mul_ctl.scala 137:112] + node _T_32501 = add(_T_32500, _T_32488) @[exu_mul_ctl.scala 137:112] + node _T_32502 = add(_T_32501, _T_32489) @[exu_mul_ctl.scala 137:112] + node _T_32503 = add(_T_32502, _T_32490) @[exu_mul_ctl.scala 137:112] + node _T_32504 = add(_T_32503, _T_32491) @[exu_mul_ctl.scala 137:112] + node _T_32505 = add(_T_32504, _T_32492) @[exu_mul_ctl.scala 137:112] + node _T_32506 = eq(_T_32505, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32507 = bits(_T_32506, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32508 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_32509 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32510 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32511 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32512 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32513 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32514 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32515 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32516 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32517 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32518 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32519 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32520 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32521 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32522 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32523 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32524 = add(_T_32509, _T_32510) @[exu_mul_ctl.scala 137:112] + node _T_32525 = add(_T_32524, _T_32511) @[exu_mul_ctl.scala 137:112] + node _T_32526 = add(_T_32525, _T_32512) @[exu_mul_ctl.scala 137:112] + node _T_32527 = add(_T_32526, _T_32513) @[exu_mul_ctl.scala 137:112] + node _T_32528 = add(_T_32527, _T_32514) @[exu_mul_ctl.scala 137:112] + node _T_32529 = add(_T_32528, _T_32515) @[exu_mul_ctl.scala 137:112] + node _T_32530 = add(_T_32529, _T_32516) @[exu_mul_ctl.scala 137:112] + node _T_32531 = add(_T_32530, _T_32517) @[exu_mul_ctl.scala 137:112] + node _T_32532 = add(_T_32531, _T_32518) @[exu_mul_ctl.scala 137:112] + node _T_32533 = add(_T_32532, _T_32519) @[exu_mul_ctl.scala 137:112] + node _T_32534 = add(_T_32533, _T_32520) @[exu_mul_ctl.scala 137:112] + node _T_32535 = add(_T_32534, _T_32521) @[exu_mul_ctl.scala 137:112] + node _T_32536 = add(_T_32535, _T_32522) @[exu_mul_ctl.scala 137:112] + node _T_32537 = add(_T_32536, _T_32523) @[exu_mul_ctl.scala 137:112] + node _T_32538 = eq(_T_32537, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32539 = bits(_T_32538, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32540 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_32541 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32542 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32543 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32544 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32545 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32546 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32547 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32548 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32549 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32550 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32551 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32552 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32553 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32554 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32555 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32556 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32557 = add(_T_32541, _T_32542) @[exu_mul_ctl.scala 137:112] + node _T_32558 = add(_T_32557, _T_32543) @[exu_mul_ctl.scala 137:112] + node _T_32559 = add(_T_32558, _T_32544) @[exu_mul_ctl.scala 137:112] + node _T_32560 = add(_T_32559, _T_32545) @[exu_mul_ctl.scala 137:112] + node _T_32561 = add(_T_32560, _T_32546) @[exu_mul_ctl.scala 137:112] + node _T_32562 = add(_T_32561, _T_32547) @[exu_mul_ctl.scala 137:112] + node _T_32563 = add(_T_32562, _T_32548) @[exu_mul_ctl.scala 137:112] + node _T_32564 = add(_T_32563, _T_32549) @[exu_mul_ctl.scala 137:112] + node _T_32565 = add(_T_32564, _T_32550) @[exu_mul_ctl.scala 137:112] + node _T_32566 = add(_T_32565, _T_32551) @[exu_mul_ctl.scala 137:112] + node _T_32567 = add(_T_32566, _T_32552) @[exu_mul_ctl.scala 137:112] + node _T_32568 = add(_T_32567, _T_32553) @[exu_mul_ctl.scala 137:112] + node _T_32569 = add(_T_32568, _T_32554) @[exu_mul_ctl.scala 137:112] + node _T_32570 = add(_T_32569, _T_32555) @[exu_mul_ctl.scala 137:112] + node _T_32571 = add(_T_32570, _T_32556) @[exu_mul_ctl.scala 137:112] + node _T_32572 = eq(_T_32571, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32573 = bits(_T_32572, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32574 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_32575 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32576 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32577 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32578 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32579 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32580 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32581 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32582 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32583 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32584 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32585 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32586 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32587 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32588 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32589 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32590 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32591 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32592 = add(_T_32575, _T_32576) @[exu_mul_ctl.scala 137:112] + node _T_32593 = add(_T_32592, _T_32577) @[exu_mul_ctl.scala 137:112] + node _T_32594 = add(_T_32593, _T_32578) @[exu_mul_ctl.scala 137:112] + node _T_32595 = add(_T_32594, _T_32579) @[exu_mul_ctl.scala 137:112] + node _T_32596 = add(_T_32595, _T_32580) @[exu_mul_ctl.scala 137:112] + node _T_32597 = add(_T_32596, _T_32581) @[exu_mul_ctl.scala 137:112] + node _T_32598 = add(_T_32597, _T_32582) @[exu_mul_ctl.scala 137:112] + node _T_32599 = add(_T_32598, _T_32583) @[exu_mul_ctl.scala 137:112] + node _T_32600 = add(_T_32599, _T_32584) @[exu_mul_ctl.scala 137:112] + node _T_32601 = add(_T_32600, _T_32585) @[exu_mul_ctl.scala 137:112] + node _T_32602 = add(_T_32601, _T_32586) @[exu_mul_ctl.scala 137:112] + node _T_32603 = add(_T_32602, _T_32587) @[exu_mul_ctl.scala 137:112] + node _T_32604 = add(_T_32603, _T_32588) @[exu_mul_ctl.scala 137:112] + node _T_32605 = add(_T_32604, _T_32589) @[exu_mul_ctl.scala 137:112] + node _T_32606 = add(_T_32605, _T_32590) @[exu_mul_ctl.scala 137:112] + node _T_32607 = add(_T_32606, _T_32591) @[exu_mul_ctl.scala 137:112] + node _T_32608 = eq(_T_32607, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32609 = bits(_T_32608, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32610 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_32611 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32612 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32613 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32614 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32615 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32616 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32617 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32618 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32619 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32620 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32621 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32622 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32623 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32624 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32625 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32626 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32627 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32628 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32629 = add(_T_32611, _T_32612) @[exu_mul_ctl.scala 137:112] + node _T_32630 = add(_T_32629, _T_32613) @[exu_mul_ctl.scala 137:112] + node _T_32631 = add(_T_32630, _T_32614) @[exu_mul_ctl.scala 137:112] + node _T_32632 = add(_T_32631, _T_32615) @[exu_mul_ctl.scala 137:112] + node _T_32633 = add(_T_32632, _T_32616) @[exu_mul_ctl.scala 137:112] + node _T_32634 = add(_T_32633, _T_32617) @[exu_mul_ctl.scala 137:112] + node _T_32635 = add(_T_32634, _T_32618) @[exu_mul_ctl.scala 137:112] + node _T_32636 = add(_T_32635, _T_32619) @[exu_mul_ctl.scala 137:112] + node _T_32637 = add(_T_32636, _T_32620) @[exu_mul_ctl.scala 137:112] + node _T_32638 = add(_T_32637, _T_32621) @[exu_mul_ctl.scala 137:112] + node _T_32639 = add(_T_32638, _T_32622) @[exu_mul_ctl.scala 137:112] + node _T_32640 = add(_T_32639, _T_32623) @[exu_mul_ctl.scala 137:112] + node _T_32641 = add(_T_32640, _T_32624) @[exu_mul_ctl.scala 137:112] + node _T_32642 = add(_T_32641, _T_32625) @[exu_mul_ctl.scala 137:112] + node _T_32643 = add(_T_32642, _T_32626) @[exu_mul_ctl.scala 137:112] + node _T_32644 = add(_T_32643, _T_32627) @[exu_mul_ctl.scala 137:112] + node _T_32645 = add(_T_32644, _T_32628) @[exu_mul_ctl.scala 137:112] + node _T_32646 = eq(_T_32645, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32647 = bits(_T_32646, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32648 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_32649 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32650 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32651 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32652 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32653 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32654 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32655 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32656 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32657 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32658 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32659 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32660 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32661 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32662 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32663 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32664 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32665 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32666 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32667 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32668 = add(_T_32649, _T_32650) @[exu_mul_ctl.scala 137:112] + node _T_32669 = add(_T_32668, _T_32651) @[exu_mul_ctl.scala 137:112] + node _T_32670 = add(_T_32669, _T_32652) @[exu_mul_ctl.scala 137:112] + node _T_32671 = add(_T_32670, _T_32653) @[exu_mul_ctl.scala 137:112] + node _T_32672 = add(_T_32671, _T_32654) @[exu_mul_ctl.scala 137:112] + node _T_32673 = add(_T_32672, _T_32655) @[exu_mul_ctl.scala 137:112] + node _T_32674 = add(_T_32673, _T_32656) @[exu_mul_ctl.scala 137:112] + node _T_32675 = add(_T_32674, _T_32657) @[exu_mul_ctl.scala 137:112] + node _T_32676 = add(_T_32675, _T_32658) @[exu_mul_ctl.scala 137:112] + node _T_32677 = add(_T_32676, _T_32659) @[exu_mul_ctl.scala 137:112] + node _T_32678 = add(_T_32677, _T_32660) @[exu_mul_ctl.scala 137:112] + node _T_32679 = add(_T_32678, _T_32661) @[exu_mul_ctl.scala 137:112] + node _T_32680 = add(_T_32679, _T_32662) @[exu_mul_ctl.scala 137:112] + node _T_32681 = add(_T_32680, _T_32663) @[exu_mul_ctl.scala 137:112] + node _T_32682 = add(_T_32681, _T_32664) @[exu_mul_ctl.scala 137:112] + node _T_32683 = add(_T_32682, _T_32665) @[exu_mul_ctl.scala 137:112] + node _T_32684 = add(_T_32683, _T_32666) @[exu_mul_ctl.scala 137:112] + node _T_32685 = add(_T_32684, _T_32667) @[exu_mul_ctl.scala 137:112] + node _T_32686 = eq(_T_32685, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32687 = bits(_T_32686, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32688 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_32689 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32690 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32691 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32692 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32693 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32694 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32695 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32696 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32697 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32698 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32699 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32700 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32701 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32702 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32703 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32704 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32705 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32706 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32707 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32708 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32709 = add(_T_32689, _T_32690) @[exu_mul_ctl.scala 137:112] + node _T_32710 = add(_T_32709, _T_32691) @[exu_mul_ctl.scala 137:112] + node _T_32711 = add(_T_32710, _T_32692) @[exu_mul_ctl.scala 137:112] + node _T_32712 = add(_T_32711, _T_32693) @[exu_mul_ctl.scala 137:112] + node _T_32713 = add(_T_32712, _T_32694) @[exu_mul_ctl.scala 137:112] + node _T_32714 = add(_T_32713, _T_32695) @[exu_mul_ctl.scala 137:112] + node _T_32715 = add(_T_32714, _T_32696) @[exu_mul_ctl.scala 137:112] + node _T_32716 = add(_T_32715, _T_32697) @[exu_mul_ctl.scala 137:112] + node _T_32717 = add(_T_32716, _T_32698) @[exu_mul_ctl.scala 137:112] + node _T_32718 = add(_T_32717, _T_32699) @[exu_mul_ctl.scala 137:112] + node _T_32719 = add(_T_32718, _T_32700) @[exu_mul_ctl.scala 137:112] + node _T_32720 = add(_T_32719, _T_32701) @[exu_mul_ctl.scala 137:112] + node _T_32721 = add(_T_32720, _T_32702) @[exu_mul_ctl.scala 137:112] + node _T_32722 = add(_T_32721, _T_32703) @[exu_mul_ctl.scala 137:112] + node _T_32723 = add(_T_32722, _T_32704) @[exu_mul_ctl.scala 137:112] + node _T_32724 = add(_T_32723, _T_32705) @[exu_mul_ctl.scala 137:112] + node _T_32725 = add(_T_32724, _T_32706) @[exu_mul_ctl.scala 137:112] + node _T_32726 = add(_T_32725, _T_32707) @[exu_mul_ctl.scala 137:112] + node _T_32727 = add(_T_32726, _T_32708) @[exu_mul_ctl.scala 137:112] + node _T_32728 = eq(_T_32727, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32729 = bits(_T_32728, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32730 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_32731 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32732 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32733 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32734 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32735 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32736 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32737 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32738 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32739 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32740 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32741 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32742 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32743 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32744 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32745 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32746 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32747 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32748 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32749 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32750 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32751 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32752 = add(_T_32731, _T_32732) @[exu_mul_ctl.scala 137:112] + node _T_32753 = add(_T_32752, _T_32733) @[exu_mul_ctl.scala 137:112] + node _T_32754 = add(_T_32753, _T_32734) @[exu_mul_ctl.scala 137:112] + node _T_32755 = add(_T_32754, _T_32735) @[exu_mul_ctl.scala 137:112] + node _T_32756 = add(_T_32755, _T_32736) @[exu_mul_ctl.scala 137:112] + node _T_32757 = add(_T_32756, _T_32737) @[exu_mul_ctl.scala 137:112] + node _T_32758 = add(_T_32757, _T_32738) @[exu_mul_ctl.scala 137:112] + node _T_32759 = add(_T_32758, _T_32739) @[exu_mul_ctl.scala 137:112] + node _T_32760 = add(_T_32759, _T_32740) @[exu_mul_ctl.scala 137:112] + node _T_32761 = add(_T_32760, _T_32741) @[exu_mul_ctl.scala 137:112] + node _T_32762 = add(_T_32761, _T_32742) @[exu_mul_ctl.scala 137:112] + node _T_32763 = add(_T_32762, _T_32743) @[exu_mul_ctl.scala 137:112] + node _T_32764 = add(_T_32763, _T_32744) @[exu_mul_ctl.scala 137:112] + node _T_32765 = add(_T_32764, _T_32745) @[exu_mul_ctl.scala 137:112] + node _T_32766 = add(_T_32765, _T_32746) @[exu_mul_ctl.scala 137:112] + node _T_32767 = add(_T_32766, _T_32747) @[exu_mul_ctl.scala 137:112] + node _T_32768 = add(_T_32767, _T_32748) @[exu_mul_ctl.scala 137:112] + node _T_32769 = add(_T_32768, _T_32749) @[exu_mul_ctl.scala 137:112] + node _T_32770 = add(_T_32769, _T_32750) @[exu_mul_ctl.scala 137:112] + node _T_32771 = add(_T_32770, _T_32751) @[exu_mul_ctl.scala 137:112] + node _T_32772 = eq(_T_32771, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32773 = bits(_T_32772, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32774 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_32775 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32776 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32777 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32778 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32779 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32780 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32781 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32782 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32783 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32784 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32785 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32786 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32787 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32788 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32789 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32790 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32791 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32792 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32793 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32794 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32795 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32796 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32797 = add(_T_32775, _T_32776) @[exu_mul_ctl.scala 137:112] + node _T_32798 = add(_T_32797, _T_32777) @[exu_mul_ctl.scala 137:112] + node _T_32799 = add(_T_32798, _T_32778) @[exu_mul_ctl.scala 137:112] + node _T_32800 = add(_T_32799, _T_32779) @[exu_mul_ctl.scala 137:112] + node _T_32801 = add(_T_32800, _T_32780) @[exu_mul_ctl.scala 137:112] + node _T_32802 = add(_T_32801, _T_32781) @[exu_mul_ctl.scala 137:112] + node _T_32803 = add(_T_32802, _T_32782) @[exu_mul_ctl.scala 137:112] + node _T_32804 = add(_T_32803, _T_32783) @[exu_mul_ctl.scala 137:112] + node _T_32805 = add(_T_32804, _T_32784) @[exu_mul_ctl.scala 137:112] + node _T_32806 = add(_T_32805, _T_32785) @[exu_mul_ctl.scala 137:112] + node _T_32807 = add(_T_32806, _T_32786) @[exu_mul_ctl.scala 137:112] + node _T_32808 = add(_T_32807, _T_32787) @[exu_mul_ctl.scala 137:112] + node _T_32809 = add(_T_32808, _T_32788) @[exu_mul_ctl.scala 137:112] + node _T_32810 = add(_T_32809, _T_32789) @[exu_mul_ctl.scala 137:112] + node _T_32811 = add(_T_32810, _T_32790) @[exu_mul_ctl.scala 137:112] + node _T_32812 = add(_T_32811, _T_32791) @[exu_mul_ctl.scala 137:112] + node _T_32813 = add(_T_32812, _T_32792) @[exu_mul_ctl.scala 137:112] + node _T_32814 = add(_T_32813, _T_32793) @[exu_mul_ctl.scala 137:112] + node _T_32815 = add(_T_32814, _T_32794) @[exu_mul_ctl.scala 137:112] + node _T_32816 = add(_T_32815, _T_32795) @[exu_mul_ctl.scala 137:112] + node _T_32817 = add(_T_32816, _T_32796) @[exu_mul_ctl.scala 137:112] + node _T_32818 = eq(_T_32817, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32819 = bits(_T_32818, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32820 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_32821 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32822 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32823 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32824 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32825 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32826 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32827 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32828 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32829 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32830 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32831 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32832 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32833 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32834 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32835 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32836 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32837 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32838 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32839 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32840 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32841 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32842 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32843 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32844 = add(_T_32821, _T_32822) @[exu_mul_ctl.scala 137:112] + node _T_32845 = add(_T_32844, _T_32823) @[exu_mul_ctl.scala 137:112] + node _T_32846 = add(_T_32845, _T_32824) @[exu_mul_ctl.scala 137:112] + node _T_32847 = add(_T_32846, _T_32825) @[exu_mul_ctl.scala 137:112] + node _T_32848 = add(_T_32847, _T_32826) @[exu_mul_ctl.scala 137:112] + node _T_32849 = add(_T_32848, _T_32827) @[exu_mul_ctl.scala 137:112] + node _T_32850 = add(_T_32849, _T_32828) @[exu_mul_ctl.scala 137:112] + node _T_32851 = add(_T_32850, _T_32829) @[exu_mul_ctl.scala 137:112] + node _T_32852 = add(_T_32851, _T_32830) @[exu_mul_ctl.scala 137:112] + node _T_32853 = add(_T_32852, _T_32831) @[exu_mul_ctl.scala 137:112] + node _T_32854 = add(_T_32853, _T_32832) @[exu_mul_ctl.scala 137:112] + node _T_32855 = add(_T_32854, _T_32833) @[exu_mul_ctl.scala 137:112] + node _T_32856 = add(_T_32855, _T_32834) @[exu_mul_ctl.scala 137:112] + node _T_32857 = add(_T_32856, _T_32835) @[exu_mul_ctl.scala 137:112] + node _T_32858 = add(_T_32857, _T_32836) @[exu_mul_ctl.scala 137:112] + node _T_32859 = add(_T_32858, _T_32837) @[exu_mul_ctl.scala 137:112] + node _T_32860 = add(_T_32859, _T_32838) @[exu_mul_ctl.scala 137:112] + node _T_32861 = add(_T_32860, _T_32839) @[exu_mul_ctl.scala 137:112] + node _T_32862 = add(_T_32861, _T_32840) @[exu_mul_ctl.scala 137:112] + node _T_32863 = add(_T_32862, _T_32841) @[exu_mul_ctl.scala 137:112] + node _T_32864 = add(_T_32863, _T_32842) @[exu_mul_ctl.scala 137:112] + node _T_32865 = add(_T_32864, _T_32843) @[exu_mul_ctl.scala 137:112] + node _T_32866 = eq(_T_32865, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32867 = bits(_T_32866, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32868 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_32869 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32870 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32871 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32872 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32873 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32874 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32875 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32876 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32877 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32878 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32879 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32880 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32881 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32882 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32883 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32884 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32885 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32886 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32887 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32888 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32889 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32890 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32891 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32892 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32893 = add(_T_32869, _T_32870) @[exu_mul_ctl.scala 137:112] + node _T_32894 = add(_T_32893, _T_32871) @[exu_mul_ctl.scala 137:112] + node _T_32895 = add(_T_32894, _T_32872) @[exu_mul_ctl.scala 137:112] + node _T_32896 = add(_T_32895, _T_32873) @[exu_mul_ctl.scala 137:112] + node _T_32897 = add(_T_32896, _T_32874) @[exu_mul_ctl.scala 137:112] + node _T_32898 = add(_T_32897, _T_32875) @[exu_mul_ctl.scala 137:112] + node _T_32899 = add(_T_32898, _T_32876) @[exu_mul_ctl.scala 137:112] + node _T_32900 = add(_T_32899, _T_32877) @[exu_mul_ctl.scala 137:112] + node _T_32901 = add(_T_32900, _T_32878) @[exu_mul_ctl.scala 137:112] + node _T_32902 = add(_T_32901, _T_32879) @[exu_mul_ctl.scala 137:112] + node _T_32903 = add(_T_32902, _T_32880) @[exu_mul_ctl.scala 137:112] + node _T_32904 = add(_T_32903, _T_32881) @[exu_mul_ctl.scala 137:112] + node _T_32905 = add(_T_32904, _T_32882) @[exu_mul_ctl.scala 137:112] + node _T_32906 = add(_T_32905, _T_32883) @[exu_mul_ctl.scala 137:112] + node _T_32907 = add(_T_32906, _T_32884) @[exu_mul_ctl.scala 137:112] + node _T_32908 = add(_T_32907, _T_32885) @[exu_mul_ctl.scala 137:112] + node _T_32909 = add(_T_32908, _T_32886) @[exu_mul_ctl.scala 137:112] + node _T_32910 = add(_T_32909, _T_32887) @[exu_mul_ctl.scala 137:112] + node _T_32911 = add(_T_32910, _T_32888) @[exu_mul_ctl.scala 137:112] + node _T_32912 = add(_T_32911, _T_32889) @[exu_mul_ctl.scala 137:112] + node _T_32913 = add(_T_32912, _T_32890) @[exu_mul_ctl.scala 137:112] + node _T_32914 = add(_T_32913, _T_32891) @[exu_mul_ctl.scala 137:112] + node _T_32915 = add(_T_32914, _T_32892) @[exu_mul_ctl.scala 137:112] + node _T_32916 = eq(_T_32915, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32917 = bits(_T_32916, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32918 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_32919 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32920 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32921 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32922 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32923 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32924 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32925 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32926 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32927 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32928 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32929 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32930 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32931 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32932 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32933 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32934 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32935 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32936 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32937 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32938 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32939 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32940 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32941 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32942 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32943 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32944 = add(_T_32919, _T_32920) @[exu_mul_ctl.scala 137:112] + node _T_32945 = add(_T_32944, _T_32921) @[exu_mul_ctl.scala 137:112] + node _T_32946 = add(_T_32945, _T_32922) @[exu_mul_ctl.scala 137:112] + node _T_32947 = add(_T_32946, _T_32923) @[exu_mul_ctl.scala 137:112] + node _T_32948 = add(_T_32947, _T_32924) @[exu_mul_ctl.scala 137:112] + node _T_32949 = add(_T_32948, _T_32925) @[exu_mul_ctl.scala 137:112] + node _T_32950 = add(_T_32949, _T_32926) @[exu_mul_ctl.scala 137:112] + node _T_32951 = add(_T_32950, _T_32927) @[exu_mul_ctl.scala 137:112] + node _T_32952 = add(_T_32951, _T_32928) @[exu_mul_ctl.scala 137:112] + node _T_32953 = add(_T_32952, _T_32929) @[exu_mul_ctl.scala 137:112] + node _T_32954 = add(_T_32953, _T_32930) @[exu_mul_ctl.scala 137:112] + node _T_32955 = add(_T_32954, _T_32931) @[exu_mul_ctl.scala 137:112] + node _T_32956 = add(_T_32955, _T_32932) @[exu_mul_ctl.scala 137:112] + node _T_32957 = add(_T_32956, _T_32933) @[exu_mul_ctl.scala 137:112] + node _T_32958 = add(_T_32957, _T_32934) @[exu_mul_ctl.scala 137:112] + node _T_32959 = add(_T_32958, _T_32935) @[exu_mul_ctl.scala 137:112] + node _T_32960 = add(_T_32959, _T_32936) @[exu_mul_ctl.scala 137:112] + node _T_32961 = add(_T_32960, _T_32937) @[exu_mul_ctl.scala 137:112] + node _T_32962 = add(_T_32961, _T_32938) @[exu_mul_ctl.scala 137:112] + node _T_32963 = add(_T_32962, _T_32939) @[exu_mul_ctl.scala 137:112] + node _T_32964 = add(_T_32963, _T_32940) @[exu_mul_ctl.scala 137:112] + node _T_32965 = add(_T_32964, _T_32941) @[exu_mul_ctl.scala 137:112] + node _T_32966 = add(_T_32965, _T_32942) @[exu_mul_ctl.scala 137:112] + node _T_32967 = add(_T_32966, _T_32943) @[exu_mul_ctl.scala 137:112] + node _T_32968 = eq(_T_32967, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_32969 = bits(_T_32968, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_32970 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_32971 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_32972 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_32973 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_32974 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_32975 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_32976 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_32977 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_32978 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_32979 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_32980 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_32981 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_32982 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_32983 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_32984 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_32985 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_32986 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_32987 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_32988 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_32989 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_32990 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_32991 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_32992 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_32993 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_32994 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_32995 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_32996 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_32997 = add(_T_32971, _T_32972) @[exu_mul_ctl.scala 137:112] + node _T_32998 = add(_T_32997, _T_32973) @[exu_mul_ctl.scala 137:112] + node _T_32999 = add(_T_32998, _T_32974) @[exu_mul_ctl.scala 137:112] + node _T_33000 = add(_T_32999, _T_32975) @[exu_mul_ctl.scala 137:112] + node _T_33001 = add(_T_33000, _T_32976) @[exu_mul_ctl.scala 137:112] + node _T_33002 = add(_T_33001, _T_32977) @[exu_mul_ctl.scala 137:112] + node _T_33003 = add(_T_33002, _T_32978) @[exu_mul_ctl.scala 137:112] + node _T_33004 = add(_T_33003, _T_32979) @[exu_mul_ctl.scala 137:112] + node _T_33005 = add(_T_33004, _T_32980) @[exu_mul_ctl.scala 137:112] + node _T_33006 = add(_T_33005, _T_32981) @[exu_mul_ctl.scala 137:112] + node _T_33007 = add(_T_33006, _T_32982) @[exu_mul_ctl.scala 137:112] + node _T_33008 = add(_T_33007, _T_32983) @[exu_mul_ctl.scala 137:112] + node _T_33009 = add(_T_33008, _T_32984) @[exu_mul_ctl.scala 137:112] + node _T_33010 = add(_T_33009, _T_32985) @[exu_mul_ctl.scala 137:112] + node _T_33011 = add(_T_33010, _T_32986) @[exu_mul_ctl.scala 137:112] + node _T_33012 = add(_T_33011, _T_32987) @[exu_mul_ctl.scala 137:112] + node _T_33013 = add(_T_33012, _T_32988) @[exu_mul_ctl.scala 137:112] + node _T_33014 = add(_T_33013, _T_32989) @[exu_mul_ctl.scala 137:112] + node _T_33015 = add(_T_33014, _T_32990) @[exu_mul_ctl.scala 137:112] + node _T_33016 = add(_T_33015, _T_32991) @[exu_mul_ctl.scala 137:112] + node _T_33017 = add(_T_33016, _T_32992) @[exu_mul_ctl.scala 137:112] + node _T_33018 = add(_T_33017, _T_32993) @[exu_mul_ctl.scala 137:112] + node _T_33019 = add(_T_33018, _T_32994) @[exu_mul_ctl.scala 137:112] + node _T_33020 = add(_T_33019, _T_32995) @[exu_mul_ctl.scala 137:112] + node _T_33021 = add(_T_33020, _T_32996) @[exu_mul_ctl.scala 137:112] + node _T_33022 = eq(_T_33021, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33023 = bits(_T_33022, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33024 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_33025 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33026 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33027 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33028 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33029 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33030 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33031 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33032 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33033 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33034 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33035 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33036 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33037 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33038 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33039 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33040 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33041 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33042 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33043 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33044 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33045 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33046 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33047 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33048 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33049 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33050 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33051 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33052 = add(_T_33025, _T_33026) @[exu_mul_ctl.scala 137:112] + node _T_33053 = add(_T_33052, _T_33027) @[exu_mul_ctl.scala 137:112] + node _T_33054 = add(_T_33053, _T_33028) @[exu_mul_ctl.scala 137:112] + node _T_33055 = add(_T_33054, _T_33029) @[exu_mul_ctl.scala 137:112] + node _T_33056 = add(_T_33055, _T_33030) @[exu_mul_ctl.scala 137:112] + node _T_33057 = add(_T_33056, _T_33031) @[exu_mul_ctl.scala 137:112] + node _T_33058 = add(_T_33057, _T_33032) @[exu_mul_ctl.scala 137:112] + node _T_33059 = add(_T_33058, _T_33033) @[exu_mul_ctl.scala 137:112] + node _T_33060 = add(_T_33059, _T_33034) @[exu_mul_ctl.scala 137:112] + node _T_33061 = add(_T_33060, _T_33035) @[exu_mul_ctl.scala 137:112] + node _T_33062 = add(_T_33061, _T_33036) @[exu_mul_ctl.scala 137:112] + node _T_33063 = add(_T_33062, _T_33037) @[exu_mul_ctl.scala 137:112] + node _T_33064 = add(_T_33063, _T_33038) @[exu_mul_ctl.scala 137:112] + node _T_33065 = add(_T_33064, _T_33039) @[exu_mul_ctl.scala 137:112] + node _T_33066 = add(_T_33065, _T_33040) @[exu_mul_ctl.scala 137:112] + node _T_33067 = add(_T_33066, _T_33041) @[exu_mul_ctl.scala 137:112] + node _T_33068 = add(_T_33067, _T_33042) @[exu_mul_ctl.scala 137:112] + node _T_33069 = add(_T_33068, _T_33043) @[exu_mul_ctl.scala 137:112] + node _T_33070 = add(_T_33069, _T_33044) @[exu_mul_ctl.scala 137:112] + node _T_33071 = add(_T_33070, _T_33045) @[exu_mul_ctl.scala 137:112] + node _T_33072 = add(_T_33071, _T_33046) @[exu_mul_ctl.scala 137:112] + node _T_33073 = add(_T_33072, _T_33047) @[exu_mul_ctl.scala 137:112] + node _T_33074 = add(_T_33073, _T_33048) @[exu_mul_ctl.scala 137:112] + node _T_33075 = add(_T_33074, _T_33049) @[exu_mul_ctl.scala 137:112] + node _T_33076 = add(_T_33075, _T_33050) @[exu_mul_ctl.scala 137:112] + node _T_33077 = add(_T_33076, _T_33051) @[exu_mul_ctl.scala 137:112] + node _T_33078 = eq(_T_33077, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33079 = bits(_T_33078, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33080 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_33081 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33082 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33083 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33084 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33085 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33086 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33087 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33088 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33089 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33090 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33091 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33092 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33093 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33094 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33095 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33096 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33097 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33098 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33099 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33100 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33101 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33102 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33103 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33104 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33105 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33106 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33107 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33108 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_33109 = add(_T_33081, _T_33082) @[exu_mul_ctl.scala 137:112] + node _T_33110 = add(_T_33109, _T_33083) @[exu_mul_ctl.scala 137:112] + node _T_33111 = add(_T_33110, _T_33084) @[exu_mul_ctl.scala 137:112] + node _T_33112 = add(_T_33111, _T_33085) @[exu_mul_ctl.scala 137:112] + node _T_33113 = add(_T_33112, _T_33086) @[exu_mul_ctl.scala 137:112] + node _T_33114 = add(_T_33113, _T_33087) @[exu_mul_ctl.scala 137:112] + node _T_33115 = add(_T_33114, _T_33088) @[exu_mul_ctl.scala 137:112] + node _T_33116 = add(_T_33115, _T_33089) @[exu_mul_ctl.scala 137:112] + node _T_33117 = add(_T_33116, _T_33090) @[exu_mul_ctl.scala 137:112] + node _T_33118 = add(_T_33117, _T_33091) @[exu_mul_ctl.scala 137:112] + node _T_33119 = add(_T_33118, _T_33092) @[exu_mul_ctl.scala 137:112] + node _T_33120 = add(_T_33119, _T_33093) @[exu_mul_ctl.scala 137:112] + node _T_33121 = add(_T_33120, _T_33094) @[exu_mul_ctl.scala 137:112] + node _T_33122 = add(_T_33121, _T_33095) @[exu_mul_ctl.scala 137:112] + node _T_33123 = add(_T_33122, _T_33096) @[exu_mul_ctl.scala 137:112] + node _T_33124 = add(_T_33123, _T_33097) @[exu_mul_ctl.scala 137:112] + node _T_33125 = add(_T_33124, _T_33098) @[exu_mul_ctl.scala 137:112] + node _T_33126 = add(_T_33125, _T_33099) @[exu_mul_ctl.scala 137:112] + node _T_33127 = add(_T_33126, _T_33100) @[exu_mul_ctl.scala 137:112] + node _T_33128 = add(_T_33127, _T_33101) @[exu_mul_ctl.scala 137:112] + node _T_33129 = add(_T_33128, _T_33102) @[exu_mul_ctl.scala 137:112] + node _T_33130 = add(_T_33129, _T_33103) @[exu_mul_ctl.scala 137:112] + node _T_33131 = add(_T_33130, _T_33104) @[exu_mul_ctl.scala 137:112] + node _T_33132 = add(_T_33131, _T_33105) @[exu_mul_ctl.scala 137:112] + node _T_33133 = add(_T_33132, _T_33106) @[exu_mul_ctl.scala 137:112] + node _T_33134 = add(_T_33133, _T_33107) @[exu_mul_ctl.scala 137:112] + node _T_33135 = add(_T_33134, _T_33108) @[exu_mul_ctl.scala 137:112] + node _T_33136 = eq(_T_33135, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33137 = bits(_T_33136, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33138 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_33139 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33140 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33141 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33142 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33143 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33144 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33145 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33146 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33147 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33148 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33149 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33150 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33151 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33152 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33153 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33154 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33155 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33156 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33157 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33158 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33159 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33160 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33161 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33162 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33163 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33164 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33165 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33166 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_33167 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_33168 = add(_T_33139, _T_33140) @[exu_mul_ctl.scala 137:112] + node _T_33169 = add(_T_33168, _T_33141) @[exu_mul_ctl.scala 137:112] + node _T_33170 = add(_T_33169, _T_33142) @[exu_mul_ctl.scala 137:112] + node _T_33171 = add(_T_33170, _T_33143) @[exu_mul_ctl.scala 137:112] + node _T_33172 = add(_T_33171, _T_33144) @[exu_mul_ctl.scala 137:112] + node _T_33173 = add(_T_33172, _T_33145) @[exu_mul_ctl.scala 137:112] + node _T_33174 = add(_T_33173, _T_33146) @[exu_mul_ctl.scala 137:112] + node _T_33175 = add(_T_33174, _T_33147) @[exu_mul_ctl.scala 137:112] + node _T_33176 = add(_T_33175, _T_33148) @[exu_mul_ctl.scala 137:112] + node _T_33177 = add(_T_33176, _T_33149) @[exu_mul_ctl.scala 137:112] + node _T_33178 = add(_T_33177, _T_33150) @[exu_mul_ctl.scala 137:112] + node _T_33179 = add(_T_33178, _T_33151) @[exu_mul_ctl.scala 137:112] + node _T_33180 = add(_T_33179, _T_33152) @[exu_mul_ctl.scala 137:112] + node _T_33181 = add(_T_33180, _T_33153) @[exu_mul_ctl.scala 137:112] + node _T_33182 = add(_T_33181, _T_33154) @[exu_mul_ctl.scala 137:112] + node _T_33183 = add(_T_33182, _T_33155) @[exu_mul_ctl.scala 137:112] + node _T_33184 = add(_T_33183, _T_33156) @[exu_mul_ctl.scala 137:112] + node _T_33185 = add(_T_33184, _T_33157) @[exu_mul_ctl.scala 137:112] + node _T_33186 = add(_T_33185, _T_33158) @[exu_mul_ctl.scala 137:112] + node _T_33187 = add(_T_33186, _T_33159) @[exu_mul_ctl.scala 137:112] + node _T_33188 = add(_T_33187, _T_33160) @[exu_mul_ctl.scala 137:112] + node _T_33189 = add(_T_33188, _T_33161) @[exu_mul_ctl.scala 137:112] + node _T_33190 = add(_T_33189, _T_33162) @[exu_mul_ctl.scala 137:112] + node _T_33191 = add(_T_33190, _T_33163) @[exu_mul_ctl.scala 137:112] + node _T_33192 = add(_T_33191, _T_33164) @[exu_mul_ctl.scala 137:112] + node _T_33193 = add(_T_33192, _T_33165) @[exu_mul_ctl.scala 137:112] + node _T_33194 = add(_T_33193, _T_33166) @[exu_mul_ctl.scala 137:112] + node _T_33195 = add(_T_33194, _T_33167) @[exu_mul_ctl.scala 137:112] + node _T_33196 = eq(_T_33195, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33197 = bits(_T_33196, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33198 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_33199 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33200 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33201 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33202 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33203 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33204 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33205 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33206 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33207 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33208 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33209 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33210 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33211 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33212 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33213 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33214 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33215 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33216 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33217 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33218 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33219 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33220 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33221 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33222 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33223 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33224 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33225 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33226 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_33227 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_33228 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_33229 = add(_T_33199, _T_33200) @[exu_mul_ctl.scala 137:112] + node _T_33230 = add(_T_33229, _T_33201) @[exu_mul_ctl.scala 137:112] + node _T_33231 = add(_T_33230, _T_33202) @[exu_mul_ctl.scala 137:112] + node _T_33232 = add(_T_33231, _T_33203) @[exu_mul_ctl.scala 137:112] + node _T_33233 = add(_T_33232, _T_33204) @[exu_mul_ctl.scala 137:112] + node _T_33234 = add(_T_33233, _T_33205) @[exu_mul_ctl.scala 137:112] + node _T_33235 = add(_T_33234, _T_33206) @[exu_mul_ctl.scala 137:112] + node _T_33236 = add(_T_33235, _T_33207) @[exu_mul_ctl.scala 137:112] + node _T_33237 = add(_T_33236, _T_33208) @[exu_mul_ctl.scala 137:112] + node _T_33238 = add(_T_33237, _T_33209) @[exu_mul_ctl.scala 137:112] + node _T_33239 = add(_T_33238, _T_33210) @[exu_mul_ctl.scala 137:112] + node _T_33240 = add(_T_33239, _T_33211) @[exu_mul_ctl.scala 137:112] + node _T_33241 = add(_T_33240, _T_33212) @[exu_mul_ctl.scala 137:112] + node _T_33242 = add(_T_33241, _T_33213) @[exu_mul_ctl.scala 137:112] + node _T_33243 = add(_T_33242, _T_33214) @[exu_mul_ctl.scala 137:112] + node _T_33244 = add(_T_33243, _T_33215) @[exu_mul_ctl.scala 137:112] + node _T_33245 = add(_T_33244, _T_33216) @[exu_mul_ctl.scala 137:112] + node _T_33246 = add(_T_33245, _T_33217) @[exu_mul_ctl.scala 137:112] + node _T_33247 = add(_T_33246, _T_33218) @[exu_mul_ctl.scala 137:112] + node _T_33248 = add(_T_33247, _T_33219) @[exu_mul_ctl.scala 137:112] + node _T_33249 = add(_T_33248, _T_33220) @[exu_mul_ctl.scala 137:112] + node _T_33250 = add(_T_33249, _T_33221) @[exu_mul_ctl.scala 137:112] + node _T_33251 = add(_T_33250, _T_33222) @[exu_mul_ctl.scala 137:112] + node _T_33252 = add(_T_33251, _T_33223) @[exu_mul_ctl.scala 137:112] + node _T_33253 = add(_T_33252, _T_33224) @[exu_mul_ctl.scala 137:112] + node _T_33254 = add(_T_33253, _T_33225) @[exu_mul_ctl.scala 137:112] + node _T_33255 = add(_T_33254, _T_33226) @[exu_mul_ctl.scala 137:112] + node _T_33256 = add(_T_33255, _T_33227) @[exu_mul_ctl.scala 137:112] + node _T_33257 = add(_T_33256, _T_33228) @[exu_mul_ctl.scala 137:112] + node _T_33258 = eq(_T_33257, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33259 = bits(_T_33258, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33260 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_33261 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33262 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33263 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33264 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33265 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33266 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33267 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33268 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33269 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33270 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33271 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33272 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33273 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33274 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33275 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33276 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33277 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33278 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33279 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33280 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33281 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33282 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33283 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33284 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33285 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33286 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33287 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33288 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_33289 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_33290 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_33291 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_33292 = add(_T_33261, _T_33262) @[exu_mul_ctl.scala 137:112] + node _T_33293 = add(_T_33292, _T_33263) @[exu_mul_ctl.scala 137:112] + node _T_33294 = add(_T_33293, _T_33264) @[exu_mul_ctl.scala 137:112] + node _T_33295 = add(_T_33294, _T_33265) @[exu_mul_ctl.scala 137:112] + node _T_33296 = add(_T_33295, _T_33266) @[exu_mul_ctl.scala 137:112] + node _T_33297 = add(_T_33296, _T_33267) @[exu_mul_ctl.scala 137:112] + node _T_33298 = add(_T_33297, _T_33268) @[exu_mul_ctl.scala 137:112] + node _T_33299 = add(_T_33298, _T_33269) @[exu_mul_ctl.scala 137:112] + node _T_33300 = add(_T_33299, _T_33270) @[exu_mul_ctl.scala 137:112] + node _T_33301 = add(_T_33300, _T_33271) @[exu_mul_ctl.scala 137:112] + node _T_33302 = add(_T_33301, _T_33272) @[exu_mul_ctl.scala 137:112] + node _T_33303 = add(_T_33302, _T_33273) @[exu_mul_ctl.scala 137:112] + node _T_33304 = add(_T_33303, _T_33274) @[exu_mul_ctl.scala 137:112] + node _T_33305 = add(_T_33304, _T_33275) @[exu_mul_ctl.scala 137:112] + node _T_33306 = add(_T_33305, _T_33276) @[exu_mul_ctl.scala 137:112] + node _T_33307 = add(_T_33306, _T_33277) @[exu_mul_ctl.scala 137:112] + node _T_33308 = add(_T_33307, _T_33278) @[exu_mul_ctl.scala 137:112] + node _T_33309 = add(_T_33308, _T_33279) @[exu_mul_ctl.scala 137:112] + node _T_33310 = add(_T_33309, _T_33280) @[exu_mul_ctl.scala 137:112] + node _T_33311 = add(_T_33310, _T_33281) @[exu_mul_ctl.scala 137:112] + node _T_33312 = add(_T_33311, _T_33282) @[exu_mul_ctl.scala 137:112] + node _T_33313 = add(_T_33312, _T_33283) @[exu_mul_ctl.scala 137:112] + node _T_33314 = add(_T_33313, _T_33284) @[exu_mul_ctl.scala 137:112] + node _T_33315 = add(_T_33314, _T_33285) @[exu_mul_ctl.scala 137:112] + node _T_33316 = add(_T_33315, _T_33286) @[exu_mul_ctl.scala 137:112] + node _T_33317 = add(_T_33316, _T_33287) @[exu_mul_ctl.scala 137:112] + node _T_33318 = add(_T_33317, _T_33288) @[exu_mul_ctl.scala 137:112] + node _T_33319 = add(_T_33318, _T_33289) @[exu_mul_ctl.scala 137:112] + node _T_33320 = add(_T_33319, _T_33290) @[exu_mul_ctl.scala 137:112] + node _T_33321 = add(_T_33320, _T_33291) @[exu_mul_ctl.scala 137:112] + node _T_33322 = eq(_T_33321, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33323 = bits(_T_33322, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33324 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_33325 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33326 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33327 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33328 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33329 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33330 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33331 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33332 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33333 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33334 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33335 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33336 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33337 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33338 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33339 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33340 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33341 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33342 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33343 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33344 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33345 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33346 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33347 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33348 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_33349 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_33350 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_33351 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_33352 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_33353 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_33354 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_33355 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_33356 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_33357 = add(_T_33325, _T_33326) @[exu_mul_ctl.scala 137:112] + node _T_33358 = add(_T_33357, _T_33327) @[exu_mul_ctl.scala 137:112] + node _T_33359 = add(_T_33358, _T_33328) @[exu_mul_ctl.scala 137:112] + node _T_33360 = add(_T_33359, _T_33329) @[exu_mul_ctl.scala 137:112] + node _T_33361 = add(_T_33360, _T_33330) @[exu_mul_ctl.scala 137:112] + node _T_33362 = add(_T_33361, _T_33331) @[exu_mul_ctl.scala 137:112] + node _T_33363 = add(_T_33362, _T_33332) @[exu_mul_ctl.scala 137:112] + node _T_33364 = add(_T_33363, _T_33333) @[exu_mul_ctl.scala 137:112] + node _T_33365 = add(_T_33364, _T_33334) @[exu_mul_ctl.scala 137:112] + node _T_33366 = add(_T_33365, _T_33335) @[exu_mul_ctl.scala 137:112] + node _T_33367 = add(_T_33366, _T_33336) @[exu_mul_ctl.scala 137:112] + node _T_33368 = add(_T_33367, _T_33337) @[exu_mul_ctl.scala 137:112] + node _T_33369 = add(_T_33368, _T_33338) @[exu_mul_ctl.scala 137:112] + node _T_33370 = add(_T_33369, _T_33339) @[exu_mul_ctl.scala 137:112] + node _T_33371 = add(_T_33370, _T_33340) @[exu_mul_ctl.scala 137:112] + node _T_33372 = add(_T_33371, _T_33341) @[exu_mul_ctl.scala 137:112] + node _T_33373 = add(_T_33372, _T_33342) @[exu_mul_ctl.scala 137:112] + node _T_33374 = add(_T_33373, _T_33343) @[exu_mul_ctl.scala 137:112] + node _T_33375 = add(_T_33374, _T_33344) @[exu_mul_ctl.scala 137:112] + node _T_33376 = add(_T_33375, _T_33345) @[exu_mul_ctl.scala 137:112] + node _T_33377 = add(_T_33376, _T_33346) @[exu_mul_ctl.scala 137:112] + node _T_33378 = add(_T_33377, _T_33347) @[exu_mul_ctl.scala 137:112] + node _T_33379 = add(_T_33378, _T_33348) @[exu_mul_ctl.scala 137:112] + node _T_33380 = add(_T_33379, _T_33349) @[exu_mul_ctl.scala 137:112] + node _T_33381 = add(_T_33380, _T_33350) @[exu_mul_ctl.scala 137:112] + node _T_33382 = add(_T_33381, _T_33351) @[exu_mul_ctl.scala 137:112] + node _T_33383 = add(_T_33382, _T_33352) @[exu_mul_ctl.scala 137:112] + node _T_33384 = add(_T_33383, _T_33353) @[exu_mul_ctl.scala 137:112] + node _T_33385 = add(_T_33384, _T_33354) @[exu_mul_ctl.scala 137:112] + node _T_33386 = add(_T_33385, _T_33355) @[exu_mul_ctl.scala 137:112] + node _T_33387 = add(_T_33386, _T_33356) @[exu_mul_ctl.scala 137:112] + node _T_33388 = eq(_T_33387, UInt<5>("h01d")) @[exu_mul_ctl.scala 138:87] + node _T_33389 = bits(_T_33388, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33390 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_33391 = mux(_T_33389, _T_33390, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_33392 = mux(_T_33323, _T_33324, _T_33391) @[Mux.scala 98:16] + node _T_33393 = mux(_T_33259, _T_33260, _T_33392) @[Mux.scala 98:16] + node _T_33394 = mux(_T_33197, _T_33198, _T_33393) @[Mux.scala 98:16] + node _T_33395 = mux(_T_33137, _T_33138, _T_33394) @[Mux.scala 98:16] + node _T_33396 = mux(_T_33079, _T_33080, _T_33395) @[Mux.scala 98:16] + node _T_33397 = mux(_T_33023, _T_33024, _T_33396) @[Mux.scala 98:16] + node _T_33398 = mux(_T_32969, _T_32970, _T_33397) @[Mux.scala 98:16] + node _T_33399 = mux(_T_32917, _T_32918, _T_33398) @[Mux.scala 98:16] + node _T_33400 = mux(_T_32867, _T_32868, _T_33399) @[Mux.scala 98:16] + node _T_33401 = mux(_T_32819, _T_32820, _T_33400) @[Mux.scala 98:16] + node _T_33402 = mux(_T_32773, _T_32774, _T_33401) @[Mux.scala 98:16] + node _T_33403 = mux(_T_32729, _T_32730, _T_33402) @[Mux.scala 98:16] + node _T_33404 = mux(_T_32687, _T_32688, _T_33403) @[Mux.scala 98:16] + node _T_33405 = mux(_T_32647, _T_32648, _T_33404) @[Mux.scala 98:16] + node _T_33406 = mux(_T_32609, _T_32610, _T_33405) @[Mux.scala 98:16] + node _T_33407 = mux(_T_32573, _T_32574, _T_33406) @[Mux.scala 98:16] + node _T_33408 = mux(_T_32539, _T_32540, _T_33407) @[Mux.scala 98:16] + node _T_33409 = mux(_T_32507, _T_32508, _T_33408) @[Mux.scala 98:16] + node _T_33410 = mux(_T_32477, _T_32478, _T_33409) @[Mux.scala 98:16] + node _T_33411 = mux(_T_32449, _T_32450, _T_33410) @[Mux.scala 98:16] + node _T_33412 = mux(_T_32423, _T_32424, _T_33411) @[Mux.scala 98:16] + node _T_33413 = mux(_T_32399, _T_32400, _T_33412) @[Mux.scala 98:16] + node _T_33414 = mux(_T_32377, _T_32378, _T_33413) @[Mux.scala 98:16] + node _T_33415 = mux(_T_32357, _T_32358, _T_33414) @[Mux.scala 98:16] + node _T_33416 = mux(_T_32339, _T_32340, _T_33415) @[Mux.scala 98:16] + node _T_33417 = mux(_T_32323, _T_32324, _T_33416) @[Mux.scala 98:16] + node _T_33418 = mux(_T_32309, _T_32310, _T_33417) @[Mux.scala 98:16] + node _T_33419 = mux(_T_32297, _T_32298, _T_33418) @[Mux.scala 98:16] + node _T_33420 = mux(_T_32287, _T_32288, _T_33419) @[Mux.scala 98:16] + node _T_33421 = mux(_T_32279, _T_32280, _T_33420) @[Mux.scala 98:16] + node _T_33422 = mux(_T_32273, _T_32274, _T_33421) @[Mux.scala 98:16] + node _T_33423 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_33424 = eq(_T_33423, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33425 = bits(_T_33424, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33426 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_33427 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33428 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33429 = add(_T_33427, _T_33428) @[exu_mul_ctl.scala 137:112] + node _T_33430 = eq(_T_33429, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33431 = bits(_T_33430, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33432 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_33433 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33434 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33435 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33436 = add(_T_33433, _T_33434) @[exu_mul_ctl.scala 137:112] + node _T_33437 = add(_T_33436, _T_33435) @[exu_mul_ctl.scala 137:112] + node _T_33438 = eq(_T_33437, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33439 = bits(_T_33438, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33440 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_33441 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33442 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33443 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33444 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33445 = add(_T_33441, _T_33442) @[exu_mul_ctl.scala 137:112] + node _T_33446 = add(_T_33445, _T_33443) @[exu_mul_ctl.scala 137:112] + node _T_33447 = add(_T_33446, _T_33444) @[exu_mul_ctl.scala 137:112] + node _T_33448 = eq(_T_33447, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33449 = bits(_T_33448, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33450 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_33451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33453 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33454 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33455 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33456 = add(_T_33451, _T_33452) @[exu_mul_ctl.scala 137:112] + node _T_33457 = add(_T_33456, _T_33453) @[exu_mul_ctl.scala 137:112] + node _T_33458 = add(_T_33457, _T_33454) @[exu_mul_ctl.scala 137:112] + node _T_33459 = add(_T_33458, _T_33455) @[exu_mul_ctl.scala 137:112] + node _T_33460 = eq(_T_33459, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33461 = bits(_T_33460, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33462 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_33463 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33464 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33465 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33466 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33467 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33468 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33469 = add(_T_33463, _T_33464) @[exu_mul_ctl.scala 137:112] + node _T_33470 = add(_T_33469, _T_33465) @[exu_mul_ctl.scala 137:112] + node _T_33471 = add(_T_33470, _T_33466) @[exu_mul_ctl.scala 137:112] + node _T_33472 = add(_T_33471, _T_33467) @[exu_mul_ctl.scala 137:112] + node _T_33473 = add(_T_33472, _T_33468) @[exu_mul_ctl.scala 137:112] + node _T_33474 = eq(_T_33473, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33475 = bits(_T_33474, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33476 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_33477 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33478 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33479 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33480 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33481 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33482 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33483 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33484 = add(_T_33477, _T_33478) @[exu_mul_ctl.scala 137:112] + node _T_33485 = add(_T_33484, _T_33479) @[exu_mul_ctl.scala 137:112] + node _T_33486 = add(_T_33485, _T_33480) @[exu_mul_ctl.scala 137:112] + node _T_33487 = add(_T_33486, _T_33481) @[exu_mul_ctl.scala 137:112] + node _T_33488 = add(_T_33487, _T_33482) @[exu_mul_ctl.scala 137:112] + node _T_33489 = add(_T_33488, _T_33483) @[exu_mul_ctl.scala 137:112] + node _T_33490 = eq(_T_33489, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33491 = bits(_T_33490, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33492 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_33493 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33494 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33495 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33496 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33497 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33498 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33499 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33500 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33501 = add(_T_33493, _T_33494) @[exu_mul_ctl.scala 137:112] + node _T_33502 = add(_T_33501, _T_33495) @[exu_mul_ctl.scala 137:112] + node _T_33503 = add(_T_33502, _T_33496) @[exu_mul_ctl.scala 137:112] + node _T_33504 = add(_T_33503, _T_33497) @[exu_mul_ctl.scala 137:112] + node _T_33505 = add(_T_33504, _T_33498) @[exu_mul_ctl.scala 137:112] + node _T_33506 = add(_T_33505, _T_33499) @[exu_mul_ctl.scala 137:112] + node _T_33507 = add(_T_33506, _T_33500) @[exu_mul_ctl.scala 137:112] + node _T_33508 = eq(_T_33507, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33509 = bits(_T_33508, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33510 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_33511 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33512 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33513 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33514 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33515 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33516 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33517 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33518 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33519 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33520 = add(_T_33511, _T_33512) @[exu_mul_ctl.scala 137:112] + node _T_33521 = add(_T_33520, _T_33513) @[exu_mul_ctl.scala 137:112] + node _T_33522 = add(_T_33521, _T_33514) @[exu_mul_ctl.scala 137:112] + node _T_33523 = add(_T_33522, _T_33515) @[exu_mul_ctl.scala 137:112] + node _T_33524 = add(_T_33523, _T_33516) @[exu_mul_ctl.scala 137:112] + node _T_33525 = add(_T_33524, _T_33517) @[exu_mul_ctl.scala 137:112] + node _T_33526 = add(_T_33525, _T_33518) @[exu_mul_ctl.scala 137:112] + node _T_33527 = add(_T_33526, _T_33519) @[exu_mul_ctl.scala 137:112] + node _T_33528 = eq(_T_33527, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33529 = bits(_T_33528, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33530 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_33531 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33532 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33533 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33534 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33535 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33536 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33537 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33538 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33539 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33540 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33541 = add(_T_33531, _T_33532) @[exu_mul_ctl.scala 137:112] + node _T_33542 = add(_T_33541, _T_33533) @[exu_mul_ctl.scala 137:112] + node _T_33543 = add(_T_33542, _T_33534) @[exu_mul_ctl.scala 137:112] + node _T_33544 = add(_T_33543, _T_33535) @[exu_mul_ctl.scala 137:112] + node _T_33545 = add(_T_33544, _T_33536) @[exu_mul_ctl.scala 137:112] + node _T_33546 = add(_T_33545, _T_33537) @[exu_mul_ctl.scala 137:112] + node _T_33547 = add(_T_33546, _T_33538) @[exu_mul_ctl.scala 137:112] + node _T_33548 = add(_T_33547, _T_33539) @[exu_mul_ctl.scala 137:112] + node _T_33549 = add(_T_33548, _T_33540) @[exu_mul_ctl.scala 137:112] + node _T_33550 = eq(_T_33549, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33551 = bits(_T_33550, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33552 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_33553 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33554 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33555 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33556 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33557 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33558 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33559 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33560 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33561 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33562 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33563 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33564 = add(_T_33553, _T_33554) @[exu_mul_ctl.scala 137:112] + node _T_33565 = add(_T_33564, _T_33555) @[exu_mul_ctl.scala 137:112] + node _T_33566 = add(_T_33565, _T_33556) @[exu_mul_ctl.scala 137:112] + node _T_33567 = add(_T_33566, _T_33557) @[exu_mul_ctl.scala 137:112] + node _T_33568 = add(_T_33567, _T_33558) @[exu_mul_ctl.scala 137:112] + node _T_33569 = add(_T_33568, _T_33559) @[exu_mul_ctl.scala 137:112] + node _T_33570 = add(_T_33569, _T_33560) @[exu_mul_ctl.scala 137:112] + node _T_33571 = add(_T_33570, _T_33561) @[exu_mul_ctl.scala 137:112] + node _T_33572 = add(_T_33571, _T_33562) @[exu_mul_ctl.scala 137:112] + node _T_33573 = add(_T_33572, _T_33563) @[exu_mul_ctl.scala 137:112] + node _T_33574 = eq(_T_33573, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33575 = bits(_T_33574, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33576 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_33577 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33578 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33579 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33580 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33581 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33582 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33583 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33584 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33585 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33586 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33587 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33588 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33589 = add(_T_33577, _T_33578) @[exu_mul_ctl.scala 137:112] + node _T_33590 = add(_T_33589, _T_33579) @[exu_mul_ctl.scala 137:112] + node _T_33591 = add(_T_33590, _T_33580) @[exu_mul_ctl.scala 137:112] + node _T_33592 = add(_T_33591, _T_33581) @[exu_mul_ctl.scala 137:112] + node _T_33593 = add(_T_33592, _T_33582) @[exu_mul_ctl.scala 137:112] + node _T_33594 = add(_T_33593, _T_33583) @[exu_mul_ctl.scala 137:112] + node _T_33595 = add(_T_33594, _T_33584) @[exu_mul_ctl.scala 137:112] + node _T_33596 = add(_T_33595, _T_33585) @[exu_mul_ctl.scala 137:112] + node _T_33597 = add(_T_33596, _T_33586) @[exu_mul_ctl.scala 137:112] + node _T_33598 = add(_T_33597, _T_33587) @[exu_mul_ctl.scala 137:112] + node _T_33599 = add(_T_33598, _T_33588) @[exu_mul_ctl.scala 137:112] + node _T_33600 = eq(_T_33599, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33601 = bits(_T_33600, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33602 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_33603 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33604 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33605 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33606 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33607 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33608 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33609 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33610 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33611 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33612 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33613 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33614 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33615 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33616 = add(_T_33603, _T_33604) @[exu_mul_ctl.scala 137:112] + node _T_33617 = add(_T_33616, _T_33605) @[exu_mul_ctl.scala 137:112] + node _T_33618 = add(_T_33617, _T_33606) @[exu_mul_ctl.scala 137:112] + node _T_33619 = add(_T_33618, _T_33607) @[exu_mul_ctl.scala 137:112] + node _T_33620 = add(_T_33619, _T_33608) @[exu_mul_ctl.scala 137:112] + node _T_33621 = add(_T_33620, _T_33609) @[exu_mul_ctl.scala 137:112] + node _T_33622 = add(_T_33621, _T_33610) @[exu_mul_ctl.scala 137:112] + node _T_33623 = add(_T_33622, _T_33611) @[exu_mul_ctl.scala 137:112] + node _T_33624 = add(_T_33623, _T_33612) @[exu_mul_ctl.scala 137:112] + node _T_33625 = add(_T_33624, _T_33613) @[exu_mul_ctl.scala 137:112] + node _T_33626 = add(_T_33625, _T_33614) @[exu_mul_ctl.scala 137:112] + node _T_33627 = add(_T_33626, _T_33615) @[exu_mul_ctl.scala 137:112] + node _T_33628 = eq(_T_33627, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33629 = bits(_T_33628, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33630 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_33631 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33632 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33633 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33634 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33635 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33636 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33637 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33638 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33639 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33640 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33641 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33642 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33643 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33644 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33645 = add(_T_33631, _T_33632) @[exu_mul_ctl.scala 137:112] + node _T_33646 = add(_T_33645, _T_33633) @[exu_mul_ctl.scala 137:112] + node _T_33647 = add(_T_33646, _T_33634) @[exu_mul_ctl.scala 137:112] + node _T_33648 = add(_T_33647, _T_33635) @[exu_mul_ctl.scala 137:112] + node _T_33649 = add(_T_33648, _T_33636) @[exu_mul_ctl.scala 137:112] + node _T_33650 = add(_T_33649, _T_33637) @[exu_mul_ctl.scala 137:112] + node _T_33651 = add(_T_33650, _T_33638) @[exu_mul_ctl.scala 137:112] + node _T_33652 = add(_T_33651, _T_33639) @[exu_mul_ctl.scala 137:112] + node _T_33653 = add(_T_33652, _T_33640) @[exu_mul_ctl.scala 137:112] + node _T_33654 = add(_T_33653, _T_33641) @[exu_mul_ctl.scala 137:112] + node _T_33655 = add(_T_33654, _T_33642) @[exu_mul_ctl.scala 137:112] + node _T_33656 = add(_T_33655, _T_33643) @[exu_mul_ctl.scala 137:112] + node _T_33657 = add(_T_33656, _T_33644) @[exu_mul_ctl.scala 137:112] + node _T_33658 = eq(_T_33657, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33659 = bits(_T_33658, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33660 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_33661 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33662 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33663 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33664 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33665 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33666 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33667 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33668 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33669 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33670 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33671 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33672 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33673 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33674 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33675 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33676 = add(_T_33661, _T_33662) @[exu_mul_ctl.scala 137:112] + node _T_33677 = add(_T_33676, _T_33663) @[exu_mul_ctl.scala 137:112] + node _T_33678 = add(_T_33677, _T_33664) @[exu_mul_ctl.scala 137:112] + node _T_33679 = add(_T_33678, _T_33665) @[exu_mul_ctl.scala 137:112] + node _T_33680 = add(_T_33679, _T_33666) @[exu_mul_ctl.scala 137:112] + node _T_33681 = add(_T_33680, _T_33667) @[exu_mul_ctl.scala 137:112] + node _T_33682 = add(_T_33681, _T_33668) @[exu_mul_ctl.scala 137:112] + node _T_33683 = add(_T_33682, _T_33669) @[exu_mul_ctl.scala 137:112] + node _T_33684 = add(_T_33683, _T_33670) @[exu_mul_ctl.scala 137:112] + node _T_33685 = add(_T_33684, _T_33671) @[exu_mul_ctl.scala 137:112] + node _T_33686 = add(_T_33685, _T_33672) @[exu_mul_ctl.scala 137:112] + node _T_33687 = add(_T_33686, _T_33673) @[exu_mul_ctl.scala 137:112] + node _T_33688 = add(_T_33687, _T_33674) @[exu_mul_ctl.scala 137:112] + node _T_33689 = add(_T_33688, _T_33675) @[exu_mul_ctl.scala 137:112] + node _T_33690 = eq(_T_33689, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33691 = bits(_T_33690, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33692 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_33693 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33694 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33695 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33696 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33697 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33698 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33699 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33700 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33701 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33702 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33703 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33704 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33705 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33706 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33707 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33708 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33709 = add(_T_33693, _T_33694) @[exu_mul_ctl.scala 137:112] + node _T_33710 = add(_T_33709, _T_33695) @[exu_mul_ctl.scala 137:112] + node _T_33711 = add(_T_33710, _T_33696) @[exu_mul_ctl.scala 137:112] + node _T_33712 = add(_T_33711, _T_33697) @[exu_mul_ctl.scala 137:112] + node _T_33713 = add(_T_33712, _T_33698) @[exu_mul_ctl.scala 137:112] + node _T_33714 = add(_T_33713, _T_33699) @[exu_mul_ctl.scala 137:112] + node _T_33715 = add(_T_33714, _T_33700) @[exu_mul_ctl.scala 137:112] + node _T_33716 = add(_T_33715, _T_33701) @[exu_mul_ctl.scala 137:112] + node _T_33717 = add(_T_33716, _T_33702) @[exu_mul_ctl.scala 137:112] + node _T_33718 = add(_T_33717, _T_33703) @[exu_mul_ctl.scala 137:112] + node _T_33719 = add(_T_33718, _T_33704) @[exu_mul_ctl.scala 137:112] + node _T_33720 = add(_T_33719, _T_33705) @[exu_mul_ctl.scala 137:112] + node _T_33721 = add(_T_33720, _T_33706) @[exu_mul_ctl.scala 137:112] + node _T_33722 = add(_T_33721, _T_33707) @[exu_mul_ctl.scala 137:112] + node _T_33723 = add(_T_33722, _T_33708) @[exu_mul_ctl.scala 137:112] + node _T_33724 = eq(_T_33723, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33725 = bits(_T_33724, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33726 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_33727 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33728 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33729 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33730 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33731 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33732 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33733 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33734 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33735 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33736 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33737 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33738 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33739 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33740 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33741 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33742 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33743 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33744 = add(_T_33727, _T_33728) @[exu_mul_ctl.scala 137:112] + node _T_33745 = add(_T_33744, _T_33729) @[exu_mul_ctl.scala 137:112] + node _T_33746 = add(_T_33745, _T_33730) @[exu_mul_ctl.scala 137:112] + node _T_33747 = add(_T_33746, _T_33731) @[exu_mul_ctl.scala 137:112] + node _T_33748 = add(_T_33747, _T_33732) @[exu_mul_ctl.scala 137:112] + node _T_33749 = add(_T_33748, _T_33733) @[exu_mul_ctl.scala 137:112] + node _T_33750 = add(_T_33749, _T_33734) @[exu_mul_ctl.scala 137:112] + node _T_33751 = add(_T_33750, _T_33735) @[exu_mul_ctl.scala 137:112] + node _T_33752 = add(_T_33751, _T_33736) @[exu_mul_ctl.scala 137:112] + node _T_33753 = add(_T_33752, _T_33737) @[exu_mul_ctl.scala 137:112] + node _T_33754 = add(_T_33753, _T_33738) @[exu_mul_ctl.scala 137:112] + node _T_33755 = add(_T_33754, _T_33739) @[exu_mul_ctl.scala 137:112] + node _T_33756 = add(_T_33755, _T_33740) @[exu_mul_ctl.scala 137:112] + node _T_33757 = add(_T_33756, _T_33741) @[exu_mul_ctl.scala 137:112] + node _T_33758 = add(_T_33757, _T_33742) @[exu_mul_ctl.scala 137:112] + node _T_33759 = add(_T_33758, _T_33743) @[exu_mul_ctl.scala 137:112] + node _T_33760 = eq(_T_33759, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33761 = bits(_T_33760, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33762 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_33763 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33764 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33765 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33766 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33767 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33768 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33769 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33770 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33771 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33772 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33773 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33774 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33775 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33776 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33777 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33778 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33779 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33780 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33781 = add(_T_33763, _T_33764) @[exu_mul_ctl.scala 137:112] + node _T_33782 = add(_T_33781, _T_33765) @[exu_mul_ctl.scala 137:112] + node _T_33783 = add(_T_33782, _T_33766) @[exu_mul_ctl.scala 137:112] + node _T_33784 = add(_T_33783, _T_33767) @[exu_mul_ctl.scala 137:112] + node _T_33785 = add(_T_33784, _T_33768) @[exu_mul_ctl.scala 137:112] + node _T_33786 = add(_T_33785, _T_33769) @[exu_mul_ctl.scala 137:112] + node _T_33787 = add(_T_33786, _T_33770) @[exu_mul_ctl.scala 137:112] + node _T_33788 = add(_T_33787, _T_33771) @[exu_mul_ctl.scala 137:112] + node _T_33789 = add(_T_33788, _T_33772) @[exu_mul_ctl.scala 137:112] + node _T_33790 = add(_T_33789, _T_33773) @[exu_mul_ctl.scala 137:112] + node _T_33791 = add(_T_33790, _T_33774) @[exu_mul_ctl.scala 137:112] + node _T_33792 = add(_T_33791, _T_33775) @[exu_mul_ctl.scala 137:112] + node _T_33793 = add(_T_33792, _T_33776) @[exu_mul_ctl.scala 137:112] + node _T_33794 = add(_T_33793, _T_33777) @[exu_mul_ctl.scala 137:112] + node _T_33795 = add(_T_33794, _T_33778) @[exu_mul_ctl.scala 137:112] + node _T_33796 = add(_T_33795, _T_33779) @[exu_mul_ctl.scala 137:112] + node _T_33797 = add(_T_33796, _T_33780) @[exu_mul_ctl.scala 137:112] + node _T_33798 = eq(_T_33797, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33799 = bits(_T_33798, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33800 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_33801 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33802 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33803 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33804 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33805 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33806 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33807 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33808 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33809 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33810 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33811 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33812 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33813 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33814 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33815 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33816 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33817 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33818 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33819 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33820 = add(_T_33801, _T_33802) @[exu_mul_ctl.scala 137:112] + node _T_33821 = add(_T_33820, _T_33803) @[exu_mul_ctl.scala 137:112] + node _T_33822 = add(_T_33821, _T_33804) @[exu_mul_ctl.scala 137:112] + node _T_33823 = add(_T_33822, _T_33805) @[exu_mul_ctl.scala 137:112] + node _T_33824 = add(_T_33823, _T_33806) @[exu_mul_ctl.scala 137:112] + node _T_33825 = add(_T_33824, _T_33807) @[exu_mul_ctl.scala 137:112] + node _T_33826 = add(_T_33825, _T_33808) @[exu_mul_ctl.scala 137:112] + node _T_33827 = add(_T_33826, _T_33809) @[exu_mul_ctl.scala 137:112] + node _T_33828 = add(_T_33827, _T_33810) @[exu_mul_ctl.scala 137:112] + node _T_33829 = add(_T_33828, _T_33811) @[exu_mul_ctl.scala 137:112] + node _T_33830 = add(_T_33829, _T_33812) @[exu_mul_ctl.scala 137:112] + node _T_33831 = add(_T_33830, _T_33813) @[exu_mul_ctl.scala 137:112] + node _T_33832 = add(_T_33831, _T_33814) @[exu_mul_ctl.scala 137:112] + node _T_33833 = add(_T_33832, _T_33815) @[exu_mul_ctl.scala 137:112] + node _T_33834 = add(_T_33833, _T_33816) @[exu_mul_ctl.scala 137:112] + node _T_33835 = add(_T_33834, _T_33817) @[exu_mul_ctl.scala 137:112] + node _T_33836 = add(_T_33835, _T_33818) @[exu_mul_ctl.scala 137:112] + node _T_33837 = add(_T_33836, _T_33819) @[exu_mul_ctl.scala 137:112] + node _T_33838 = eq(_T_33837, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33839 = bits(_T_33838, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33840 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_33841 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33842 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33843 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33844 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33845 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33846 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33847 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33848 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33849 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33850 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33851 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33852 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33853 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33854 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33855 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33856 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33857 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33858 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33859 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33860 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33861 = add(_T_33841, _T_33842) @[exu_mul_ctl.scala 137:112] + node _T_33862 = add(_T_33861, _T_33843) @[exu_mul_ctl.scala 137:112] + node _T_33863 = add(_T_33862, _T_33844) @[exu_mul_ctl.scala 137:112] + node _T_33864 = add(_T_33863, _T_33845) @[exu_mul_ctl.scala 137:112] + node _T_33865 = add(_T_33864, _T_33846) @[exu_mul_ctl.scala 137:112] + node _T_33866 = add(_T_33865, _T_33847) @[exu_mul_ctl.scala 137:112] + node _T_33867 = add(_T_33866, _T_33848) @[exu_mul_ctl.scala 137:112] + node _T_33868 = add(_T_33867, _T_33849) @[exu_mul_ctl.scala 137:112] + node _T_33869 = add(_T_33868, _T_33850) @[exu_mul_ctl.scala 137:112] + node _T_33870 = add(_T_33869, _T_33851) @[exu_mul_ctl.scala 137:112] + node _T_33871 = add(_T_33870, _T_33852) @[exu_mul_ctl.scala 137:112] + node _T_33872 = add(_T_33871, _T_33853) @[exu_mul_ctl.scala 137:112] + node _T_33873 = add(_T_33872, _T_33854) @[exu_mul_ctl.scala 137:112] + node _T_33874 = add(_T_33873, _T_33855) @[exu_mul_ctl.scala 137:112] + node _T_33875 = add(_T_33874, _T_33856) @[exu_mul_ctl.scala 137:112] + node _T_33876 = add(_T_33875, _T_33857) @[exu_mul_ctl.scala 137:112] + node _T_33877 = add(_T_33876, _T_33858) @[exu_mul_ctl.scala 137:112] + node _T_33878 = add(_T_33877, _T_33859) @[exu_mul_ctl.scala 137:112] + node _T_33879 = add(_T_33878, _T_33860) @[exu_mul_ctl.scala 137:112] + node _T_33880 = eq(_T_33879, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33881 = bits(_T_33880, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33882 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_33883 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33884 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33885 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33886 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33887 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33888 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33889 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33890 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33891 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33892 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33893 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33894 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33895 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33896 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33897 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33898 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33899 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33900 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33901 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33902 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33903 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33904 = add(_T_33883, _T_33884) @[exu_mul_ctl.scala 137:112] + node _T_33905 = add(_T_33904, _T_33885) @[exu_mul_ctl.scala 137:112] + node _T_33906 = add(_T_33905, _T_33886) @[exu_mul_ctl.scala 137:112] + node _T_33907 = add(_T_33906, _T_33887) @[exu_mul_ctl.scala 137:112] + node _T_33908 = add(_T_33907, _T_33888) @[exu_mul_ctl.scala 137:112] + node _T_33909 = add(_T_33908, _T_33889) @[exu_mul_ctl.scala 137:112] + node _T_33910 = add(_T_33909, _T_33890) @[exu_mul_ctl.scala 137:112] + node _T_33911 = add(_T_33910, _T_33891) @[exu_mul_ctl.scala 137:112] + node _T_33912 = add(_T_33911, _T_33892) @[exu_mul_ctl.scala 137:112] + node _T_33913 = add(_T_33912, _T_33893) @[exu_mul_ctl.scala 137:112] + node _T_33914 = add(_T_33913, _T_33894) @[exu_mul_ctl.scala 137:112] + node _T_33915 = add(_T_33914, _T_33895) @[exu_mul_ctl.scala 137:112] + node _T_33916 = add(_T_33915, _T_33896) @[exu_mul_ctl.scala 137:112] + node _T_33917 = add(_T_33916, _T_33897) @[exu_mul_ctl.scala 137:112] + node _T_33918 = add(_T_33917, _T_33898) @[exu_mul_ctl.scala 137:112] + node _T_33919 = add(_T_33918, _T_33899) @[exu_mul_ctl.scala 137:112] + node _T_33920 = add(_T_33919, _T_33900) @[exu_mul_ctl.scala 137:112] + node _T_33921 = add(_T_33920, _T_33901) @[exu_mul_ctl.scala 137:112] + node _T_33922 = add(_T_33921, _T_33902) @[exu_mul_ctl.scala 137:112] + node _T_33923 = add(_T_33922, _T_33903) @[exu_mul_ctl.scala 137:112] + node _T_33924 = eq(_T_33923, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33925 = bits(_T_33924, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33926 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_33927 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33928 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33929 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33930 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33931 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33932 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33933 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33934 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33935 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33936 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33937 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33938 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33939 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33940 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33941 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33942 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33943 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33944 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33945 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33946 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33947 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33948 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33949 = add(_T_33927, _T_33928) @[exu_mul_ctl.scala 137:112] + node _T_33950 = add(_T_33949, _T_33929) @[exu_mul_ctl.scala 137:112] + node _T_33951 = add(_T_33950, _T_33930) @[exu_mul_ctl.scala 137:112] + node _T_33952 = add(_T_33951, _T_33931) @[exu_mul_ctl.scala 137:112] + node _T_33953 = add(_T_33952, _T_33932) @[exu_mul_ctl.scala 137:112] + node _T_33954 = add(_T_33953, _T_33933) @[exu_mul_ctl.scala 137:112] + node _T_33955 = add(_T_33954, _T_33934) @[exu_mul_ctl.scala 137:112] + node _T_33956 = add(_T_33955, _T_33935) @[exu_mul_ctl.scala 137:112] + node _T_33957 = add(_T_33956, _T_33936) @[exu_mul_ctl.scala 137:112] + node _T_33958 = add(_T_33957, _T_33937) @[exu_mul_ctl.scala 137:112] + node _T_33959 = add(_T_33958, _T_33938) @[exu_mul_ctl.scala 137:112] + node _T_33960 = add(_T_33959, _T_33939) @[exu_mul_ctl.scala 137:112] + node _T_33961 = add(_T_33960, _T_33940) @[exu_mul_ctl.scala 137:112] + node _T_33962 = add(_T_33961, _T_33941) @[exu_mul_ctl.scala 137:112] + node _T_33963 = add(_T_33962, _T_33942) @[exu_mul_ctl.scala 137:112] + node _T_33964 = add(_T_33963, _T_33943) @[exu_mul_ctl.scala 137:112] + node _T_33965 = add(_T_33964, _T_33944) @[exu_mul_ctl.scala 137:112] + node _T_33966 = add(_T_33965, _T_33945) @[exu_mul_ctl.scala 137:112] + node _T_33967 = add(_T_33966, _T_33946) @[exu_mul_ctl.scala 137:112] + node _T_33968 = add(_T_33967, _T_33947) @[exu_mul_ctl.scala 137:112] + node _T_33969 = add(_T_33968, _T_33948) @[exu_mul_ctl.scala 137:112] + node _T_33970 = eq(_T_33969, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_33971 = bits(_T_33970, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_33972 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_33973 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_33974 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_33975 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_33976 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_33977 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_33978 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_33979 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_33980 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_33981 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_33982 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_33983 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_33984 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_33985 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_33986 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_33987 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_33988 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_33989 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_33990 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_33991 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_33992 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_33993 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_33994 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_33995 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_33996 = add(_T_33973, _T_33974) @[exu_mul_ctl.scala 137:112] + node _T_33997 = add(_T_33996, _T_33975) @[exu_mul_ctl.scala 137:112] + node _T_33998 = add(_T_33997, _T_33976) @[exu_mul_ctl.scala 137:112] + node _T_33999 = add(_T_33998, _T_33977) @[exu_mul_ctl.scala 137:112] + node _T_34000 = add(_T_33999, _T_33978) @[exu_mul_ctl.scala 137:112] + node _T_34001 = add(_T_34000, _T_33979) @[exu_mul_ctl.scala 137:112] + node _T_34002 = add(_T_34001, _T_33980) @[exu_mul_ctl.scala 137:112] + node _T_34003 = add(_T_34002, _T_33981) @[exu_mul_ctl.scala 137:112] + node _T_34004 = add(_T_34003, _T_33982) @[exu_mul_ctl.scala 137:112] + node _T_34005 = add(_T_34004, _T_33983) @[exu_mul_ctl.scala 137:112] + node _T_34006 = add(_T_34005, _T_33984) @[exu_mul_ctl.scala 137:112] + node _T_34007 = add(_T_34006, _T_33985) @[exu_mul_ctl.scala 137:112] + node _T_34008 = add(_T_34007, _T_33986) @[exu_mul_ctl.scala 137:112] + node _T_34009 = add(_T_34008, _T_33987) @[exu_mul_ctl.scala 137:112] + node _T_34010 = add(_T_34009, _T_33988) @[exu_mul_ctl.scala 137:112] + node _T_34011 = add(_T_34010, _T_33989) @[exu_mul_ctl.scala 137:112] + node _T_34012 = add(_T_34011, _T_33990) @[exu_mul_ctl.scala 137:112] + node _T_34013 = add(_T_34012, _T_33991) @[exu_mul_ctl.scala 137:112] + node _T_34014 = add(_T_34013, _T_33992) @[exu_mul_ctl.scala 137:112] + node _T_34015 = add(_T_34014, _T_33993) @[exu_mul_ctl.scala 137:112] + node _T_34016 = add(_T_34015, _T_33994) @[exu_mul_ctl.scala 137:112] + node _T_34017 = add(_T_34016, _T_33995) @[exu_mul_ctl.scala 137:112] + node _T_34018 = eq(_T_34017, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34019 = bits(_T_34018, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34020 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_34021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34028 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34030 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34031 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34032 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34033 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34034 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34035 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34036 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34037 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34038 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34039 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34040 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34041 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34042 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34043 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34044 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34045 = add(_T_34021, _T_34022) @[exu_mul_ctl.scala 137:112] + node _T_34046 = add(_T_34045, _T_34023) @[exu_mul_ctl.scala 137:112] + node _T_34047 = add(_T_34046, _T_34024) @[exu_mul_ctl.scala 137:112] + node _T_34048 = add(_T_34047, _T_34025) @[exu_mul_ctl.scala 137:112] + node _T_34049 = add(_T_34048, _T_34026) @[exu_mul_ctl.scala 137:112] + node _T_34050 = add(_T_34049, _T_34027) @[exu_mul_ctl.scala 137:112] + node _T_34051 = add(_T_34050, _T_34028) @[exu_mul_ctl.scala 137:112] + node _T_34052 = add(_T_34051, _T_34029) @[exu_mul_ctl.scala 137:112] + node _T_34053 = add(_T_34052, _T_34030) @[exu_mul_ctl.scala 137:112] + node _T_34054 = add(_T_34053, _T_34031) @[exu_mul_ctl.scala 137:112] + node _T_34055 = add(_T_34054, _T_34032) @[exu_mul_ctl.scala 137:112] + node _T_34056 = add(_T_34055, _T_34033) @[exu_mul_ctl.scala 137:112] + node _T_34057 = add(_T_34056, _T_34034) @[exu_mul_ctl.scala 137:112] + node _T_34058 = add(_T_34057, _T_34035) @[exu_mul_ctl.scala 137:112] + node _T_34059 = add(_T_34058, _T_34036) @[exu_mul_ctl.scala 137:112] + node _T_34060 = add(_T_34059, _T_34037) @[exu_mul_ctl.scala 137:112] + node _T_34061 = add(_T_34060, _T_34038) @[exu_mul_ctl.scala 137:112] + node _T_34062 = add(_T_34061, _T_34039) @[exu_mul_ctl.scala 137:112] + node _T_34063 = add(_T_34062, _T_34040) @[exu_mul_ctl.scala 137:112] + node _T_34064 = add(_T_34063, _T_34041) @[exu_mul_ctl.scala 137:112] + node _T_34065 = add(_T_34064, _T_34042) @[exu_mul_ctl.scala 137:112] + node _T_34066 = add(_T_34065, _T_34043) @[exu_mul_ctl.scala 137:112] + node _T_34067 = add(_T_34066, _T_34044) @[exu_mul_ctl.scala 137:112] + node _T_34068 = eq(_T_34067, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34069 = bits(_T_34068, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34070 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_34071 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34072 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34073 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34074 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34075 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34076 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34077 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34078 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34079 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34080 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34081 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34082 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34083 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34084 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34085 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34086 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34087 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34088 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34089 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34090 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34091 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34092 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34093 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34094 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34095 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34096 = add(_T_34071, _T_34072) @[exu_mul_ctl.scala 137:112] + node _T_34097 = add(_T_34096, _T_34073) @[exu_mul_ctl.scala 137:112] + node _T_34098 = add(_T_34097, _T_34074) @[exu_mul_ctl.scala 137:112] + node _T_34099 = add(_T_34098, _T_34075) @[exu_mul_ctl.scala 137:112] + node _T_34100 = add(_T_34099, _T_34076) @[exu_mul_ctl.scala 137:112] + node _T_34101 = add(_T_34100, _T_34077) @[exu_mul_ctl.scala 137:112] + node _T_34102 = add(_T_34101, _T_34078) @[exu_mul_ctl.scala 137:112] + node _T_34103 = add(_T_34102, _T_34079) @[exu_mul_ctl.scala 137:112] + node _T_34104 = add(_T_34103, _T_34080) @[exu_mul_ctl.scala 137:112] + node _T_34105 = add(_T_34104, _T_34081) @[exu_mul_ctl.scala 137:112] + node _T_34106 = add(_T_34105, _T_34082) @[exu_mul_ctl.scala 137:112] + node _T_34107 = add(_T_34106, _T_34083) @[exu_mul_ctl.scala 137:112] + node _T_34108 = add(_T_34107, _T_34084) @[exu_mul_ctl.scala 137:112] + node _T_34109 = add(_T_34108, _T_34085) @[exu_mul_ctl.scala 137:112] + node _T_34110 = add(_T_34109, _T_34086) @[exu_mul_ctl.scala 137:112] + node _T_34111 = add(_T_34110, _T_34087) @[exu_mul_ctl.scala 137:112] + node _T_34112 = add(_T_34111, _T_34088) @[exu_mul_ctl.scala 137:112] + node _T_34113 = add(_T_34112, _T_34089) @[exu_mul_ctl.scala 137:112] + node _T_34114 = add(_T_34113, _T_34090) @[exu_mul_ctl.scala 137:112] + node _T_34115 = add(_T_34114, _T_34091) @[exu_mul_ctl.scala 137:112] + node _T_34116 = add(_T_34115, _T_34092) @[exu_mul_ctl.scala 137:112] + node _T_34117 = add(_T_34116, _T_34093) @[exu_mul_ctl.scala 137:112] + node _T_34118 = add(_T_34117, _T_34094) @[exu_mul_ctl.scala 137:112] + node _T_34119 = add(_T_34118, _T_34095) @[exu_mul_ctl.scala 137:112] + node _T_34120 = eq(_T_34119, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34121 = bits(_T_34120, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34122 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_34123 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34124 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34125 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34126 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34127 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34128 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34129 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34130 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34131 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34132 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34133 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34134 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34135 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34136 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34137 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34138 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34139 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34140 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34141 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34142 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34143 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34144 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34145 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34146 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34147 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34148 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34149 = add(_T_34123, _T_34124) @[exu_mul_ctl.scala 137:112] + node _T_34150 = add(_T_34149, _T_34125) @[exu_mul_ctl.scala 137:112] + node _T_34151 = add(_T_34150, _T_34126) @[exu_mul_ctl.scala 137:112] + node _T_34152 = add(_T_34151, _T_34127) @[exu_mul_ctl.scala 137:112] + node _T_34153 = add(_T_34152, _T_34128) @[exu_mul_ctl.scala 137:112] + node _T_34154 = add(_T_34153, _T_34129) @[exu_mul_ctl.scala 137:112] + node _T_34155 = add(_T_34154, _T_34130) @[exu_mul_ctl.scala 137:112] + node _T_34156 = add(_T_34155, _T_34131) @[exu_mul_ctl.scala 137:112] + node _T_34157 = add(_T_34156, _T_34132) @[exu_mul_ctl.scala 137:112] + node _T_34158 = add(_T_34157, _T_34133) @[exu_mul_ctl.scala 137:112] + node _T_34159 = add(_T_34158, _T_34134) @[exu_mul_ctl.scala 137:112] + node _T_34160 = add(_T_34159, _T_34135) @[exu_mul_ctl.scala 137:112] + node _T_34161 = add(_T_34160, _T_34136) @[exu_mul_ctl.scala 137:112] + node _T_34162 = add(_T_34161, _T_34137) @[exu_mul_ctl.scala 137:112] + node _T_34163 = add(_T_34162, _T_34138) @[exu_mul_ctl.scala 137:112] + node _T_34164 = add(_T_34163, _T_34139) @[exu_mul_ctl.scala 137:112] + node _T_34165 = add(_T_34164, _T_34140) @[exu_mul_ctl.scala 137:112] + node _T_34166 = add(_T_34165, _T_34141) @[exu_mul_ctl.scala 137:112] + node _T_34167 = add(_T_34166, _T_34142) @[exu_mul_ctl.scala 137:112] + node _T_34168 = add(_T_34167, _T_34143) @[exu_mul_ctl.scala 137:112] + node _T_34169 = add(_T_34168, _T_34144) @[exu_mul_ctl.scala 137:112] + node _T_34170 = add(_T_34169, _T_34145) @[exu_mul_ctl.scala 137:112] + node _T_34171 = add(_T_34170, _T_34146) @[exu_mul_ctl.scala 137:112] + node _T_34172 = add(_T_34171, _T_34147) @[exu_mul_ctl.scala 137:112] + node _T_34173 = add(_T_34172, _T_34148) @[exu_mul_ctl.scala 137:112] + node _T_34174 = eq(_T_34173, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34175 = bits(_T_34174, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34176 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_34177 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34178 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34179 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34180 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34181 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34182 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34183 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34184 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34185 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34186 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34187 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34188 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34189 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34190 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34191 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34192 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34193 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34194 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34195 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34196 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34197 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34198 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34199 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34200 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34201 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34202 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34203 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34204 = add(_T_34177, _T_34178) @[exu_mul_ctl.scala 137:112] + node _T_34205 = add(_T_34204, _T_34179) @[exu_mul_ctl.scala 137:112] + node _T_34206 = add(_T_34205, _T_34180) @[exu_mul_ctl.scala 137:112] + node _T_34207 = add(_T_34206, _T_34181) @[exu_mul_ctl.scala 137:112] + node _T_34208 = add(_T_34207, _T_34182) @[exu_mul_ctl.scala 137:112] + node _T_34209 = add(_T_34208, _T_34183) @[exu_mul_ctl.scala 137:112] + node _T_34210 = add(_T_34209, _T_34184) @[exu_mul_ctl.scala 137:112] + node _T_34211 = add(_T_34210, _T_34185) @[exu_mul_ctl.scala 137:112] + node _T_34212 = add(_T_34211, _T_34186) @[exu_mul_ctl.scala 137:112] + node _T_34213 = add(_T_34212, _T_34187) @[exu_mul_ctl.scala 137:112] + node _T_34214 = add(_T_34213, _T_34188) @[exu_mul_ctl.scala 137:112] + node _T_34215 = add(_T_34214, _T_34189) @[exu_mul_ctl.scala 137:112] + node _T_34216 = add(_T_34215, _T_34190) @[exu_mul_ctl.scala 137:112] + node _T_34217 = add(_T_34216, _T_34191) @[exu_mul_ctl.scala 137:112] + node _T_34218 = add(_T_34217, _T_34192) @[exu_mul_ctl.scala 137:112] + node _T_34219 = add(_T_34218, _T_34193) @[exu_mul_ctl.scala 137:112] + node _T_34220 = add(_T_34219, _T_34194) @[exu_mul_ctl.scala 137:112] + node _T_34221 = add(_T_34220, _T_34195) @[exu_mul_ctl.scala 137:112] + node _T_34222 = add(_T_34221, _T_34196) @[exu_mul_ctl.scala 137:112] + node _T_34223 = add(_T_34222, _T_34197) @[exu_mul_ctl.scala 137:112] + node _T_34224 = add(_T_34223, _T_34198) @[exu_mul_ctl.scala 137:112] + node _T_34225 = add(_T_34224, _T_34199) @[exu_mul_ctl.scala 137:112] + node _T_34226 = add(_T_34225, _T_34200) @[exu_mul_ctl.scala 137:112] + node _T_34227 = add(_T_34226, _T_34201) @[exu_mul_ctl.scala 137:112] + node _T_34228 = add(_T_34227, _T_34202) @[exu_mul_ctl.scala 137:112] + node _T_34229 = add(_T_34228, _T_34203) @[exu_mul_ctl.scala 137:112] + node _T_34230 = eq(_T_34229, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34231 = bits(_T_34230, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34232 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_34233 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34234 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34235 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34236 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34237 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34238 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34239 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34240 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34241 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34242 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34243 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34244 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34245 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34246 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34247 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34248 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34249 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34250 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34251 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34252 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34253 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34254 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34255 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34256 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34257 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34258 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34259 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34260 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_34261 = add(_T_34233, _T_34234) @[exu_mul_ctl.scala 137:112] + node _T_34262 = add(_T_34261, _T_34235) @[exu_mul_ctl.scala 137:112] + node _T_34263 = add(_T_34262, _T_34236) @[exu_mul_ctl.scala 137:112] + node _T_34264 = add(_T_34263, _T_34237) @[exu_mul_ctl.scala 137:112] + node _T_34265 = add(_T_34264, _T_34238) @[exu_mul_ctl.scala 137:112] + node _T_34266 = add(_T_34265, _T_34239) @[exu_mul_ctl.scala 137:112] + node _T_34267 = add(_T_34266, _T_34240) @[exu_mul_ctl.scala 137:112] + node _T_34268 = add(_T_34267, _T_34241) @[exu_mul_ctl.scala 137:112] + node _T_34269 = add(_T_34268, _T_34242) @[exu_mul_ctl.scala 137:112] + node _T_34270 = add(_T_34269, _T_34243) @[exu_mul_ctl.scala 137:112] + node _T_34271 = add(_T_34270, _T_34244) @[exu_mul_ctl.scala 137:112] + node _T_34272 = add(_T_34271, _T_34245) @[exu_mul_ctl.scala 137:112] + node _T_34273 = add(_T_34272, _T_34246) @[exu_mul_ctl.scala 137:112] + node _T_34274 = add(_T_34273, _T_34247) @[exu_mul_ctl.scala 137:112] + node _T_34275 = add(_T_34274, _T_34248) @[exu_mul_ctl.scala 137:112] + node _T_34276 = add(_T_34275, _T_34249) @[exu_mul_ctl.scala 137:112] + node _T_34277 = add(_T_34276, _T_34250) @[exu_mul_ctl.scala 137:112] + node _T_34278 = add(_T_34277, _T_34251) @[exu_mul_ctl.scala 137:112] + node _T_34279 = add(_T_34278, _T_34252) @[exu_mul_ctl.scala 137:112] + node _T_34280 = add(_T_34279, _T_34253) @[exu_mul_ctl.scala 137:112] + node _T_34281 = add(_T_34280, _T_34254) @[exu_mul_ctl.scala 137:112] + node _T_34282 = add(_T_34281, _T_34255) @[exu_mul_ctl.scala 137:112] + node _T_34283 = add(_T_34282, _T_34256) @[exu_mul_ctl.scala 137:112] + node _T_34284 = add(_T_34283, _T_34257) @[exu_mul_ctl.scala 137:112] + node _T_34285 = add(_T_34284, _T_34258) @[exu_mul_ctl.scala 137:112] + node _T_34286 = add(_T_34285, _T_34259) @[exu_mul_ctl.scala 137:112] + node _T_34287 = add(_T_34286, _T_34260) @[exu_mul_ctl.scala 137:112] + node _T_34288 = eq(_T_34287, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34289 = bits(_T_34288, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34290 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_34291 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34292 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34293 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34294 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34295 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34296 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34297 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34298 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34299 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34300 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34301 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34302 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34303 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34304 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34305 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34306 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34307 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34308 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34309 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34310 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34311 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34312 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34313 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34314 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34315 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34316 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34317 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34318 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_34319 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_34320 = add(_T_34291, _T_34292) @[exu_mul_ctl.scala 137:112] + node _T_34321 = add(_T_34320, _T_34293) @[exu_mul_ctl.scala 137:112] + node _T_34322 = add(_T_34321, _T_34294) @[exu_mul_ctl.scala 137:112] + node _T_34323 = add(_T_34322, _T_34295) @[exu_mul_ctl.scala 137:112] + node _T_34324 = add(_T_34323, _T_34296) @[exu_mul_ctl.scala 137:112] + node _T_34325 = add(_T_34324, _T_34297) @[exu_mul_ctl.scala 137:112] + node _T_34326 = add(_T_34325, _T_34298) @[exu_mul_ctl.scala 137:112] + node _T_34327 = add(_T_34326, _T_34299) @[exu_mul_ctl.scala 137:112] + node _T_34328 = add(_T_34327, _T_34300) @[exu_mul_ctl.scala 137:112] + node _T_34329 = add(_T_34328, _T_34301) @[exu_mul_ctl.scala 137:112] + node _T_34330 = add(_T_34329, _T_34302) @[exu_mul_ctl.scala 137:112] + node _T_34331 = add(_T_34330, _T_34303) @[exu_mul_ctl.scala 137:112] + node _T_34332 = add(_T_34331, _T_34304) @[exu_mul_ctl.scala 137:112] + node _T_34333 = add(_T_34332, _T_34305) @[exu_mul_ctl.scala 137:112] + node _T_34334 = add(_T_34333, _T_34306) @[exu_mul_ctl.scala 137:112] + node _T_34335 = add(_T_34334, _T_34307) @[exu_mul_ctl.scala 137:112] + node _T_34336 = add(_T_34335, _T_34308) @[exu_mul_ctl.scala 137:112] + node _T_34337 = add(_T_34336, _T_34309) @[exu_mul_ctl.scala 137:112] + node _T_34338 = add(_T_34337, _T_34310) @[exu_mul_ctl.scala 137:112] + node _T_34339 = add(_T_34338, _T_34311) @[exu_mul_ctl.scala 137:112] + node _T_34340 = add(_T_34339, _T_34312) @[exu_mul_ctl.scala 137:112] + node _T_34341 = add(_T_34340, _T_34313) @[exu_mul_ctl.scala 137:112] + node _T_34342 = add(_T_34341, _T_34314) @[exu_mul_ctl.scala 137:112] + node _T_34343 = add(_T_34342, _T_34315) @[exu_mul_ctl.scala 137:112] + node _T_34344 = add(_T_34343, _T_34316) @[exu_mul_ctl.scala 137:112] + node _T_34345 = add(_T_34344, _T_34317) @[exu_mul_ctl.scala 137:112] + node _T_34346 = add(_T_34345, _T_34318) @[exu_mul_ctl.scala 137:112] + node _T_34347 = add(_T_34346, _T_34319) @[exu_mul_ctl.scala 137:112] + node _T_34348 = eq(_T_34347, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34349 = bits(_T_34348, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34350 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_34351 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34352 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34353 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34354 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34355 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34356 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34357 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34358 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34359 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34360 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34361 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34362 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34363 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34364 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34365 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34366 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34367 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34368 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34369 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34370 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34371 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34372 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34373 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34374 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34375 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34376 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34377 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34378 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_34379 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_34380 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_34381 = add(_T_34351, _T_34352) @[exu_mul_ctl.scala 137:112] + node _T_34382 = add(_T_34381, _T_34353) @[exu_mul_ctl.scala 137:112] + node _T_34383 = add(_T_34382, _T_34354) @[exu_mul_ctl.scala 137:112] + node _T_34384 = add(_T_34383, _T_34355) @[exu_mul_ctl.scala 137:112] + node _T_34385 = add(_T_34384, _T_34356) @[exu_mul_ctl.scala 137:112] + node _T_34386 = add(_T_34385, _T_34357) @[exu_mul_ctl.scala 137:112] + node _T_34387 = add(_T_34386, _T_34358) @[exu_mul_ctl.scala 137:112] + node _T_34388 = add(_T_34387, _T_34359) @[exu_mul_ctl.scala 137:112] + node _T_34389 = add(_T_34388, _T_34360) @[exu_mul_ctl.scala 137:112] + node _T_34390 = add(_T_34389, _T_34361) @[exu_mul_ctl.scala 137:112] + node _T_34391 = add(_T_34390, _T_34362) @[exu_mul_ctl.scala 137:112] + node _T_34392 = add(_T_34391, _T_34363) @[exu_mul_ctl.scala 137:112] + node _T_34393 = add(_T_34392, _T_34364) @[exu_mul_ctl.scala 137:112] + node _T_34394 = add(_T_34393, _T_34365) @[exu_mul_ctl.scala 137:112] + node _T_34395 = add(_T_34394, _T_34366) @[exu_mul_ctl.scala 137:112] + node _T_34396 = add(_T_34395, _T_34367) @[exu_mul_ctl.scala 137:112] + node _T_34397 = add(_T_34396, _T_34368) @[exu_mul_ctl.scala 137:112] + node _T_34398 = add(_T_34397, _T_34369) @[exu_mul_ctl.scala 137:112] + node _T_34399 = add(_T_34398, _T_34370) @[exu_mul_ctl.scala 137:112] + node _T_34400 = add(_T_34399, _T_34371) @[exu_mul_ctl.scala 137:112] + node _T_34401 = add(_T_34400, _T_34372) @[exu_mul_ctl.scala 137:112] + node _T_34402 = add(_T_34401, _T_34373) @[exu_mul_ctl.scala 137:112] + node _T_34403 = add(_T_34402, _T_34374) @[exu_mul_ctl.scala 137:112] + node _T_34404 = add(_T_34403, _T_34375) @[exu_mul_ctl.scala 137:112] + node _T_34405 = add(_T_34404, _T_34376) @[exu_mul_ctl.scala 137:112] + node _T_34406 = add(_T_34405, _T_34377) @[exu_mul_ctl.scala 137:112] + node _T_34407 = add(_T_34406, _T_34378) @[exu_mul_ctl.scala 137:112] + node _T_34408 = add(_T_34407, _T_34379) @[exu_mul_ctl.scala 137:112] + node _T_34409 = add(_T_34408, _T_34380) @[exu_mul_ctl.scala 137:112] + node _T_34410 = eq(_T_34409, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34411 = bits(_T_34410, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34412 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_34413 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34414 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34415 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34416 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34417 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34418 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34419 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34420 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34421 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34422 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34423 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34424 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34425 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34426 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34427 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34428 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34429 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34430 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34431 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34432 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34433 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34434 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34435 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34436 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34437 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34438 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34439 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34440 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_34441 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_34442 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_34443 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_34444 = add(_T_34413, _T_34414) @[exu_mul_ctl.scala 137:112] + node _T_34445 = add(_T_34444, _T_34415) @[exu_mul_ctl.scala 137:112] + node _T_34446 = add(_T_34445, _T_34416) @[exu_mul_ctl.scala 137:112] + node _T_34447 = add(_T_34446, _T_34417) @[exu_mul_ctl.scala 137:112] + node _T_34448 = add(_T_34447, _T_34418) @[exu_mul_ctl.scala 137:112] + node _T_34449 = add(_T_34448, _T_34419) @[exu_mul_ctl.scala 137:112] + node _T_34450 = add(_T_34449, _T_34420) @[exu_mul_ctl.scala 137:112] + node _T_34451 = add(_T_34450, _T_34421) @[exu_mul_ctl.scala 137:112] + node _T_34452 = add(_T_34451, _T_34422) @[exu_mul_ctl.scala 137:112] + node _T_34453 = add(_T_34452, _T_34423) @[exu_mul_ctl.scala 137:112] + node _T_34454 = add(_T_34453, _T_34424) @[exu_mul_ctl.scala 137:112] + node _T_34455 = add(_T_34454, _T_34425) @[exu_mul_ctl.scala 137:112] + node _T_34456 = add(_T_34455, _T_34426) @[exu_mul_ctl.scala 137:112] + node _T_34457 = add(_T_34456, _T_34427) @[exu_mul_ctl.scala 137:112] + node _T_34458 = add(_T_34457, _T_34428) @[exu_mul_ctl.scala 137:112] + node _T_34459 = add(_T_34458, _T_34429) @[exu_mul_ctl.scala 137:112] + node _T_34460 = add(_T_34459, _T_34430) @[exu_mul_ctl.scala 137:112] + node _T_34461 = add(_T_34460, _T_34431) @[exu_mul_ctl.scala 137:112] + node _T_34462 = add(_T_34461, _T_34432) @[exu_mul_ctl.scala 137:112] + node _T_34463 = add(_T_34462, _T_34433) @[exu_mul_ctl.scala 137:112] + node _T_34464 = add(_T_34463, _T_34434) @[exu_mul_ctl.scala 137:112] + node _T_34465 = add(_T_34464, _T_34435) @[exu_mul_ctl.scala 137:112] + node _T_34466 = add(_T_34465, _T_34436) @[exu_mul_ctl.scala 137:112] + node _T_34467 = add(_T_34466, _T_34437) @[exu_mul_ctl.scala 137:112] + node _T_34468 = add(_T_34467, _T_34438) @[exu_mul_ctl.scala 137:112] + node _T_34469 = add(_T_34468, _T_34439) @[exu_mul_ctl.scala 137:112] + node _T_34470 = add(_T_34469, _T_34440) @[exu_mul_ctl.scala 137:112] + node _T_34471 = add(_T_34470, _T_34441) @[exu_mul_ctl.scala 137:112] + node _T_34472 = add(_T_34471, _T_34442) @[exu_mul_ctl.scala 137:112] + node _T_34473 = add(_T_34472, _T_34443) @[exu_mul_ctl.scala 137:112] + node _T_34474 = eq(_T_34473, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34475 = bits(_T_34474, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34476 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_34477 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34478 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34479 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34480 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34481 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34482 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34483 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34484 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34485 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34486 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34487 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34488 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34489 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34490 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34491 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34492 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34493 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34494 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34495 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34496 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_34497 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_34498 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_34499 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_34500 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_34501 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_34502 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_34503 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_34504 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_34505 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_34506 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_34507 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_34508 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_34509 = add(_T_34477, _T_34478) @[exu_mul_ctl.scala 137:112] + node _T_34510 = add(_T_34509, _T_34479) @[exu_mul_ctl.scala 137:112] + node _T_34511 = add(_T_34510, _T_34480) @[exu_mul_ctl.scala 137:112] + node _T_34512 = add(_T_34511, _T_34481) @[exu_mul_ctl.scala 137:112] + node _T_34513 = add(_T_34512, _T_34482) @[exu_mul_ctl.scala 137:112] + node _T_34514 = add(_T_34513, _T_34483) @[exu_mul_ctl.scala 137:112] + node _T_34515 = add(_T_34514, _T_34484) @[exu_mul_ctl.scala 137:112] + node _T_34516 = add(_T_34515, _T_34485) @[exu_mul_ctl.scala 137:112] + node _T_34517 = add(_T_34516, _T_34486) @[exu_mul_ctl.scala 137:112] + node _T_34518 = add(_T_34517, _T_34487) @[exu_mul_ctl.scala 137:112] + node _T_34519 = add(_T_34518, _T_34488) @[exu_mul_ctl.scala 137:112] + node _T_34520 = add(_T_34519, _T_34489) @[exu_mul_ctl.scala 137:112] + node _T_34521 = add(_T_34520, _T_34490) @[exu_mul_ctl.scala 137:112] + node _T_34522 = add(_T_34521, _T_34491) @[exu_mul_ctl.scala 137:112] + node _T_34523 = add(_T_34522, _T_34492) @[exu_mul_ctl.scala 137:112] + node _T_34524 = add(_T_34523, _T_34493) @[exu_mul_ctl.scala 137:112] + node _T_34525 = add(_T_34524, _T_34494) @[exu_mul_ctl.scala 137:112] + node _T_34526 = add(_T_34525, _T_34495) @[exu_mul_ctl.scala 137:112] + node _T_34527 = add(_T_34526, _T_34496) @[exu_mul_ctl.scala 137:112] + node _T_34528 = add(_T_34527, _T_34497) @[exu_mul_ctl.scala 137:112] + node _T_34529 = add(_T_34528, _T_34498) @[exu_mul_ctl.scala 137:112] + node _T_34530 = add(_T_34529, _T_34499) @[exu_mul_ctl.scala 137:112] + node _T_34531 = add(_T_34530, _T_34500) @[exu_mul_ctl.scala 137:112] + node _T_34532 = add(_T_34531, _T_34501) @[exu_mul_ctl.scala 137:112] + node _T_34533 = add(_T_34532, _T_34502) @[exu_mul_ctl.scala 137:112] + node _T_34534 = add(_T_34533, _T_34503) @[exu_mul_ctl.scala 137:112] + node _T_34535 = add(_T_34534, _T_34504) @[exu_mul_ctl.scala 137:112] + node _T_34536 = add(_T_34535, _T_34505) @[exu_mul_ctl.scala 137:112] + node _T_34537 = add(_T_34536, _T_34506) @[exu_mul_ctl.scala 137:112] + node _T_34538 = add(_T_34537, _T_34507) @[exu_mul_ctl.scala 137:112] + node _T_34539 = add(_T_34538, _T_34508) @[exu_mul_ctl.scala 137:112] + node _T_34540 = eq(_T_34539, UInt<5>("h01e")) @[exu_mul_ctl.scala 138:87] + node _T_34541 = bits(_T_34540, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34542 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_34543 = mux(_T_34541, _T_34542, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_34544 = mux(_T_34475, _T_34476, _T_34543) @[Mux.scala 98:16] + node _T_34545 = mux(_T_34411, _T_34412, _T_34544) @[Mux.scala 98:16] + node _T_34546 = mux(_T_34349, _T_34350, _T_34545) @[Mux.scala 98:16] + node _T_34547 = mux(_T_34289, _T_34290, _T_34546) @[Mux.scala 98:16] + node _T_34548 = mux(_T_34231, _T_34232, _T_34547) @[Mux.scala 98:16] + node _T_34549 = mux(_T_34175, _T_34176, _T_34548) @[Mux.scala 98:16] + node _T_34550 = mux(_T_34121, _T_34122, _T_34549) @[Mux.scala 98:16] + node _T_34551 = mux(_T_34069, _T_34070, _T_34550) @[Mux.scala 98:16] + node _T_34552 = mux(_T_34019, _T_34020, _T_34551) @[Mux.scala 98:16] + node _T_34553 = mux(_T_33971, _T_33972, _T_34552) @[Mux.scala 98:16] + node _T_34554 = mux(_T_33925, _T_33926, _T_34553) @[Mux.scala 98:16] + node _T_34555 = mux(_T_33881, _T_33882, _T_34554) @[Mux.scala 98:16] + node _T_34556 = mux(_T_33839, _T_33840, _T_34555) @[Mux.scala 98:16] + node _T_34557 = mux(_T_33799, _T_33800, _T_34556) @[Mux.scala 98:16] + node _T_34558 = mux(_T_33761, _T_33762, _T_34557) @[Mux.scala 98:16] + node _T_34559 = mux(_T_33725, _T_33726, _T_34558) @[Mux.scala 98:16] + node _T_34560 = mux(_T_33691, _T_33692, _T_34559) @[Mux.scala 98:16] + node _T_34561 = mux(_T_33659, _T_33660, _T_34560) @[Mux.scala 98:16] + node _T_34562 = mux(_T_33629, _T_33630, _T_34561) @[Mux.scala 98:16] + node _T_34563 = mux(_T_33601, _T_33602, _T_34562) @[Mux.scala 98:16] + node _T_34564 = mux(_T_33575, _T_33576, _T_34563) @[Mux.scala 98:16] + node _T_34565 = mux(_T_33551, _T_33552, _T_34564) @[Mux.scala 98:16] + node _T_34566 = mux(_T_33529, _T_33530, _T_34565) @[Mux.scala 98:16] + node _T_34567 = mux(_T_33509, _T_33510, _T_34566) @[Mux.scala 98:16] + node _T_34568 = mux(_T_33491, _T_33492, _T_34567) @[Mux.scala 98:16] + node _T_34569 = mux(_T_33475, _T_33476, _T_34568) @[Mux.scala 98:16] + node _T_34570 = mux(_T_33461, _T_33462, _T_34569) @[Mux.scala 98:16] + node _T_34571 = mux(_T_33449, _T_33450, _T_34570) @[Mux.scala 98:16] + node _T_34572 = mux(_T_33439, _T_33440, _T_34571) @[Mux.scala 98:16] + node _T_34573 = mux(_T_33431, _T_33432, _T_34572) @[Mux.scala 98:16] + node _T_34574 = mux(_T_33425, _T_33426, _T_34573) @[Mux.scala 98:16] + node _T_34575 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_34576 = eq(_T_34575, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34577 = bits(_T_34576, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34578 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_34579 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34580 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34581 = add(_T_34579, _T_34580) @[exu_mul_ctl.scala 137:112] + node _T_34582 = eq(_T_34581, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34583 = bits(_T_34582, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34584 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_34585 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34586 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34587 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34588 = add(_T_34585, _T_34586) @[exu_mul_ctl.scala 137:112] + node _T_34589 = add(_T_34588, _T_34587) @[exu_mul_ctl.scala 137:112] + node _T_34590 = eq(_T_34589, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34591 = bits(_T_34590, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34592 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_34593 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34594 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34595 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34596 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34597 = add(_T_34593, _T_34594) @[exu_mul_ctl.scala 137:112] + node _T_34598 = add(_T_34597, _T_34595) @[exu_mul_ctl.scala 137:112] + node _T_34599 = add(_T_34598, _T_34596) @[exu_mul_ctl.scala 137:112] + node _T_34600 = eq(_T_34599, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34601 = bits(_T_34600, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34602 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_34603 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34604 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34605 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34606 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34607 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34608 = add(_T_34603, _T_34604) @[exu_mul_ctl.scala 137:112] + node _T_34609 = add(_T_34608, _T_34605) @[exu_mul_ctl.scala 137:112] + node _T_34610 = add(_T_34609, _T_34606) @[exu_mul_ctl.scala 137:112] + node _T_34611 = add(_T_34610, _T_34607) @[exu_mul_ctl.scala 137:112] + node _T_34612 = eq(_T_34611, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34613 = bits(_T_34612, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34614 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_34615 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34616 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34617 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34618 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34619 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34620 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34621 = add(_T_34615, _T_34616) @[exu_mul_ctl.scala 137:112] + node _T_34622 = add(_T_34621, _T_34617) @[exu_mul_ctl.scala 137:112] + node _T_34623 = add(_T_34622, _T_34618) @[exu_mul_ctl.scala 137:112] + node _T_34624 = add(_T_34623, _T_34619) @[exu_mul_ctl.scala 137:112] + node _T_34625 = add(_T_34624, _T_34620) @[exu_mul_ctl.scala 137:112] + node _T_34626 = eq(_T_34625, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34627 = bits(_T_34626, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34628 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_34629 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34630 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34631 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34632 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34633 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34634 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34635 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34636 = add(_T_34629, _T_34630) @[exu_mul_ctl.scala 137:112] + node _T_34637 = add(_T_34636, _T_34631) @[exu_mul_ctl.scala 137:112] + node _T_34638 = add(_T_34637, _T_34632) @[exu_mul_ctl.scala 137:112] + node _T_34639 = add(_T_34638, _T_34633) @[exu_mul_ctl.scala 137:112] + node _T_34640 = add(_T_34639, _T_34634) @[exu_mul_ctl.scala 137:112] + node _T_34641 = add(_T_34640, _T_34635) @[exu_mul_ctl.scala 137:112] + node _T_34642 = eq(_T_34641, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34643 = bits(_T_34642, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34644 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_34645 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34646 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34647 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34648 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34649 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34650 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34651 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34652 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34653 = add(_T_34645, _T_34646) @[exu_mul_ctl.scala 137:112] + node _T_34654 = add(_T_34653, _T_34647) @[exu_mul_ctl.scala 137:112] + node _T_34655 = add(_T_34654, _T_34648) @[exu_mul_ctl.scala 137:112] + node _T_34656 = add(_T_34655, _T_34649) @[exu_mul_ctl.scala 137:112] + node _T_34657 = add(_T_34656, _T_34650) @[exu_mul_ctl.scala 137:112] + node _T_34658 = add(_T_34657, _T_34651) @[exu_mul_ctl.scala 137:112] + node _T_34659 = add(_T_34658, _T_34652) @[exu_mul_ctl.scala 137:112] + node _T_34660 = eq(_T_34659, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34661 = bits(_T_34660, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34662 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_34663 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34664 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34665 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34666 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34667 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34668 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34669 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34670 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34671 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34672 = add(_T_34663, _T_34664) @[exu_mul_ctl.scala 137:112] + node _T_34673 = add(_T_34672, _T_34665) @[exu_mul_ctl.scala 137:112] + node _T_34674 = add(_T_34673, _T_34666) @[exu_mul_ctl.scala 137:112] + node _T_34675 = add(_T_34674, _T_34667) @[exu_mul_ctl.scala 137:112] + node _T_34676 = add(_T_34675, _T_34668) @[exu_mul_ctl.scala 137:112] + node _T_34677 = add(_T_34676, _T_34669) @[exu_mul_ctl.scala 137:112] + node _T_34678 = add(_T_34677, _T_34670) @[exu_mul_ctl.scala 137:112] + node _T_34679 = add(_T_34678, _T_34671) @[exu_mul_ctl.scala 137:112] + node _T_34680 = eq(_T_34679, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34681 = bits(_T_34680, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34682 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_34683 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34684 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34685 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34686 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34687 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34688 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34689 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34690 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34691 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34692 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34693 = add(_T_34683, _T_34684) @[exu_mul_ctl.scala 137:112] + node _T_34694 = add(_T_34693, _T_34685) @[exu_mul_ctl.scala 137:112] + node _T_34695 = add(_T_34694, _T_34686) @[exu_mul_ctl.scala 137:112] + node _T_34696 = add(_T_34695, _T_34687) @[exu_mul_ctl.scala 137:112] + node _T_34697 = add(_T_34696, _T_34688) @[exu_mul_ctl.scala 137:112] + node _T_34698 = add(_T_34697, _T_34689) @[exu_mul_ctl.scala 137:112] + node _T_34699 = add(_T_34698, _T_34690) @[exu_mul_ctl.scala 137:112] + node _T_34700 = add(_T_34699, _T_34691) @[exu_mul_ctl.scala 137:112] + node _T_34701 = add(_T_34700, _T_34692) @[exu_mul_ctl.scala 137:112] + node _T_34702 = eq(_T_34701, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34703 = bits(_T_34702, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34704 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_34705 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34706 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34707 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34708 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34709 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34710 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34711 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34712 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34713 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34714 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34715 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34716 = add(_T_34705, _T_34706) @[exu_mul_ctl.scala 137:112] + node _T_34717 = add(_T_34716, _T_34707) @[exu_mul_ctl.scala 137:112] + node _T_34718 = add(_T_34717, _T_34708) @[exu_mul_ctl.scala 137:112] + node _T_34719 = add(_T_34718, _T_34709) @[exu_mul_ctl.scala 137:112] + node _T_34720 = add(_T_34719, _T_34710) @[exu_mul_ctl.scala 137:112] + node _T_34721 = add(_T_34720, _T_34711) @[exu_mul_ctl.scala 137:112] + node _T_34722 = add(_T_34721, _T_34712) @[exu_mul_ctl.scala 137:112] + node _T_34723 = add(_T_34722, _T_34713) @[exu_mul_ctl.scala 137:112] + node _T_34724 = add(_T_34723, _T_34714) @[exu_mul_ctl.scala 137:112] + node _T_34725 = add(_T_34724, _T_34715) @[exu_mul_ctl.scala 137:112] + node _T_34726 = eq(_T_34725, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34727 = bits(_T_34726, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34728 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_34729 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34730 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34731 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34732 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34733 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34734 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34735 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34736 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34737 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34738 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34739 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34740 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34741 = add(_T_34729, _T_34730) @[exu_mul_ctl.scala 137:112] + node _T_34742 = add(_T_34741, _T_34731) @[exu_mul_ctl.scala 137:112] + node _T_34743 = add(_T_34742, _T_34732) @[exu_mul_ctl.scala 137:112] + node _T_34744 = add(_T_34743, _T_34733) @[exu_mul_ctl.scala 137:112] + node _T_34745 = add(_T_34744, _T_34734) @[exu_mul_ctl.scala 137:112] + node _T_34746 = add(_T_34745, _T_34735) @[exu_mul_ctl.scala 137:112] + node _T_34747 = add(_T_34746, _T_34736) @[exu_mul_ctl.scala 137:112] + node _T_34748 = add(_T_34747, _T_34737) @[exu_mul_ctl.scala 137:112] + node _T_34749 = add(_T_34748, _T_34738) @[exu_mul_ctl.scala 137:112] + node _T_34750 = add(_T_34749, _T_34739) @[exu_mul_ctl.scala 137:112] + node _T_34751 = add(_T_34750, _T_34740) @[exu_mul_ctl.scala 137:112] + node _T_34752 = eq(_T_34751, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34753 = bits(_T_34752, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34754 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_34755 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34756 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34757 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34758 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34759 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34760 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34761 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34762 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34763 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34764 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34765 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34766 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34767 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34768 = add(_T_34755, _T_34756) @[exu_mul_ctl.scala 137:112] + node _T_34769 = add(_T_34768, _T_34757) @[exu_mul_ctl.scala 137:112] + node _T_34770 = add(_T_34769, _T_34758) @[exu_mul_ctl.scala 137:112] + node _T_34771 = add(_T_34770, _T_34759) @[exu_mul_ctl.scala 137:112] + node _T_34772 = add(_T_34771, _T_34760) @[exu_mul_ctl.scala 137:112] + node _T_34773 = add(_T_34772, _T_34761) @[exu_mul_ctl.scala 137:112] + node _T_34774 = add(_T_34773, _T_34762) @[exu_mul_ctl.scala 137:112] + node _T_34775 = add(_T_34774, _T_34763) @[exu_mul_ctl.scala 137:112] + node _T_34776 = add(_T_34775, _T_34764) @[exu_mul_ctl.scala 137:112] + node _T_34777 = add(_T_34776, _T_34765) @[exu_mul_ctl.scala 137:112] + node _T_34778 = add(_T_34777, _T_34766) @[exu_mul_ctl.scala 137:112] + node _T_34779 = add(_T_34778, _T_34767) @[exu_mul_ctl.scala 137:112] + node _T_34780 = eq(_T_34779, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34781 = bits(_T_34780, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34782 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_34783 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34784 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34785 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34786 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34787 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34788 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34789 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34790 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34791 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34792 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34793 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34794 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34795 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34796 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34797 = add(_T_34783, _T_34784) @[exu_mul_ctl.scala 137:112] + node _T_34798 = add(_T_34797, _T_34785) @[exu_mul_ctl.scala 137:112] + node _T_34799 = add(_T_34798, _T_34786) @[exu_mul_ctl.scala 137:112] + node _T_34800 = add(_T_34799, _T_34787) @[exu_mul_ctl.scala 137:112] + node _T_34801 = add(_T_34800, _T_34788) @[exu_mul_ctl.scala 137:112] + node _T_34802 = add(_T_34801, _T_34789) @[exu_mul_ctl.scala 137:112] + node _T_34803 = add(_T_34802, _T_34790) @[exu_mul_ctl.scala 137:112] + node _T_34804 = add(_T_34803, _T_34791) @[exu_mul_ctl.scala 137:112] + node _T_34805 = add(_T_34804, _T_34792) @[exu_mul_ctl.scala 137:112] + node _T_34806 = add(_T_34805, _T_34793) @[exu_mul_ctl.scala 137:112] + node _T_34807 = add(_T_34806, _T_34794) @[exu_mul_ctl.scala 137:112] + node _T_34808 = add(_T_34807, _T_34795) @[exu_mul_ctl.scala 137:112] + node _T_34809 = add(_T_34808, _T_34796) @[exu_mul_ctl.scala 137:112] + node _T_34810 = eq(_T_34809, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34811 = bits(_T_34810, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34812 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_34813 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34814 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34815 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34816 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34817 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34818 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34819 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34820 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34821 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34822 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34823 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34824 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34825 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34826 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34827 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34828 = add(_T_34813, _T_34814) @[exu_mul_ctl.scala 137:112] + node _T_34829 = add(_T_34828, _T_34815) @[exu_mul_ctl.scala 137:112] + node _T_34830 = add(_T_34829, _T_34816) @[exu_mul_ctl.scala 137:112] + node _T_34831 = add(_T_34830, _T_34817) @[exu_mul_ctl.scala 137:112] + node _T_34832 = add(_T_34831, _T_34818) @[exu_mul_ctl.scala 137:112] + node _T_34833 = add(_T_34832, _T_34819) @[exu_mul_ctl.scala 137:112] + node _T_34834 = add(_T_34833, _T_34820) @[exu_mul_ctl.scala 137:112] + node _T_34835 = add(_T_34834, _T_34821) @[exu_mul_ctl.scala 137:112] + node _T_34836 = add(_T_34835, _T_34822) @[exu_mul_ctl.scala 137:112] + node _T_34837 = add(_T_34836, _T_34823) @[exu_mul_ctl.scala 137:112] + node _T_34838 = add(_T_34837, _T_34824) @[exu_mul_ctl.scala 137:112] + node _T_34839 = add(_T_34838, _T_34825) @[exu_mul_ctl.scala 137:112] + node _T_34840 = add(_T_34839, _T_34826) @[exu_mul_ctl.scala 137:112] + node _T_34841 = add(_T_34840, _T_34827) @[exu_mul_ctl.scala 137:112] + node _T_34842 = eq(_T_34841, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34843 = bits(_T_34842, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34844 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_34845 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34846 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34847 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34848 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34849 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34850 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34851 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34852 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34853 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34854 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34855 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34856 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34857 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34858 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34859 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34860 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34861 = add(_T_34845, _T_34846) @[exu_mul_ctl.scala 137:112] + node _T_34862 = add(_T_34861, _T_34847) @[exu_mul_ctl.scala 137:112] + node _T_34863 = add(_T_34862, _T_34848) @[exu_mul_ctl.scala 137:112] + node _T_34864 = add(_T_34863, _T_34849) @[exu_mul_ctl.scala 137:112] + node _T_34865 = add(_T_34864, _T_34850) @[exu_mul_ctl.scala 137:112] + node _T_34866 = add(_T_34865, _T_34851) @[exu_mul_ctl.scala 137:112] + node _T_34867 = add(_T_34866, _T_34852) @[exu_mul_ctl.scala 137:112] + node _T_34868 = add(_T_34867, _T_34853) @[exu_mul_ctl.scala 137:112] + node _T_34869 = add(_T_34868, _T_34854) @[exu_mul_ctl.scala 137:112] + node _T_34870 = add(_T_34869, _T_34855) @[exu_mul_ctl.scala 137:112] + node _T_34871 = add(_T_34870, _T_34856) @[exu_mul_ctl.scala 137:112] + node _T_34872 = add(_T_34871, _T_34857) @[exu_mul_ctl.scala 137:112] + node _T_34873 = add(_T_34872, _T_34858) @[exu_mul_ctl.scala 137:112] + node _T_34874 = add(_T_34873, _T_34859) @[exu_mul_ctl.scala 137:112] + node _T_34875 = add(_T_34874, _T_34860) @[exu_mul_ctl.scala 137:112] + node _T_34876 = eq(_T_34875, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34877 = bits(_T_34876, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34878 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_34879 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34880 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34881 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34882 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34883 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34884 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34885 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34886 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34887 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34888 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34889 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34890 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34891 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34892 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34893 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34894 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34895 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34896 = add(_T_34879, _T_34880) @[exu_mul_ctl.scala 137:112] + node _T_34897 = add(_T_34896, _T_34881) @[exu_mul_ctl.scala 137:112] + node _T_34898 = add(_T_34897, _T_34882) @[exu_mul_ctl.scala 137:112] + node _T_34899 = add(_T_34898, _T_34883) @[exu_mul_ctl.scala 137:112] + node _T_34900 = add(_T_34899, _T_34884) @[exu_mul_ctl.scala 137:112] + node _T_34901 = add(_T_34900, _T_34885) @[exu_mul_ctl.scala 137:112] + node _T_34902 = add(_T_34901, _T_34886) @[exu_mul_ctl.scala 137:112] + node _T_34903 = add(_T_34902, _T_34887) @[exu_mul_ctl.scala 137:112] + node _T_34904 = add(_T_34903, _T_34888) @[exu_mul_ctl.scala 137:112] + node _T_34905 = add(_T_34904, _T_34889) @[exu_mul_ctl.scala 137:112] + node _T_34906 = add(_T_34905, _T_34890) @[exu_mul_ctl.scala 137:112] + node _T_34907 = add(_T_34906, _T_34891) @[exu_mul_ctl.scala 137:112] + node _T_34908 = add(_T_34907, _T_34892) @[exu_mul_ctl.scala 137:112] + node _T_34909 = add(_T_34908, _T_34893) @[exu_mul_ctl.scala 137:112] + node _T_34910 = add(_T_34909, _T_34894) @[exu_mul_ctl.scala 137:112] + node _T_34911 = add(_T_34910, _T_34895) @[exu_mul_ctl.scala 137:112] + node _T_34912 = eq(_T_34911, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34913 = bits(_T_34912, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34914 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_34915 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34916 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34917 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34918 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34919 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34920 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34921 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34922 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34923 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34924 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34925 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34926 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34927 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34928 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34929 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34930 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34931 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34932 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34933 = add(_T_34915, _T_34916) @[exu_mul_ctl.scala 137:112] + node _T_34934 = add(_T_34933, _T_34917) @[exu_mul_ctl.scala 137:112] + node _T_34935 = add(_T_34934, _T_34918) @[exu_mul_ctl.scala 137:112] + node _T_34936 = add(_T_34935, _T_34919) @[exu_mul_ctl.scala 137:112] + node _T_34937 = add(_T_34936, _T_34920) @[exu_mul_ctl.scala 137:112] + node _T_34938 = add(_T_34937, _T_34921) @[exu_mul_ctl.scala 137:112] + node _T_34939 = add(_T_34938, _T_34922) @[exu_mul_ctl.scala 137:112] + node _T_34940 = add(_T_34939, _T_34923) @[exu_mul_ctl.scala 137:112] + node _T_34941 = add(_T_34940, _T_34924) @[exu_mul_ctl.scala 137:112] + node _T_34942 = add(_T_34941, _T_34925) @[exu_mul_ctl.scala 137:112] + node _T_34943 = add(_T_34942, _T_34926) @[exu_mul_ctl.scala 137:112] + node _T_34944 = add(_T_34943, _T_34927) @[exu_mul_ctl.scala 137:112] + node _T_34945 = add(_T_34944, _T_34928) @[exu_mul_ctl.scala 137:112] + node _T_34946 = add(_T_34945, _T_34929) @[exu_mul_ctl.scala 137:112] + node _T_34947 = add(_T_34946, _T_34930) @[exu_mul_ctl.scala 137:112] + node _T_34948 = add(_T_34947, _T_34931) @[exu_mul_ctl.scala 137:112] + node _T_34949 = add(_T_34948, _T_34932) @[exu_mul_ctl.scala 137:112] + node _T_34950 = eq(_T_34949, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34951 = bits(_T_34950, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34952 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_34953 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34954 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34955 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34956 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34957 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34958 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34959 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_34960 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_34961 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_34962 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_34963 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_34964 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_34965 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_34966 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_34967 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_34968 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_34969 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_34970 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_34971 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_34972 = add(_T_34953, _T_34954) @[exu_mul_ctl.scala 137:112] + node _T_34973 = add(_T_34972, _T_34955) @[exu_mul_ctl.scala 137:112] + node _T_34974 = add(_T_34973, _T_34956) @[exu_mul_ctl.scala 137:112] + node _T_34975 = add(_T_34974, _T_34957) @[exu_mul_ctl.scala 137:112] + node _T_34976 = add(_T_34975, _T_34958) @[exu_mul_ctl.scala 137:112] + node _T_34977 = add(_T_34976, _T_34959) @[exu_mul_ctl.scala 137:112] + node _T_34978 = add(_T_34977, _T_34960) @[exu_mul_ctl.scala 137:112] + node _T_34979 = add(_T_34978, _T_34961) @[exu_mul_ctl.scala 137:112] + node _T_34980 = add(_T_34979, _T_34962) @[exu_mul_ctl.scala 137:112] + node _T_34981 = add(_T_34980, _T_34963) @[exu_mul_ctl.scala 137:112] + node _T_34982 = add(_T_34981, _T_34964) @[exu_mul_ctl.scala 137:112] + node _T_34983 = add(_T_34982, _T_34965) @[exu_mul_ctl.scala 137:112] + node _T_34984 = add(_T_34983, _T_34966) @[exu_mul_ctl.scala 137:112] + node _T_34985 = add(_T_34984, _T_34967) @[exu_mul_ctl.scala 137:112] + node _T_34986 = add(_T_34985, _T_34968) @[exu_mul_ctl.scala 137:112] + node _T_34987 = add(_T_34986, _T_34969) @[exu_mul_ctl.scala 137:112] + node _T_34988 = add(_T_34987, _T_34970) @[exu_mul_ctl.scala 137:112] + node _T_34989 = add(_T_34988, _T_34971) @[exu_mul_ctl.scala 137:112] + node _T_34990 = eq(_T_34989, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_34991 = bits(_T_34990, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_34992 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_34993 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_34994 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_34995 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_34996 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_34997 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_34998 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_34999 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35000 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35001 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35002 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35003 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35004 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35005 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35006 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35007 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35008 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35009 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35010 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35011 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35012 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35013 = add(_T_34993, _T_34994) @[exu_mul_ctl.scala 137:112] + node _T_35014 = add(_T_35013, _T_34995) @[exu_mul_ctl.scala 137:112] + node _T_35015 = add(_T_35014, _T_34996) @[exu_mul_ctl.scala 137:112] + node _T_35016 = add(_T_35015, _T_34997) @[exu_mul_ctl.scala 137:112] + node _T_35017 = add(_T_35016, _T_34998) @[exu_mul_ctl.scala 137:112] + node _T_35018 = add(_T_35017, _T_34999) @[exu_mul_ctl.scala 137:112] + node _T_35019 = add(_T_35018, _T_35000) @[exu_mul_ctl.scala 137:112] + node _T_35020 = add(_T_35019, _T_35001) @[exu_mul_ctl.scala 137:112] + node _T_35021 = add(_T_35020, _T_35002) @[exu_mul_ctl.scala 137:112] + node _T_35022 = add(_T_35021, _T_35003) @[exu_mul_ctl.scala 137:112] + node _T_35023 = add(_T_35022, _T_35004) @[exu_mul_ctl.scala 137:112] + node _T_35024 = add(_T_35023, _T_35005) @[exu_mul_ctl.scala 137:112] + node _T_35025 = add(_T_35024, _T_35006) @[exu_mul_ctl.scala 137:112] + node _T_35026 = add(_T_35025, _T_35007) @[exu_mul_ctl.scala 137:112] + node _T_35027 = add(_T_35026, _T_35008) @[exu_mul_ctl.scala 137:112] + node _T_35028 = add(_T_35027, _T_35009) @[exu_mul_ctl.scala 137:112] + node _T_35029 = add(_T_35028, _T_35010) @[exu_mul_ctl.scala 137:112] + node _T_35030 = add(_T_35029, _T_35011) @[exu_mul_ctl.scala 137:112] + node _T_35031 = add(_T_35030, _T_35012) @[exu_mul_ctl.scala 137:112] + node _T_35032 = eq(_T_35031, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35033 = bits(_T_35032, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35034 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_35035 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35036 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35037 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35038 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35039 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35040 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35041 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35042 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35043 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35044 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35045 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35046 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35047 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35048 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35049 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35050 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35051 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35052 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35053 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35054 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35055 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35056 = add(_T_35035, _T_35036) @[exu_mul_ctl.scala 137:112] + node _T_35057 = add(_T_35056, _T_35037) @[exu_mul_ctl.scala 137:112] + node _T_35058 = add(_T_35057, _T_35038) @[exu_mul_ctl.scala 137:112] + node _T_35059 = add(_T_35058, _T_35039) @[exu_mul_ctl.scala 137:112] + node _T_35060 = add(_T_35059, _T_35040) @[exu_mul_ctl.scala 137:112] + node _T_35061 = add(_T_35060, _T_35041) @[exu_mul_ctl.scala 137:112] + node _T_35062 = add(_T_35061, _T_35042) @[exu_mul_ctl.scala 137:112] + node _T_35063 = add(_T_35062, _T_35043) @[exu_mul_ctl.scala 137:112] + node _T_35064 = add(_T_35063, _T_35044) @[exu_mul_ctl.scala 137:112] + node _T_35065 = add(_T_35064, _T_35045) @[exu_mul_ctl.scala 137:112] + node _T_35066 = add(_T_35065, _T_35046) @[exu_mul_ctl.scala 137:112] + node _T_35067 = add(_T_35066, _T_35047) @[exu_mul_ctl.scala 137:112] + node _T_35068 = add(_T_35067, _T_35048) @[exu_mul_ctl.scala 137:112] + node _T_35069 = add(_T_35068, _T_35049) @[exu_mul_ctl.scala 137:112] + node _T_35070 = add(_T_35069, _T_35050) @[exu_mul_ctl.scala 137:112] + node _T_35071 = add(_T_35070, _T_35051) @[exu_mul_ctl.scala 137:112] + node _T_35072 = add(_T_35071, _T_35052) @[exu_mul_ctl.scala 137:112] + node _T_35073 = add(_T_35072, _T_35053) @[exu_mul_ctl.scala 137:112] + node _T_35074 = add(_T_35073, _T_35054) @[exu_mul_ctl.scala 137:112] + node _T_35075 = add(_T_35074, _T_35055) @[exu_mul_ctl.scala 137:112] + node _T_35076 = eq(_T_35075, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35077 = bits(_T_35076, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35078 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_35079 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35080 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35081 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35082 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35083 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35084 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35085 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35086 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35087 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35088 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35089 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35090 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35091 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35092 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35093 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35094 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35095 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35096 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35097 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35098 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35099 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35100 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35101 = add(_T_35079, _T_35080) @[exu_mul_ctl.scala 137:112] + node _T_35102 = add(_T_35101, _T_35081) @[exu_mul_ctl.scala 137:112] + node _T_35103 = add(_T_35102, _T_35082) @[exu_mul_ctl.scala 137:112] + node _T_35104 = add(_T_35103, _T_35083) @[exu_mul_ctl.scala 137:112] + node _T_35105 = add(_T_35104, _T_35084) @[exu_mul_ctl.scala 137:112] + node _T_35106 = add(_T_35105, _T_35085) @[exu_mul_ctl.scala 137:112] + node _T_35107 = add(_T_35106, _T_35086) @[exu_mul_ctl.scala 137:112] + node _T_35108 = add(_T_35107, _T_35087) @[exu_mul_ctl.scala 137:112] + node _T_35109 = add(_T_35108, _T_35088) @[exu_mul_ctl.scala 137:112] + node _T_35110 = add(_T_35109, _T_35089) @[exu_mul_ctl.scala 137:112] + node _T_35111 = add(_T_35110, _T_35090) @[exu_mul_ctl.scala 137:112] + node _T_35112 = add(_T_35111, _T_35091) @[exu_mul_ctl.scala 137:112] + node _T_35113 = add(_T_35112, _T_35092) @[exu_mul_ctl.scala 137:112] + node _T_35114 = add(_T_35113, _T_35093) @[exu_mul_ctl.scala 137:112] + node _T_35115 = add(_T_35114, _T_35094) @[exu_mul_ctl.scala 137:112] + node _T_35116 = add(_T_35115, _T_35095) @[exu_mul_ctl.scala 137:112] + node _T_35117 = add(_T_35116, _T_35096) @[exu_mul_ctl.scala 137:112] + node _T_35118 = add(_T_35117, _T_35097) @[exu_mul_ctl.scala 137:112] + node _T_35119 = add(_T_35118, _T_35098) @[exu_mul_ctl.scala 137:112] + node _T_35120 = add(_T_35119, _T_35099) @[exu_mul_ctl.scala 137:112] + node _T_35121 = add(_T_35120, _T_35100) @[exu_mul_ctl.scala 137:112] + node _T_35122 = eq(_T_35121, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35123 = bits(_T_35122, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35124 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_35125 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35126 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35127 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35128 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35129 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35130 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35131 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35132 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35133 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35134 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35135 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35136 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35137 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35138 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35139 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35140 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35141 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35142 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35143 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35144 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35145 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35146 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35147 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35148 = add(_T_35125, _T_35126) @[exu_mul_ctl.scala 137:112] + node _T_35149 = add(_T_35148, _T_35127) @[exu_mul_ctl.scala 137:112] + node _T_35150 = add(_T_35149, _T_35128) @[exu_mul_ctl.scala 137:112] + node _T_35151 = add(_T_35150, _T_35129) @[exu_mul_ctl.scala 137:112] + node _T_35152 = add(_T_35151, _T_35130) @[exu_mul_ctl.scala 137:112] + node _T_35153 = add(_T_35152, _T_35131) @[exu_mul_ctl.scala 137:112] + node _T_35154 = add(_T_35153, _T_35132) @[exu_mul_ctl.scala 137:112] + node _T_35155 = add(_T_35154, _T_35133) @[exu_mul_ctl.scala 137:112] + node _T_35156 = add(_T_35155, _T_35134) @[exu_mul_ctl.scala 137:112] + node _T_35157 = add(_T_35156, _T_35135) @[exu_mul_ctl.scala 137:112] + node _T_35158 = add(_T_35157, _T_35136) @[exu_mul_ctl.scala 137:112] + node _T_35159 = add(_T_35158, _T_35137) @[exu_mul_ctl.scala 137:112] + node _T_35160 = add(_T_35159, _T_35138) @[exu_mul_ctl.scala 137:112] + node _T_35161 = add(_T_35160, _T_35139) @[exu_mul_ctl.scala 137:112] + node _T_35162 = add(_T_35161, _T_35140) @[exu_mul_ctl.scala 137:112] + node _T_35163 = add(_T_35162, _T_35141) @[exu_mul_ctl.scala 137:112] + node _T_35164 = add(_T_35163, _T_35142) @[exu_mul_ctl.scala 137:112] + node _T_35165 = add(_T_35164, _T_35143) @[exu_mul_ctl.scala 137:112] + node _T_35166 = add(_T_35165, _T_35144) @[exu_mul_ctl.scala 137:112] + node _T_35167 = add(_T_35166, _T_35145) @[exu_mul_ctl.scala 137:112] + node _T_35168 = add(_T_35167, _T_35146) @[exu_mul_ctl.scala 137:112] + node _T_35169 = add(_T_35168, _T_35147) @[exu_mul_ctl.scala 137:112] + node _T_35170 = eq(_T_35169, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35171 = bits(_T_35170, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35172 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_35173 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35174 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35175 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35176 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35177 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35178 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35179 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35180 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35181 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35182 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35183 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35184 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35185 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35186 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35187 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35188 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35189 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35190 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35191 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35192 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35193 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35194 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35195 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35196 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35197 = add(_T_35173, _T_35174) @[exu_mul_ctl.scala 137:112] + node _T_35198 = add(_T_35197, _T_35175) @[exu_mul_ctl.scala 137:112] + node _T_35199 = add(_T_35198, _T_35176) @[exu_mul_ctl.scala 137:112] + node _T_35200 = add(_T_35199, _T_35177) @[exu_mul_ctl.scala 137:112] + node _T_35201 = add(_T_35200, _T_35178) @[exu_mul_ctl.scala 137:112] + node _T_35202 = add(_T_35201, _T_35179) @[exu_mul_ctl.scala 137:112] + node _T_35203 = add(_T_35202, _T_35180) @[exu_mul_ctl.scala 137:112] + node _T_35204 = add(_T_35203, _T_35181) @[exu_mul_ctl.scala 137:112] + node _T_35205 = add(_T_35204, _T_35182) @[exu_mul_ctl.scala 137:112] + node _T_35206 = add(_T_35205, _T_35183) @[exu_mul_ctl.scala 137:112] + node _T_35207 = add(_T_35206, _T_35184) @[exu_mul_ctl.scala 137:112] + node _T_35208 = add(_T_35207, _T_35185) @[exu_mul_ctl.scala 137:112] + node _T_35209 = add(_T_35208, _T_35186) @[exu_mul_ctl.scala 137:112] + node _T_35210 = add(_T_35209, _T_35187) @[exu_mul_ctl.scala 137:112] + node _T_35211 = add(_T_35210, _T_35188) @[exu_mul_ctl.scala 137:112] + node _T_35212 = add(_T_35211, _T_35189) @[exu_mul_ctl.scala 137:112] + node _T_35213 = add(_T_35212, _T_35190) @[exu_mul_ctl.scala 137:112] + node _T_35214 = add(_T_35213, _T_35191) @[exu_mul_ctl.scala 137:112] + node _T_35215 = add(_T_35214, _T_35192) @[exu_mul_ctl.scala 137:112] + node _T_35216 = add(_T_35215, _T_35193) @[exu_mul_ctl.scala 137:112] + node _T_35217 = add(_T_35216, _T_35194) @[exu_mul_ctl.scala 137:112] + node _T_35218 = add(_T_35217, _T_35195) @[exu_mul_ctl.scala 137:112] + node _T_35219 = add(_T_35218, _T_35196) @[exu_mul_ctl.scala 137:112] + node _T_35220 = eq(_T_35219, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35221 = bits(_T_35220, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35222 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_35223 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35224 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35225 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35226 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35227 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35228 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35229 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35230 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35231 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35232 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35233 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35234 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35235 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35236 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35237 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35238 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35239 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35240 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35241 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35242 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35243 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35244 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35245 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35246 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35247 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35248 = add(_T_35223, _T_35224) @[exu_mul_ctl.scala 137:112] + node _T_35249 = add(_T_35248, _T_35225) @[exu_mul_ctl.scala 137:112] + node _T_35250 = add(_T_35249, _T_35226) @[exu_mul_ctl.scala 137:112] + node _T_35251 = add(_T_35250, _T_35227) @[exu_mul_ctl.scala 137:112] + node _T_35252 = add(_T_35251, _T_35228) @[exu_mul_ctl.scala 137:112] + node _T_35253 = add(_T_35252, _T_35229) @[exu_mul_ctl.scala 137:112] + node _T_35254 = add(_T_35253, _T_35230) @[exu_mul_ctl.scala 137:112] + node _T_35255 = add(_T_35254, _T_35231) @[exu_mul_ctl.scala 137:112] + node _T_35256 = add(_T_35255, _T_35232) @[exu_mul_ctl.scala 137:112] + node _T_35257 = add(_T_35256, _T_35233) @[exu_mul_ctl.scala 137:112] + node _T_35258 = add(_T_35257, _T_35234) @[exu_mul_ctl.scala 137:112] + node _T_35259 = add(_T_35258, _T_35235) @[exu_mul_ctl.scala 137:112] + node _T_35260 = add(_T_35259, _T_35236) @[exu_mul_ctl.scala 137:112] + node _T_35261 = add(_T_35260, _T_35237) @[exu_mul_ctl.scala 137:112] + node _T_35262 = add(_T_35261, _T_35238) @[exu_mul_ctl.scala 137:112] + node _T_35263 = add(_T_35262, _T_35239) @[exu_mul_ctl.scala 137:112] + node _T_35264 = add(_T_35263, _T_35240) @[exu_mul_ctl.scala 137:112] + node _T_35265 = add(_T_35264, _T_35241) @[exu_mul_ctl.scala 137:112] + node _T_35266 = add(_T_35265, _T_35242) @[exu_mul_ctl.scala 137:112] + node _T_35267 = add(_T_35266, _T_35243) @[exu_mul_ctl.scala 137:112] + node _T_35268 = add(_T_35267, _T_35244) @[exu_mul_ctl.scala 137:112] + node _T_35269 = add(_T_35268, _T_35245) @[exu_mul_ctl.scala 137:112] + node _T_35270 = add(_T_35269, _T_35246) @[exu_mul_ctl.scala 137:112] + node _T_35271 = add(_T_35270, _T_35247) @[exu_mul_ctl.scala 137:112] + node _T_35272 = eq(_T_35271, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35273 = bits(_T_35272, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35274 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_35275 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35276 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35277 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35278 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35279 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35280 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35281 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35282 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35283 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35284 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35285 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35286 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35287 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35288 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35289 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35290 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35291 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35292 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35293 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35294 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35295 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35296 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35297 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35298 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35299 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35300 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35301 = add(_T_35275, _T_35276) @[exu_mul_ctl.scala 137:112] + node _T_35302 = add(_T_35301, _T_35277) @[exu_mul_ctl.scala 137:112] + node _T_35303 = add(_T_35302, _T_35278) @[exu_mul_ctl.scala 137:112] + node _T_35304 = add(_T_35303, _T_35279) @[exu_mul_ctl.scala 137:112] + node _T_35305 = add(_T_35304, _T_35280) @[exu_mul_ctl.scala 137:112] + node _T_35306 = add(_T_35305, _T_35281) @[exu_mul_ctl.scala 137:112] + node _T_35307 = add(_T_35306, _T_35282) @[exu_mul_ctl.scala 137:112] + node _T_35308 = add(_T_35307, _T_35283) @[exu_mul_ctl.scala 137:112] + node _T_35309 = add(_T_35308, _T_35284) @[exu_mul_ctl.scala 137:112] + node _T_35310 = add(_T_35309, _T_35285) @[exu_mul_ctl.scala 137:112] + node _T_35311 = add(_T_35310, _T_35286) @[exu_mul_ctl.scala 137:112] + node _T_35312 = add(_T_35311, _T_35287) @[exu_mul_ctl.scala 137:112] + node _T_35313 = add(_T_35312, _T_35288) @[exu_mul_ctl.scala 137:112] + node _T_35314 = add(_T_35313, _T_35289) @[exu_mul_ctl.scala 137:112] + node _T_35315 = add(_T_35314, _T_35290) @[exu_mul_ctl.scala 137:112] + node _T_35316 = add(_T_35315, _T_35291) @[exu_mul_ctl.scala 137:112] + node _T_35317 = add(_T_35316, _T_35292) @[exu_mul_ctl.scala 137:112] + node _T_35318 = add(_T_35317, _T_35293) @[exu_mul_ctl.scala 137:112] + node _T_35319 = add(_T_35318, _T_35294) @[exu_mul_ctl.scala 137:112] + node _T_35320 = add(_T_35319, _T_35295) @[exu_mul_ctl.scala 137:112] + node _T_35321 = add(_T_35320, _T_35296) @[exu_mul_ctl.scala 137:112] + node _T_35322 = add(_T_35321, _T_35297) @[exu_mul_ctl.scala 137:112] + node _T_35323 = add(_T_35322, _T_35298) @[exu_mul_ctl.scala 137:112] + node _T_35324 = add(_T_35323, _T_35299) @[exu_mul_ctl.scala 137:112] + node _T_35325 = add(_T_35324, _T_35300) @[exu_mul_ctl.scala 137:112] + node _T_35326 = eq(_T_35325, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35327 = bits(_T_35326, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35328 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_35329 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35330 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35331 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35332 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35333 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35334 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35335 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35336 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35337 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35338 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35339 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35340 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35341 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35342 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35343 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35344 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35345 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35346 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35347 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35348 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35349 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35350 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35351 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35352 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35353 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35354 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35355 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35356 = add(_T_35329, _T_35330) @[exu_mul_ctl.scala 137:112] + node _T_35357 = add(_T_35356, _T_35331) @[exu_mul_ctl.scala 137:112] + node _T_35358 = add(_T_35357, _T_35332) @[exu_mul_ctl.scala 137:112] + node _T_35359 = add(_T_35358, _T_35333) @[exu_mul_ctl.scala 137:112] + node _T_35360 = add(_T_35359, _T_35334) @[exu_mul_ctl.scala 137:112] + node _T_35361 = add(_T_35360, _T_35335) @[exu_mul_ctl.scala 137:112] + node _T_35362 = add(_T_35361, _T_35336) @[exu_mul_ctl.scala 137:112] + node _T_35363 = add(_T_35362, _T_35337) @[exu_mul_ctl.scala 137:112] + node _T_35364 = add(_T_35363, _T_35338) @[exu_mul_ctl.scala 137:112] + node _T_35365 = add(_T_35364, _T_35339) @[exu_mul_ctl.scala 137:112] + node _T_35366 = add(_T_35365, _T_35340) @[exu_mul_ctl.scala 137:112] + node _T_35367 = add(_T_35366, _T_35341) @[exu_mul_ctl.scala 137:112] + node _T_35368 = add(_T_35367, _T_35342) @[exu_mul_ctl.scala 137:112] + node _T_35369 = add(_T_35368, _T_35343) @[exu_mul_ctl.scala 137:112] + node _T_35370 = add(_T_35369, _T_35344) @[exu_mul_ctl.scala 137:112] + node _T_35371 = add(_T_35370, _T_35345) @[exu_mul_ctl.scala 137:112] + node _T_35372 = add(_T_35371, _T_35346) @[exu_mul_ctl.scala 137:112] + node _T_35373 = add(_T_35372, _T_35347) @[exu_mul_ctl.scala 137:112] + node _T_35374 = add(_T_35373, _T_35348) @[exu_mul_ctl.scala 137:112] + node _T_35375 = add(_T_35374, _T_35349) @[exu_mul_ctl.scala 137:112] + node _T_35376 = add(_T_35375, _T_35350) @[exu_mul_ctl.scala 137:112] + node _T_35377 = add(_T_35376, _T_35351) @[exu_mul_ctl.scala 137:112] + node _T_35378 = add(_T_35377, _T_35352) @[exu_mul_ctl.scala 137:112] + node _T_35379 = add(_T_35378, _T_35353) @[exu_mul_ctl.scala 137:112] + node _T_35380 = add(_T_35379, _T_35354) @[exu_mul_ctl.scala 137:112] + node _T_35381 = add(_T_35380, _T_35355) @[exu_mul_ctl.scala 137:112] + node _T_35382 = eq(_T_35381, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35383 = bits(_T_35382, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35384 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_35385 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35386 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35387 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35388 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35389 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35390 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35391 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35392 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35393 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35394 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35395 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35396 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35397 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35398 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35399 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35400 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35401 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35402 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35403 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35404 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35405 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35406 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35407 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35408 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35409 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35410 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35411 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35412 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_35413 = add(_T_35385, _T_35386) @[exu_mul_ctl.scala 137:112] + node _T_35414 = add(_T_35413, _T_35387) @[exu_mul_ctl.scala 137:112] + node _T_35415 = add(_T_35414, _T_35388) @[exu_mul_ctl.scala 137:112] + node _T_35416 = add(_T_35415, _T_35389) @[exu_mul_ctl.scala 137:112] + node _T_35417 = add(_T_35416, _T_35390) @[exu_mul_ctl.scala 137:112] + node _T_35418 = add(_T_35417, _T_35391) @[exu_mul_ctl.scala 137:112] + node _T_35419 = add(_T_35418, _T_35392) @[exu_mul_ctl.scala 137:112] + node _T_35420 = add(_T_35419, _T_35393) @[exu_mul_ctl.scala 137:112] + node _T_35421 = add(_T_35420, _T_35394) @[exu_mul_ctl.scala 137:112] + node _T_35422 = add(_T_35421, _T_35395) @[exu_mul_ctl.scala 137:112] + node _T_35423 = add(_T_35422, _T_35396) @[exu_mul_ctl.scala 137:112] + node _T_35424 = add(_T_35423, _T_35397) @[exu_mul_ctl.scala 137:112] + node _T_35425 = add(_T_35424, _T_35398) @[exu_mul_ctl.scala 137:112] + node _T_35426 = add(_T_35425, _T_35399) @[exu_mul_ctl.scala 137:112] + node _T_35427 = add(_T_35426, _T_35400) @[exu_mul_ctl.scala 137:112] + node _T_35428 = add(_T_35427, _T_35401) @[exu_mul_ctl.scala 137:112] + node _T_35429 = add(_T_35428, _T_35402) @[exu_mul_ctl.scala 137:112] + node _T_35430 = add(_T_35429, _T_35403) @[exu_mul_ctl.scala 137:112] + node _T_35431 = add(_T_35430, _T_35404) @[exu_mul_ctl.scala 137:112] + node _T_35432 = add(_T_35431, _T_35405) @[exu_mul_ctl.scala 137:112] + node _T_35433 = add(_T_35432, _T_35406) @[exu_mul_ctl.scala 137:112] + node _T_35434 = add(_T_35433, _T_35407) @[exu_mul_ctl.scala 137:112] + node _T_35435 = add(_T_35434, _T_35408) @[exu_mul_ctl.scala 137:112] + node _T_35436 = add(_T_35435, _T_35409) @[exu_mul_ctl.scala 137:112] + node _T_35437 = add(_T_35436, _T_35410) @[exu_mul_ctl.scala 137:112] + node _T_35438 = add(_T_35437, _T_35411) @[exu_mul_ctl.scala 137:112] + node _T_35439 = add(_T_35438, _T_35412) @[exu_mul_ctl.scala 137:112] + node _T_35440 = eq(_T_35439, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35441 = bits(_T_35440, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35442 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_35443 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35444 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35445 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35446 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35447 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35448 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35449 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35450 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35451 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35452 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35453 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35454 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35455 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35456 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35457 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35458 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35459 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35460 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35461 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35462 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35463 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35464 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35465 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35466 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35467 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35468 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35469 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35470 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_35471 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_35472 = add(_T_35443, _T_35444) @[exu_mul_ctl.scala 137:112] + node _T_35473 = add(_T_35472, _T_35445) @[exu_mul_ctl.scala 137:112] + node _T_35474 = add(_T_35473, _T_35446) @[exu_mul_ctl.scala 137:112] + node _T_35475 = add(_T_35474, _T_35447) @[exu_mul_ctl.scala 137:112] + node _T_35476 = add(_T_35475, _T_35448) @[exu_mul_ctl.scala 137:112] + node _T_35477 = add(_T_35476, _T_35449) @[exu_mul_ctl.scala 137:112] + node _T_35478 = add(_T_35477, _T_35450) @[exu_mul_ctl.scala 137:112] + node _T_35479 = add(_T_35478, _T_35451) @[exu_mul_ctl.scala 137:112] + node _T_35480 = add(_T_35479, _T_35452) @[exu_mul_ctl.scala 137:112] + node _T_35481 = add(_T_35480, _T_35453) @[exu_mul_ctl.scala 137:112] + node _T_35482 = add(_T_35481, _T_35454) @[exu_mul_ctl.scala 137:112] + node _T_35483 = add(_T_35482, _T_35455) @[exu_mul_ctl.scala 137:112] + node _T_35484 = add(_T_35483, _T_35456) @[exu_mul_ctl.scala 137:112] + node _T_35485 = add(_T_35484, _T_35457) @[exu_mul_ctl.scala 137:112] + node _T_35486 = add(_T_35485, _T_35458) @[exu_mul_ctl.scala 137:112] + node _T_35487 = add(_T_35486, _T_35459) @[exu_mul_ctl.scala 137:112] + node _T_35488 = add(_T_35487, _T_35460) @[exu_mul_ctl.scala 137:112] + node _T_35489 = add(_T_35488, _T_35461) @[exu_mul_ctl.scala 137:112] + node _T_35490 = add(_T_35489, _T_35462) @[exu_mul_ctl.scala 137:112] + node _T_35491 = add(_T_35490, _T_35463) @[exu_mul_ctl.scala 137:112] + node _T_35492 = add(_T_35491, _T_35464) @[exu_mul_ctl.scala 137:112] + node _T_35493 = add(_T_35492, _T_35465) @[exu_mul_ctl.scala 137:112] + node _T_35494 = add(_T_35493, _T_35466) @[exu_mul_ctl.scala 137:112] + node _T_35495 = add(_T_35494, _T_35467) @[exu_mul_ctl.scala 137:112] + node _T_35496 = add(_T_35495, _T_35468) @[exu_mul_ctl.scala 137:112] + node _T_35497 = add(_T_35496, _T_35469) @[exu_mul_ctl.scala 137:112] + node _T_35498 = add(_T_35497, _T_35470) @[exu_mul_ctl.scala 137:112] + node _T_35499 = add(_T_35498, _T_35471) @[exu_mul_ctl.scala 137:112] + node _T_35500 = eq(_T_35499, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35501 = bits(_T_35500, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35502 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_35503 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35504 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35505 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35506 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35507 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35508 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35509 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35510 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35511 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35512 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35513 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35514 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35515 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35516 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35517 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35518 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35519 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35520 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35521 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35522 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35523 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35524 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35525 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35526 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35527 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35528 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35529 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35530 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_35531 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_35532 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_35533 = add(_T_35503, _T_35504) @[exu_mul_ctl.scala 137:112] + node _T_35534 = add(_T_35533, _T_35505) @[exu_mul_ctl.scala 137:112] + node _T_35535 = add(_T_35534, _T_35506) @[exu_mul_ctl.scala 137:112] + node _T_35536 = add(_T_35535, _T_35507) @[exu_mul_ctl.scala 137:112] + node _T_35537 = add(_T_35536, _T_35508) @[exu_mul_ctl.scala 137:112] + node _T_35538 = add(_T_35537, _T_35509) @[exu_mul_ctl.scala 137:112] + node _T_35539 = add(_T_35538, _T_35510) @[exu_mul_ctl.scala 137:112] + node _T_35540 = add(_T_35539, _T_35511) @[exu_mul_ctl.scala 137:112] + node _T_35541 = add(_T_35540, _T_35512) @[exu_mul_ctl.scala 137:112] + node _T_35542 = add(_T_35541, _T_35513) @[exu_mul_ctl.scala 137:112] + node _T_35543 = add(_T_35542, _T_35514) @[exu_mul_ctl.scala 137:112] + node _T_35544 = add(_T_35543, _T_35515) @[exu_mul_ctl.scala 137:112] + node _T_35545 = add(_T_35544, _T_35516) @[exu_mul_ctl.scala 137:112] + node _T_35546 = add(_T_35545, _T_35517) @[exu_mul_ctl.scala 137:112] + node _T_35547 = add(_T_35546, _T_35518) @[exu_mul_ctl.scala 137:112] + node _T_35548 = add(_T_35547, _T_35519) @[exu_mul_ctl.scala 137:112] + node _T_35549 = add(_T_35548, _T_35520) @[exu_mul_ctl.scala 137:112] + node _T_35550 = add(_T_35549, _T_35521) @[exu_mul_ctl.scala 137:112] + node _T_35551 = add(_T_35550, _T_35522) @[exu_mul_ctl.scala 137:112] + node _T_35552 = add(_T_35551, _T_35523) @[exu_mul_ctl.scala 137:112] + node _T_35553 = add(_T_35552, _T_35524) @[exu_mul_ctl.scala 137:112] + node _T_35554 = add(_T_35553, _T_35525) @[exu_mul_ctl.scala 137:112] + node _T_35555 = add(_T_35554, _T_35526) @[exu_mul_ctl.scala 137:112] + node _T_35556 = add(_T_35555, _T_35527) @[exu_mul_ctl.scala 137:112] + node _T_35557 = add(_T_35556, _T_35528) @[exu_mul_ctl.scala 137:112] + node _T_35558 = add(_T_35557, _T_35529) @[exu_mul_ctl.scala 137:112] + node _T_35559 = add(_T_35558, _T_35530) @[exu_mul_ctl.scala 137:112] + node _T_35560 = add(_T_35559, _T_35531) @[exu_mul_ctl.scala 137:112] + node _T_35561 = add(_T_35560, _T_35532) @[exu_mul_ctl.scala 137:112] + node _T_35562 = eq(_T_35561, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35563 = bits(_T_35562, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35564 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_35565 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35566 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35567 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35568 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35569 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35570 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35571 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35572 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35573 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35574 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35575 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35576 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35577 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35578 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35579 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35580 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35581 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35582 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35583 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35584 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35585 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35586 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35587 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35588 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35589 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35590 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35591 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35592 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_35593 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_35594 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_35595 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_35596 = add(_T_35565, _T_35566) @[exu_mul_ctl.scala 137:112] + node _T_35597 = add(_T_35596, _T_35567) @[exu_mul_ctl.scala 137:112] + node _T_35598 = add(_T_35597, _T_35568) @[exu_mul_ctl.scala 137:112] + node _T_35599 = add(_T_35598, _T_35569) @[exu_mul_ctl.scala 137:112] + node _T_35600 = add(_T_35599, _T_35570) @[exu_mul_ctl.scala 137:112] + node _T_35601 = add(_T_35600, _T_35571) @[exu_mul_ctl.scala 137:112] + node _T_35602 = add(_T_35601, _T_35572) @[exu_mul_ctl.scala 137:112] + node _T_35603 = add(_T_35602, _T_35573) @[exu_mul_ctl.scala 137:112] + node _T_35604 = add(_T_35603, _T_35574) @[exu_mul_ctl.scala 137:112] + node _T_35605 = add(_T_35604, _T_35575) @[exu_mul_ctl.scala 137:112] + node _T_35606 = add(_T_35605, _T_35576) @[exu_mul_ctl.scala 137:112] + node _T_35607 = add(_T_35606, _T_35577) @[exu_mul_ctl.scala 137:112] + node _T_35608 = add(_T_35607, _T_35578) @[exu_mul_ctl.scala 137:112] + node _T_35609 = add(_T_35608, _T_35579) @[exu_mul_ctl.scala 137:112] + node _T_35610 = add(_T_35609, _T_35580) @[exu_mul_ctl.scala 137:112] + node _T_35611 = add(_T_35610, _T_35581) @[exu_mul_ctl.scala 137:112] + node _T_35612 = add(_T_35611, _T_35582) @[exu_mul_ctl.scala 137:112] + node _T_35613 = add(_T_35612, _T_35583) @[exu_mul_ctl.scala 137:112] + node _T_35614 = add(_T_35613, _T_35584) @[exu_mul_ctl.scala 137:112] + node _T_35615 = add(_T_35614, _T_35585) @[exu_mul_ctl.scala 137:112] + node _T_35616 = add(_T_35615, _T_35586) @[exu_mul_ctl.scala 137:112] + node _T_35617 = add(_T_35616, _T_35587) @[exu_mul_ctl.scala 137:112] + node _T_35618 = add(_T_35617, _T_35588) @[exu_mul_ctl.scala 137:112] + node _T_35619 = add(_T_35618, _T_35589) @[exu_mul_ctl.scala 137:112] + node _T_35620 = add(_T_35619, _T_35590) @[exu_mul_ctl.scala 137:112] + node _T_35621 = add(_T_35620, _T_35591) @[exu_mul_ctl.scala 137:112] + node _T_35622 = add(_T_35621, _T_35592) @[exu_mul_ctl.scala 137:112] + node _T_35623 = add(_T_35622, _T_35593) @[exu_mul_ctl.scala 137:112] + node _T_35624 = add(_T_35623, _T_35594) @[exu_mul_ctl.scala 137:112] + node _T_35625 = add(_T_35624, _T_35595) @[exu_mul_ctl.scala 137:112] + node _T_35626 = eq(_T_35625, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35627 = bits(_T_35626, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35628 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_35629 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35630 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35631 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35632 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35633 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35634 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35635 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35636 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35637 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35638 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35639 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35640 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35641 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35642 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35643 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35644 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_35645 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_35646 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_35647 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_35648 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_35649 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_35650 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_35651 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_35652 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_35653 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_35654 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_35655 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_35656 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_35657 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_35658 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_35659 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_35660 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_35661 = add(_T_35629, _T_35630) @[exu_mul_ctl.scala 137:112] + node _T_35662 = add(_T_35661, _T_35631) @[exu_mul_ctl.scala 137:112] + node _T_35663 = add(_T_35662, _T_35632) @[exu_mul_ctl.scala 137:112] + node _T_35664 = add(_T_35663, _T_35633) @[exu_mul_ctl.scala 137:112] + node _T_35665 = add(_T_35664, _T_35634) @[exu_mul_ctl.scala 137:112] + node _T_35666 = add(_T_35665, _T_35635) @[exu_mul_ctl.scala 137:112] + node _T_35667 = add(_T_35666, _T_35636) @[exu_mul_ctl.scala 137:112] + node _T_35668 = add(_T_35667, _T_35637) @[exu_mul_ctl.scala 137:112] + node _T_35669 = add(_T_35668, _T_35638) @[exu_mul_ctl.scala 137:112] + node _T_35670 = add(_T_35669, _T_35639) @[exu_mul_ctl.scala 137:112] + node _T_35671 = add(_T_35670, _T_35640) @[exu_mul_ctl.scala 137:112] + node _T_35672 = add(_T_35671, _T_35641) @[exu_mul_ctl.scala 137:112] + node _T_35673 = add(_T_35672, _T_35642) @[exu_mul_ctl.scala 137:112] + node _T_35674 = add(_T_35673, _T_35643) @[exu_mul_ctl.scala 137:112] + node _T_35675 = add(_T_35674, _T_35644) @[exu_mul_ctl.scala 137:112] + node _T_35676 = add(_T_35675, _T_35645) @[exu_mul_ctl.scala 137:112] + node _T_35677 = add(_T_35676, _T_35646) @[exu_mul_ctl.scala 137:112] + node _T_35678 = add(_T_35677, _T_35647) @[exu_mul_ctl.scala 137:112] + node _T_35679 = add(_T_35678, _T_35648) @[exu_mul_ctl.scala 137:112] + node _T_35680 = add(_T_35679, _T_35649) @[exu_mul_ctl.scala 137:112] + node _T_35681 = add(_T_35680, _T_35650) @[exu_mul_ctl.scala 137:112] + node _T_35682 = add(_T_35681, _T_35651) @[exu_mul_ctl.scala 137:112] + node _T_35683 = add(_T_35682, _T_35652) @[exu_mul_ctl.scala 137:112] + node _T_35684 = add(_T_35683, _T_35653) @[exu_mul_ctl.scala 137:112] + node _T_35685 = add(_T_35684, _T_35654) @[exu_mul_ctl.scala 137:112] + node _T_35686 = add(_T_35685, _T_35655) @[exu_mul_ctl.scala 137:112] + node _T_35687 = add(_T_35686, _T_35656) @[exu_mul_ctl.scala 137:112] + node _T_35688 = add(_T_35687, _T_35657) @[exu_mul_ctl.scala 137:112] + node _T_35689 = add(_T_35688, _T_35658) @[exu_mul_ctl.scala 137:112] + node _T_35690 = add(_T_35689, _T_35659) @[exu_mul_ctl.scala 137:112] + node _T_35691 = add(_T_35690, _T_35660) @[exu_mul_ctl.scala 137:112] + node _T_35692 = eq(_T_35691, UInt<5>("h01f")) @[exu_mul_ctl.scala 138:87] + node _T_35693 = bits(_T_35692, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35694 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_35695 = mux(_T_35693, _T_35694, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_35696 = mux(_T_35627, _T_35628, _T_35695) @[Mux.scala 98:16] + node _T_35697 = mux(_T_35563, _T_35564, _T_35696) @[Mux.scala 98:16] + node _T_35698 = mux(_T_35501, _T_35502, _T_35697) @[Mux.scala 98:16] + node _T_35699 = mux(_T_35441, _T_35442, _T_35698) @[Mux.scala 98:16] + node _T_35700 = mux(_T_35383, _T_35384, _T_35699) @[Mux.scala 98:16] + node _T_35701 = mux(_T_35327, _T_35328, _T_35700) @[Mux.scala 98:16] + node _T_35702 = mux(_T_35273, _T_35274, _T_35701) @[Mux.scala 98:16] + node _T_35703 = mux(_T_35221, _T_35222, _T_35702) @[Mux.scala 98:16] + node _T_35704 = mux(_T_35171, _T_35172, _T_35703) @[Mux.scala 98:16] + node _T_35705 = mux(_T_35123, _T_35124, _T_35704) @[Mux.scala 98:16] + node _T_35706 = mux(_T_35077, _T_35078, _T_35705) @[Mux.scala 98:16] + node _T_35707 = mux(_T_35033, _T_35034, _T_35706) @[Mux.scala 98:16] + node _T_35708 = mux(_T_34991, _T_34992, _T_35707) @[Mux.scala 98:16] + node _T_35709 = mux(_T_34951, _T_34952, _T_35708) @[Mux.scala 98:16] + node _T_35710 = mux(_T_34913, _T_34914, _T_35709) @[Mux.scala 98:16] + node _T_35711 = mux(_T_34877, _T_34878, _T_35710) @[Mux.scala 98:16] + node _T_35712 = mux(_T_34843, _T_34844, _T_35711) @[Mux.scala 98:16] + node _T_35713 = mux(_T_34811, _T_34812, _T_35712) @[Mux.scala 98:16] + node _T_35714 = mux(_T_34781, _T_34782, _T_35713) @[Mux.scala 98:16] + node _T_35715 = mux(_T_34753, _T_34754, _T_35714) @[Mux.scala 98:16] + node _T_35716 = mux(_T_34727, _T_34728, _T_35715) @[Mux.scala 98:16] + node _T_35717 = mux(_T_34703, _T_34704, _T_35716) @[Mux.scala 98:16] + node _T_35718 = mux(_T_34681, _T_34682, _T_35717) @[Mux.scala 98:16] + node _T_35719 = mux(_T_34661, _T_34662, _T_35718) @[Mux.scala 98:16] + node _T_35720 = mux(_T_34643, _T_34644, _T_35719) @[Mux.scala 98:16] + node _T_35721 = mux(_T_34627, _T_34628, _T_35720) @[Mux.scala 98:16] + node _T_35722 = mux(_T_34613, _T_34614, _T_35721) @[Mux.scala 98:16] + node _T_35723 = mux(_T_34601, _T_34602, _T_35722) @[Mux.scala 98:16] + node _T_35724 = mux(_T_34591, _T_34592, _T_35723) @[Mux.scala 98:16] + node _T_35725 = mux(_T_34583, _T_34584, _T_35724) @[Mux.scala 98:16] + node _T_35726 = mux(_T_34577, _T_34578, _T_35725) @[Mux.scala 98:16] + node _T_35727 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_35728 = eq(_T_35727, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35729 = bits(_T_35728, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35730 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 138:119] + node _T_35731 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35732 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35733 = add(_T_35731, _T_35732) @[exu_mul_ctl.scala 137:112] + node _T_35734 = eq(_T_35733, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35735 = bits(_T_35734, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35736 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 138:119] + node _T_35737 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35738 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35739 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35740 = add(_T_35737, _T_35738) @[exu_mul_ctl.scala 137:112] + node _T_35741 = add(_T_35740, _T_35739) @[exu_mul_ctl.scala 137:112] + node _T_35742 = eq(_T_35741, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35743 = bits(_T_35742, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35744 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 138:119] + node _T_35745 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35746 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35747 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35748 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35749 = add(_T_35745, _T_35746) @[exu_mul_ctl.scala 137:112] + node _T_35750 = add(_T_35749, _T_35747) @[exu_mul_ctl.scala 137:112] + node _T_35751 = add(_T_35750, _T_35748) @[exu_mul_ctl.scala 137:112] + node _T_35752 = eq(_T_35751, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35753 = bits(_T_35752, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35754 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 138:119] + node _T_35755 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35756 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35757 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35758 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35759 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35760 = add(_T_35755, _T_35756) @[exu_mul_ctl.scala 137:112] + node _T_35761 = add(_T_35760, _T_35757) @[exu_mul_ctl.scala 137:112] + node _T_35762 = add(_T_35761, _T_35758) @[exu_mul_ctl.scala 137:112] + node _T_35763 = add(_T_35762, _T_35759) @[exu_mul_ctl.scala 137:112] + node _T_35764 = eq(_T_35763, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35765 = bits(_T_35764, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35766 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 138:119] + node _T_35767 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35768 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35769 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35770 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35771 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35772 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35773 = add(_T_35767, _T_35768) @[exu_mul_ctl.scala 137:112] + node _T_35774 = add(_T_35773, _T_35769) @[exu_mul_ctl.scala 137:112] + node _T_35775 = add(_T_35774, _T_35770) @[exu_mul_ctl.scala 137:112] + node _T_35776 = add(_T_35775, _T_35771) @[exu_mul_ctl.scala 137:112] + node _T_35777 = add(_T_35776, _T_35772) @[exu_mul_ctl.scala 137:112] + node _T_35778 = eq(_T_35777, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35779 = bits(_T_35778, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35780 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 138:119] + node _T_35781 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35782 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35783 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35784 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35785 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35786 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35787 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35788 = add(_T_35781, _T_35782) @[exu_mul_ctl.scala 137:112] + node _T_35789 = add(_T_35788, _T_35783) @[exu_mul_ctl.scala 137:112] + node _T_35790 = add(_T_35789, _T_35784) @[exu_mul_ctl.scala 137:112] + node _T_35791 = add(_T_35790, _T_35785) @[exu_mul_ctl.scala 137:112] + node _T_35792 = add(_T_35791, _T_35786) @[exu_mul_ctl.scala 137:112] + node _T_35793 = add(_T_35792, _T_35787) @[exu_mul_ctl.scala 137:112] + node _T_35794 = eq(_T_35793, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35795 = bits(_T_35794, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35796 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 138:119] + node _T_35797 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35798 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35799 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35800 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35801 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35802 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35803 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35804 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35805 = add(_T_35797, _T_35798) @[exu_mul_ctl.scala 137:112] + node _T_35806 = add(_T_35805, _T_35799) @[exu_mul_ctl.scala 137:112] + node _T_35807 = add(_T_35806, _T_35800) @[exu_mul_ctl.scala 137:112] + node _T_35808 = add(_T_35807, _T_35801) @[exu_mul_ctl.scala 137:112] + node _T_35809 = add(_T_35808, _T_35802) @[exu_mul_ctl.scala 137:112] + node _T_35810 = add(_T_35809, _T_35803) @[exu_mul_ctl.scala 137:112] + node _T_35811 = add(_T_35810, _T_35804) @[exu_mul_ctl.scala 137:112] + node _T_35812 = eq(_T_35811, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35813 = bits(_T_35812, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35814 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 138:119] + node _T_35815 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35816 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35817 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35818 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35819 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35820 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35821 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35822 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35823 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35824 = add(_T_35815, _T_35816) @[exu_mul_ctl.scala 137:112] + node _T_35825 = add(_T_35824, _T_35817) @[exu_mul_ctl.scala 137:112] + node _T_35826 = add(_T_35825, _T_35818) @[exu_mul_ctl.scala 137:112] + node _T_35827 = add(_T_35826, _T_35819) @[exu_mul_ctl.scala 137:112] + node _T_35828 = add(_T_35827, _T_35820) @[exu_mul_ctl.scala 137:112] + node _T_35829 = add(_T_35828, _T_35821) @[exu_mul_ctl.scala 137:112] + node _T_35830 = add(_T_35829, _T_35822) @[exu_mul_ctl.scala 137:112] + node _T_35831 = add(_T_35830, _T_35823) @[exu_mul_ctl.scala 137:112] + node _T_35832 = eq(_T_35831, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35833 = bits(_T_35832, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35834 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 138:119] + node _T_35835 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35836 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35837 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35838 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35839 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35840 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35841 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35842 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35843 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35844 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35845 = add(_T_35835, _T_35836) @[exu_mul_ctl.scala 137:112] + node _T_35846 = add(_T_35845, _T_35837) @[exu_mul_ctl.scala 137:112] + node _T_35847 = add(_T_35846, _T_35838) @[exu_mul_ctl.scala 137:112] + node _T_35848 = add(_T_35847, _T_35839) @[exu_mul_ctl.scala 137:112] + node _T_35849 = add(_T_35848, _T_35840) @[exu_mul_ctl.scala 137:112] + node _T_35850 = add(_T_35849, _T_35841) @[exu_mul_ctl.scala 137:112] + node _T_35851 = add(_T_35850, _T_35842) @[exu_mul_ctl.scala 137:112] + node _T_35852 = add(_T_35851, _T_35843) @[exu_mul_ctl.scala 137:112] + node _T_35853 = add(_T_35852, _T_35844) @[exu_mul_ctl.scala 137:112] + node _T_35854 = eq(_T_35853, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35855 = bits(_T_35854, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35856 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 138:119] + node _T_35857 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35858 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35859 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35860 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35861 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35862 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35863 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35864 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35865 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35866 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35867 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35868 = add(_T_35857, _T_35858) @[exu_mul_ctl.scala 137:112] + node _T_35869 = add(_T_35868, _T_35859) @[exu_mul_ctl.scala 137:112] + node _T_35870 = add(_T_35869, _T_35860) @[exu_mul_ctl.scala 137:112] + node _T_35871 = add(_T_35870, _T_35861) @[exu_mul_ctl.scala 137:112] + node _T_35872 = add(_T_35871, _T_35862) @[exu_mul_ctl.scala 137:112] + node _T_35873 = add(_T_35872, _T_35863) @[exu_mul_ctl.scala 137:112] + node _T_35874 = add(_T_35873, _T_35864) @[exu_mul_ctl.scala 137:112] + node _T_35875 = add(_T_35874, _T_35865) @[exu_mul_ctl.scala 137:112] + node _T_35876 = add(_T_35875, _T_35866) @[exu_mul_ctl.scala 137:112] + node _T_35877 = add(_T_35876, _T_35867) @[exu_mul_ctl.scala 137:112] + node _T_35878 = eq(_T_35877, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35879 = bits(_T_35878, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35880 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 138:119] + node _T_35881 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35882 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35883 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35884 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35885 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35886 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35887 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35888 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35889 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35890 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35891 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35892 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35893 = add(_T_35881, _T_35882) @[exu_mul_ctl.scala 137:112] + node _T_35894 = add(_T_35893, _T_35883) @[exu_mul_ctl.scala 137:112] + node _T_35895 = add(_T_35894, _T_35884) @[exu_mul_ctl.scala 137:112] + node _T_35896 = add(_T_35895, _T_35885) @[exu_mul_ctl.scala 137:112] + node _T_35897 = add(_T_35896, _T_35886) @[exu_mul_ctl.scala 137:112] + node _T_35898 = add(_T_35897, _T_35887) @[exu_mul_ctl.scala 137:112] + node _T_35899 = add(_T_35898, _T_35888) @[exu_mul_ctl.scala 137:112] + node _T_35900 = add(_T_35899, _T_35889) @[exu_mul_ctl.scala 137:112] + node _T_35901 = add(_T_35900, _T_35890) @[exu_mul_ctl.scala 137:112] + node _T_35902 = add(_T_35901, _T_35891) @[exu_mul_ctl.scala 137:112] + node _T_35903 = add(_T_35902, _T_35892) @[exu_mul_ctl.scala 137:112] + node _T_35904 = eq(_T_35903, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35905 = bits(_T_35904, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35906 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 138:119] + node _T_35907 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35908 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35909 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35910 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35911 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35912 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35913 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35914 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35915 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35916 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35917 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35918 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35919 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35920 = add(_T_35907, _T_35908) @[exu_mul_ctl.scala 137:112] + node _T_35921 = add(_T_35920, _T_35909) @[exu_mul_ctl.scala 137:112] + node _T_35922 = add(_T_35921, _T_35910) @[exu_mul_ctl.scala 137:112] + node _T_35923 = add(_T_35922, _T_35911) @[exu_mul_ctl.scala 137:112] + node _T_35924 = add(_T_35923, _T_35912) @[exu_mul_ctl.scala 137:112] + node _T_35925 = add(_T_35924, _T_35913) @[exu_mul_ctl.scala 137:112] + node _T_35926 = add(_T_35925, _T_35914) @[exu_mul_ctl.scala 137:112] + node _T_35927 = add(_T_35926, _T_35915) @[exu_mul_ctl.scala 137:112] + node _T_35928 = add(_T_35927, _T_35916) @[exu_mul_ctl.scala 137:112] + node _T_35929 = add(_T_35928, _T_35917) @[exu_mul_ctl.scala 137:112] + node _T_35930 = add(_T_35929, _T_35918) @[exu_mul_ctl.scala 137:112] + node _T_35931 = add(_T_35930, _T_35919) @[exu_mul_ctl.scala 137:112] + node _T_35932 = eq(_T_35931, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35933 = bits(_T_35932, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35934 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 138:119] + node _T_35935 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35936 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35937 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35938 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35939 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35940 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35941 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35942 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35943 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35944 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35945 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35946 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35947 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35948 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35949 = add(_T_35935, _T_35936) @[exu_mul_ctl.scala 137:112] + node _T_35950 = add(_T_35949, _T_35937) @[exu_mul_ctl.scala 137:112] + node _T_35951 = add(_T_35950, _T_35938) @[exu_mul_ctl.scala 137:112] + node _T_35952 = add(_T_35951, _T_35939) @[exu_mul_ctl.scala 137:112] + node _T_35953 = add(_T_35952, _T_35940) @[exu_mul_ctl.scala 137:112] + node _T_35954 = add(_T_35953, _T_35941) @[exu_mul_ctl.scala 137:112] + node _T_35955 = add(_T_35954, _T_35942) @[exu_mul_ctl.scala 137:112] + node _T_35956 = add(_T_35955, _T_35943) @[exu_mul_ctl.scala 137:112] + node _T_35957 = add(_T_35956, _T_35944) @[exu_mul_ctl.scala 137:112] + node _T_35958 = add(_T_35957, _T_35945) @[exu_mul_ctl.scala 137:112] + node _T_35959 = add(_T_35958, _T_35946) @[exu_mul_ctl.scala 137:112] + node _T_35960 = add(_T_35959, _T_35947) @[exu_mul_ctl.scala 137:112] + node _T_35961 = add(_T_35960, _T_35948) @[exu_mul_ctl.scala 137:112] + node _T_35962 = eq(_T_35961, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35963 = bits(_T_35962, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35964 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 138:119] + node _T_35965 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35966 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35967 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_35968 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_35969 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_35970 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_35971 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_35972 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_35973 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_35974 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_35975 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_35976 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_35977 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_35978 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_35979 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_35980 = add(_T_35965, _T_35966) @[exu_mul_ctl.scala 137:112] + node _T_35981 = add(_T_35980, _T_35967) @[exu_mul_ctl.scala 137:112] + node _T_35982 = add(_T_35981, _T_35968) @[exu_mul_ctl.scala 137:112] + node _T_35983 = add(_T_35982, _T_35969) @[exu_mul_ctl.scala 137:112] + node _T_35984 = add(_T_35983, _T_35970) @[exu_mul_ctl.scala 137:112] + node _T_35985 = add(_T_35984, _T_35971) @[exu_mul_ctl.scala 137:112] + node _T_35986 = add(_T_35985, _T_35972) @[exu_mul_ctl.scala 137:112] + node _T_35987 = add(_T_35986, _T_35973) @[exu_mul_ctl.scala 137:112] + node _T_35988 = add(_T_35987, _T_35974) @[exu_mul_ctl.scala 137:112] + node _T_35989 = add(_T_35988, _T_35975) @[exu_mul_ctl.scala 137:112] + node _T_35990 = add(_T_35989, _T_35976) @[exu_mul_ctl.scala 137:112] + node _T_35991 = add(_T_35990, _T_35977) @[exu_mul_ctl.scala 137:112] + node _T_35992 = add(_T_35991, _T_35978) @[exu_mul_ctl.scala 137:112] + node _T_35993 = add(_T_35992, _T_35979) @[exu_mul_ctl.scala 137:112] + node _T_35994 = eq(_T_35993, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_35995 = bits(_T_35994, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_35996 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 138:119] + node _T_35997 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_35998 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_35999 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36000 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36001 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36002 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36003 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36004 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36005 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36006 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36007 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36008 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36009 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36010 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36011 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36012 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36013 = add(_T_35997, _T_35998) @[exu_mul_ctl.scala 137:112] + node _T_36014 = add(_T_36013, _T_35999) @[exu_mul_ctl.scala 137:112] + node _T_36015 = add(_T_36014, _T_36000) @[exu_mul_ctl.scala 137:112] + node _T_36016 = add(_T_36015, _T_36001) @[exu_mul_ctl.scala 137:112] + node _T_36017 = add(_T_36016, _T_36002) @[exu_mul_ctl.scala 137:112] + node _T_36018 = add(_T_36017, _T_36003) @[exu_mul_ctl.scala 137:112] + node _T_36019 = add(_T_36018, _T_36004) @[exu_mul_ctl.scala 137:112] + node _T_36020 = add(_T_36019, _T_36005) @[exu_mul_ctl.scala 137:112] + node _T_36021 = add(_T_36020, _T_36006) @[exu_mul_ctl.scala 137:112] + node _T_36022 = add(_T_36021, _T_36007) @[exu_mul_ctl.scala 137:112] + node _T_36023 = add(_T_36022, _T_36008) @[exu_mul_ctl.scala 137:112] + node _T_36024 = add(_T_36023, _T_36009) @[exu_mul_ctl.scala 137:112] + node _T_36025 = add(_T_36024, _T_36010) @[exu_mul_ctl.scala 137:112] + node _T_36026 = add(_T_36025, _T_36011) @[exu_mul_ctl.scala 137:112] + node _T_36027 = add(_T_36026, _T_36012) @[exu_mul_ctl.scala 137:112] + node _T_36028 = eq(_T_36027, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36029 = bits(_T_36028, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36030 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 138:119] + node _T_36031 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36032 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36033 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36034 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36035 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36036 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36037 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36038 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36039 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36040 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36041 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36042 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36043 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36044 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36045 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36046 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36047 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36048 = add(_T_36031, _T_36032) @[exu_mul_ctl.scala 137:112] + node _T_36049 = add(_T_36048, _T_36033) @[exu_mul_ctl.scala 137:112] + node _T_36050 = add(_T_36049, _T_36034) @[exu_mul_ctl.scala 137:112] + node _T_36051 = add(_T_36050, _T_36035) @[exu_mul_ctl.scala 137:112] + node _T_36052 = add(_T_36051, _T_36036) @[exu_mul_ctl.scala 137:112] + node _T_36053 = add(_T_36052, _T_36037) @[exu_mul_ctl.scala 137:112] + node _T_36054 = add(_T_36053, _T_36038) @[exu_mul_ctl.scala 137:112] + node _T_36055 = add(_T_36054, _T_36039) @[exu_mul_ctl.scala 137:112] + node _T_36056 = add(_T_36055, _T_36040) @[exu_mul_ctl.scala 137:112] + node _T_36057 = add(_T_36056, _T_36041) @[exu_mul_ctl.scala 137:112] + node _T_36058 = add(_T_36057, _T_36042) @[exu_mul_ctl.scala 137:112] + node _T_36059 = add(_T_36058, _T_36043) @[exu_mul_ctl.scala 137:112] + node _T_36060 = add(_T_36059, _T_36044) @[exu_mul_ctl.scala 137:112] + node _T_36061 = add(_T_36060, _T_36045) @[exu_mul_ctl.scala 137:112] + node _T_36062 = add(_T_36061, _T_36046) @[exu_mul_ctl.scala 137:112] + node _T_36063 = add(_T_36062, _T_36047) @[exu_mul_ctl.scala 137:112] + node _T_36064 = eq(_T_36063, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36065 = bits(_T_36064, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36066 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 138:119] + node _T_36067 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36068 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36069 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36070 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36071 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36072 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36073 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36074 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36075 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36076 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36077 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36078 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36079 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36080 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36081 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36082 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36083 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36084 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36085 = add(_T_36067, _T_36068) @[exu_mul_ctl.scala 137:112] + node _T_36086 = add(_T_36085, _T_36069) @[exu_mul_ctl.scala 137:112] + node _T_36087 = add(_T_36086, _T_36070) @[exu_mul_ctl.scala 137:112] + node _T_36088 = add(_T_36087, _T_36071) @[exu_mul_ctl.scala 137:112] + node _T_36089 = add(_T_36088, _T_36072) @[exu_mul_ctl.scala 137:112] + node _T_36090 = add(_T_36089, _T_36073) @[exu_mul_ctl.scala 137:112] + node _T_36091 = add(_T_36090, _T_36074) @[exu_mul_ctl.scala 137:112] + node _T_36092 = add(_T_36091, _T_36075) @[exu_mul_ctl.scala 137:112] + node _T_36093 = add(_T_36092, _T_36076) @[exu_mul_ctl.scala 137:112] + node _T_36094 = add(_T_36093, _T_36077) @[exu_mul_ctl.scala 137:112] + node _T_36095 = add(_T_36094, _T_36078) @[exu_mul_ctl.scala 137:112] + node _T_36096 = add(_T_36095, _T_36079) @[exu_mul_ctl.scala 137:112] + node _T_36097 = add(_T_36096, _T_36080) @[exu_mul_ctl.scala 137:112] + node _T_36098 = add(_T_36097, _T_36081) @[exu_mul_ctl.scala 137:112] + node _T_36099 = add(_T_36098, _T_36082) @[exu_mul_ctl.scala 137:112] + node _T_36100 = add(_T_36099, _T_36083) @[exu_mul_ctl.scala 137:112] + node _T_36101 = add(_T_36100, _T_36084) @[exu_mul_ctl.scala 137:112] + node _T_36102 = eq(_T_36101, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36103 = bits(_T_36102, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36104 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 138:119] + node _T_36105 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36106 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36107 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36108 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36109 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36110 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36111 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36112 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36113 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36114 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36115 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36116 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36117 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36118 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36119 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36120 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36121 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36122 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36123 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36124 = add(_T_36105, _T_36106) @[exu_mul_ctl.scala 137:112] + node _T_36125 = add(_T_36124, _T_36107) @[exu_mul_ctl.scala 137:112] + node _T_36126 = add(_T_36125, _T_36108) @[exu_mul_ctl.scala 137:112] + node _T_36127 = add(_T_36126, _T_36109) @[exu_mul_ctl.scala 137:112] + node _T_36128 = add(_T_36127, _T_36110) @[exu_mul_ctl.scala 137:112] + node _T_36129 = add(_T_36128, _T_36111) @[exu_mul_ctl.scala 137:112] + node _T_36130 = add(_T_36129, _T_36112) @[exu_mul_ctl.scala 137:112] + node _T_36131 = add(_T_36130, _T_36113) @[exu_mul_ctl.scala 137:112] + node _T_36132 = add(_T_36131, _T_36114) @[exu_mul_ctl.scala 137:112] + node _T_36133 = add(_T_36132, _T_36115) @[exu_mul_ctl.scala 137:112] + node _T_36134 = add(_T_36133, _T_36116) @[exu_mul_ctl.scala 137:112] + node _T_36135 = add(_T_36134, _T_36117) @[exu_mul_ctl.scala 137:112] + node _T_36136 = add(_T_36135, _T_36118) @[exu_mul_ctl.scala 137:112] + node _T_36137 = add(_T_36136, _T_36119) @[exu_mul_ctl.scala 137:112] + node _T_36138 = add(_T_36137, _T_36120) @[exu_mul_ctl.scala 137:112] + node _T_36139 = add(_T_36138, _T_36121) @[exu_mul_ctl.scala 137:112] + node _T_36140 = add(_T_36139, _T_36122) @[exu_mul_ctl.scala 137:112] + node _T_36141 = add(_T_36140, _T_36123) @[exu_mul_ctl.scala 137:112] + node _T_36142 = eq(_T_36141, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36143 = bits(_T_36142, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36144 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 138:119] + node _T_36145 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36146 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36147 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36148 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36149 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36150 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36151 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36152 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36153 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36154 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36155 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36156 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36157 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36158 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36159 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36160 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36161 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36162 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36163 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36164 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36165 = add(_T_36145, _T_36146) @[exu_mul_ctl.scala 137:112] + node _T_36166 = add(_T_36165, _T_36147) @[exu_mul_ctl.scala 137:112] + node _T_36167 = add(_T_36166, _T_36148) @[exu_mul_ctl.scala 137:112] + node _T_36168 = add(_T_36167, _T_36149) @[exu_mul_ctl.scala 137:112] + node _T_36169 = add(_T_36168, _T_36150) @[exu_mul_ctl.scala 137:112] + node _T_36170 = add(_T_36169, _T_36151) @[exu_mul_ctl.scala 137:112] + node _T_36171 = add(_T_36170, _T_36152) @[exu_mul_ctl.scala 137:112] + node _T_36172 = add(_T_36171, _T_36153) @[exu_mul_ctl.scala 137:112] + node _T_36173 = add(_T_36172, _T_36154) @[exu_mul_ctl.scala 137:112] + node _T_36174 = add(_T_36173, _T_36155) @[exu_mul_ctl.scala 137:112] + node _T_36175 = add(_T_36174, _T_36156) @[exu_mul_ctl.scala 137:112] + node _T_36176 = add(_T_36175, _T_36157) @[exu_mul_ctl.scala 137:112] + node _T_36177 = add(_T_36176, _T_36158) @[exu_mul_ctl.scala 137:112] + node _T_36178 = add(_T_36177, _T_36159) @[exu_mul_ctl.scala 137:112] + node _T_36179 = add(_T_36178, _T_36160) @[exu_mul_ctl.scala 137:112] + node _T_36180 = add(_T_36179, _T_36161) @[exu_mul_ctl.scala 137:112] + node _T_36181 = add(_T_36180, _T_36162) @[exu_mul_ctl.scala 137:112] + node _T_36182 = add(_T_36181, _T_36163) @[exu_mul_ctl.scala 137:112] + node _T_36183 = add(_T_36182, _T_36164) @[exu_mul_ctl.scala 137:112] + node _T_36184 = eq(_T_36183, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36185 = bits(_T_36184, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36186 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 138:119] + node _T_36187 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36188 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36189 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36190 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36191 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36192 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36193 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36194 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36195 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36196 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36197 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36198 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36199 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36200 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36201 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36202 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36203 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36204 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36205 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36206 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36207 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36208 = add(_T_36187, _T_36188) @[exu_mul_ctl.scala 137:112] + node _T_36209 = add(_T_36208, _T_36189) @[exu_mul_ctl.scala 137:112] + node _T_36210 = add(_T_36209, _T_36190) @[exu_mul_ctl.scala 137:112] + node _T_36211 = add(_T_36210, _T_36191) @[exu_mul_ctl.scala 137:112] + node _T_36212 = add(_T_36211, _T_36192) @[exu_mul_ctl.scala 137:112] + node _T_36213 = add(_T_36212, _T_36193) @[exu_mul_ctl.scala 137:112] + node _T_36214 = add(_T_36213, _T_36194) @[exu_mul_ctl.scala 137:112] + node _T_36215 = add(_T_36214, _T_36195) @[exu_mul_ctl.scala 137:112] + node _T_36216 = add(_T_36215, _T_36196) @[exu_mul_ctl.scala 137:112] + node _T_36217 = add(_T_36216, _T_36197) @[exu_mul_ctl.scala 137:112] + node _T_36218 = add(_T_36217, _T_36198) @[exu_mul_ctl.scala 137:112] + node _T_36219 = add(_T_36218, _T_36199) @[exu_mul_ctl.scala 137:112] + node _T_36220 = add(_T_36219, _T_36200) @[exu_mul_ctl.scala 137:112] + node _T_36221 = add(_T_36220, _T_36201) @[exu_mul_ctl.scala 137:112] + node _T_36222 = add(_T_36221, _T_36202) @[exu_mul_ctl.scala 137:112] + node _T_36223 = add(_T_36222, _T_36203) @[exu_mul_ctl.scala 137:112] + node _T_36224 = add(_T_36223, _T_36204) @[exu_mul_ctl.scala 137:112] + node _T_36225 = add(_T_36224, _T_36205) @[exu_mul_ctl.scala 137:112] + node _T_36226 = add(_T_36225, _T_36206) @[exu_mul_ctl.scala 137:112] + node _T_36227 = add(_T_36226, _T_36207) @[exu_mul_ctl.scala 137:112] + node _T_36228 = eq(_T_36227, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36229 = bits(_T_36228, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36230 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 138:119] + node _T_36231 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36232 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36233 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36234 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36235 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36236 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36237 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36238 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36239 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36240 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36241 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36242 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36243 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36244 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36245 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36246 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36247 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36248 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36249 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36250 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36251 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36252 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36253 = add(_T_36231, _T_36232) @[exu_mul_ctl.scala 137:112] + node _T_36254 = add(_T_36253, _T_36233) @[exu_mul_ctl.scala 137:112] + node _T_36255 = add(_T_36254, _T_36234) @[exu_mul_ctl.scala 137:112] + node _T_36256 = add(_T_36255, _T_36235) @[exu_mul_ctl.scala 137:112] + node _T_36257 = add(_T_36256, _T_36236) @[exu_mul_ctl.scala 137:112] + node _T_36258 = add(_T_36257, _T_36237) @[exu_mul_ctl.scala 137:112] + node _T_36259 = add(_T_36258, _T_36238) @[exu_mul_ctl.scala 137:112] + node _T_36260 = add(_T_36259, _T_36239) @[exu_mul_ctl.scala 137:112] + node _T_36261 = add(_T_36260, _T_36240) @[exu_mul_ctl.scala 137:112] + node _T_36262 = add(_T_36261, _T_36241) @[exu_mul_ctl.scala 137:112] + node _T_36263 = add(_T_36262, _T_36242) @[exu_mul_ctl.scala 137:112] + node _T_36264 = add(_T_36263, _T_36243) @[exu_mul_ctl.scala 137:112] + node _T_36265 = add(_T_36264, _T_36244) @[exu_mul_ctl.scala 137:112] + node _T_36266 = add(_T_36265, _T_36245) @[exu_mul_ctl.scala 137:112] + node _T_36267 = add(_T_36266, _T_36246) @[exu_mul_ctl.scala 137:112] + node _T_36268 = add(_T_36267, _T_36247) @[exu_mul_ctl.scala 137:112] + node _T_36269 = add(_T_36268, _T_36248) @[exu_mul_ctl.scala 137:112] + node _T_36270 = add(_T_36269, _T_36249) @[exu_mul_ctl.scala 137:112] + node _T_36271 = add(_T_36270, _T_36250) @[exu_mul_ctl.scala 137:112] + node _T_36272 = add(_T_36271, _T_36251) @[exu_mul_ctl.scala 137:112] + node _T_36273 = add(_T_36272, _T_36252) @[exu_mul_ctl.scala 137:112] + node _T_36274 = eq(_T_36273, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36275 = bits(_T_36274, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36276 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 138:119] + node _T_36277 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36278 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36279 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36280 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36281 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36282 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36283 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36284 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36285 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36286 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36287 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36288 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36289 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36290 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36291 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36292 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36293 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36294 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36295 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36296 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36297 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36298 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36299 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36300 = add(_T_36277, _T_36278) @[exu_mul_ctl.scala 137:112] + node _T_36301 = add(_T_36300, _T_36279) @[exu_mul_ctl.scala 137:112] + node _T_36302 = add(_T_36301, _T_36280) @[exu_mul_ctl.scala 137:112] + node _T_36303 = add(_T_36302, _T_36281) @[exu_mul_ctl.scala 137:112] + node _T_36304 = add(_T_36303, _T_36282) @[exu_mul_ctl.scala 137:112] + node _T_36305 = add(_T_36304, _T_36283) @[exu_mul_ctl.scala 137:112] + node _T_36306 = add(_T_36305, _T_36284) @[exu_mul_ctl.scala 137:112] + node _T_36307 = add(_T_36306, _T_36285) @[exu_mul_ctl.scala 137:112] + node _T_36308 = add(_T_36307, _T_36286) @[exu_mul_ctl.scala 137:112] + node _T_36309 = add(_T_36308, _T_36287) @[exu_mul_ctl.scala 137:112] + node _T_36310 = add(_T_36309, _T_36288) @[exu_mul_ctl.scala 137:112] + node _T_36311 = add(_T_36310, _T_36289) @[exu_mul_ctl.scala 137:112] + node _T_36312 = add(_T_36311, _T_36290) @[exu_mul_ctl.scala 137:112] + node _T_36313 = add(_T_36312, _T_36291) @[exu_mul_ctl.scala 137:112] + node _T_36314 = add(_T_36313, _T_36292) @[exu_mul_ctl.scala 137:112] + node _T_36315 = add(_T_36314, _T_36293) @[exu_mul_ctl.scala 137:112] + node _T_36316 = add(_T_36315, _T_36294) @[exu_mul_ctl.scala 137:112] + node _T_36317 = add(_T_36316, _T_36295) @[exu_mul_ctl.scala 137:112] + node _T_36318 = add(_T_36317, _T_36296) @[exu_mul_ctl.scala 137:112] + node _T_36319 = add(_T_36318, _T_36297) @[exu_mul_ctl.scala 137:112] + node _T_36320 = add(_T_36319, _T_36298) @[exu_mul_ctl.scala 137:112] + node _T_36321 = add(_T_36320, _T_36299) @[exu_mul_ctl.scala 137:112] + node _T_36322 = eq(_T_36321, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36323 = bits(_T_36322, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36324 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 138:119] + node _T_36325 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36326 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36327 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36328 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36329 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36330 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36331 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36332 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36333 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36334 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36335 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36336 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36337 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36338 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36339 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36340 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36341 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36342 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36343 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36344 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36345 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36346 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36347 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36348 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36349 = add(_T_36325, _T_36326) @[exu_mul_ctl.scala 137:112] + node _T_36350 = add(_T_36349, _T_36327) @[exu_mul_ctl.scala 137:112] + node _T_36351 = add(_T_36350, _T_36328) @[exu_mul_ctl.scala 137:112] + node _T_36352 = add(_T_36351, _T_36329) @[exu_mul_ctl.scala 137:112] + node _T_36353 = add(_T_36352, _T_36330) @[exu_mul_ctl.scala 137:112] + node _T_36354 = add(_T_36353, _T_36331) @[exu_mul_ctl.scala 137:112] + node _T_36355 = add(_T_36354, _T_36332) @[exu_mul_ctl.scala 137:112] + node _T_36356 = add(_T_36355, _T_36333) @[exu_mul_ctl.scala 137:112] + node _T_36357 = add(_T_36356, _T_36334) @[exu_mul_ctl.scala 137:112] + node _T_36358 = add(_T_36357, _T_36335) @[exu_mul_ctl.scala 137:112] + node _T_36359 = add(_T_36358, _T_36336) @[exu_mul_ctl.scala 137:112] + node _T_36360 = add(_T_36359, _T_36337) @[exu_mul_ctl.scala 137:112] + node _T_36361 = add(_T_36360, _T_36338) @[exu_mul_ctl.scala 137:112] + node _T_36362 = add(_T_36361, _T_36339) @[exu_mul_ctl.scala 137:112] + node _T_36363 = add(_T_36362, _T_36340) @[exu_mul_ctl.scala 137:112] + node _T_36364 = add(_T_36363, _T_36341) @[exu_mul_ctl.scala 137:112] + node _T_36365 = add(_T_36364, _T_36342) @[exu_mul_ctl.scala 137:112] + node _T_36366 = add(_T_36365, _T_36343) @[exu_mul_ctl.scala 137:112] + node _T_36367 = add(_T_36366, _T_36344) @[exu_mul_ctl.scala 137:112] + node _T_36368 = add(_T_36367, _T_36345) @[exu_mul_ctl.scala 137:112] + node _T_36369 = add(_T_36368, _T_36346) @[exu_mul_ctl.scala 137:112] + node _T_36370 = add(_T_36369, _T_36347) @[exu_mul_ctl.scala 137:112] + node _T_36371 = add(_T_36370, _T_36348) @[exu_mul_ctl.scala 137:112] + node _T_36372 = eq(_T_36371, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36373 = bits(_T_36372, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36374 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 138:119] + node _T_36375 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36376 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36377 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36378 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36379 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36380 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36381 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36382 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36383 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36384 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36385 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36386 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36387 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36388 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36389 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36390 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36391 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36392 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36393 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36394 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36395 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36396 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36397 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36398 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36399 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36400 = add(_T_36375, _T_36376) @[exu_mul_ctl.scala 137:112] + node _T_36401 = add(_T_36400, _T_36377) @[exu_mul_ctl.scala 137:112] + node _T_36402 = add(_T_36401, _T_36378) @[exu_mul_ctl.scala 137:112] + node _T_36403 = add(_T_36402, _T_36379) @[exu_mul_ctl.scala 137:112] + node _T_36404 = add(_T_36403, _T_36380) @[exu_mul_ctl.scala 137:112] + node _T_36405 = add(_T_36404, _T_36381) @[exu_mul_ctl.scala 137:112] + node _T_36406 = add(_T_36405, _T_36382) @[exu_mul_ctl.scala 137:112] + node _T_36407 = add(_T_36406, _T_36383) @[exu_mul_ctl.scala 137:112] + node _T_36408 = add(_T_36407, _T_36384) @[exu_mul_ctl.scala 137:112] + node _T_36409 = add(_T_36408, _T_36385) @[exu_mul_ctl.scala 137:112] + node _T_36410 = add(_T_36409, _T_36386) @[exu_mul_ctl.scala 137:112] + node _T_36411 = add(_T_36410, _T_36387) @[exu_mul_ctl.scala 137:112] + node _T_36412 = add(_T_36411, _T_36388) @[exu_mul_ctl.scala 137:112] + node _T_36413 = add(_T_36412, _T_36389) @[exu_mul_ctl.scala 137:112] + node _T_36414 = add(_T_36413, _T_36390) @[exu_mul_ctl.scala 137:112] + node _T_36415 = add(_T_36414, _T_36391) @[exu_mul_ctl.scala 137:112] + node _T_36416 = add(_T_36415, _T_36392) @[exu_mul_ctl.scala 137:112] + node _T_36417 = add(_T_36416, _T_36393) @[exu_mul_ctl.scala 137:112] + node _T_36418 = add(_T_36417, _T_36394) @[exu_mul_ctl.scala 137:112] + node _T_36419 = add(_T_36418, _T_36395) @[exu_mul_ctl.scala 137:112] + node _T_36420 = add(_T_36419, _T_36396) @[exu_mul_ctl.scala 137:112] + node _T_36421 = add(_T_36420, _T_36397) @[exu_mul_ctl.scala 137:112] + node _T_36422 = add(_T_36421, _T_36398) @[exu_mul_ctl.scala 137:112] + node _T_36423 = add(_T_36422, _T_36399) @[exu_mul_ctl.scala 137:112] + node _T_36424 = eq(_T_36423, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36425 = bits(_T_36424, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36426 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 138:119] + node _T_36427 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36428 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36429 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36430 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36431 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36432 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36433 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36434 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36435 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36436 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36437 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36438 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36439 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36440 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36441 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36442 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36443 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36444 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36445 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36446 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36447 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36448 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36449 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36450 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36451 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36452 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36453 = add(_T_36427, _T_36428) @[exu_mul_ctl.scala 137:112] + node _T_36454 = add(_T_36453, _T_36429) @[exu_mul_ctl.scala 137:112] + node _T_36455 = add(_T_36454, _T_36430) @[exu_mul_ctl.scala 137:112] + node _T_36456 = add(_T_36455, _T_36431) @[exu_mul_ctl.scala 137:112] + node _T_36457 = add(_T_36456, _T_36432) @[exu_mul_ctl.scala 137:112] + node _T_36458 = add(_T_36457, _T_36433) @[exu_mul_ctl.scala 137:112] + node _T_36459 = add(_T_36458, _T_36434) @[exu_mul_ctl.scala 137:112] + node _T_36460 = add(_T_36459, _T_36435) @[exu_mul_ctl.scala 137:112] + node _T_36461 = add(_T_36460, _T_36436) @[exu_mul_ctl.scala 137:112] + node _T_36462 = add(_T_36461, _T_36437) @[exu_mul_ctl.scala 137:112] + node _T_36463 = add(_T_36462, _T_36438) @[exu_mul_ctl.scala 137:112] + node _T_36464 = add(_T_36463, _T_36439) @[exu_mul_ctl.scala 137:112] + node _T_36465 = add(_T_36464, _T_36440) @[exu_mul_ctl.scala 137:112] + node _T_36466 = add(_T_36465, _T_36441) @[exu_mul_ctl.scala 137:112] + node _T_36467 = add(_T_36466, _T_36442) @[exu_mul_ctl.scala 137:112] + node _T_36468 = add(_T_36467, _T_36443) @[exu_mul_ctl.scala 137:112] + node _T_36469 = add(_T_36468, _T_36444) @[exu_mul_ctl.scala 137:112] + node _T_36470 = add(_T_36469, _T_36445) @[exu_mul_ctl.scala 137:112] + node _T_36471 = add(_T_36470, _T_36446) @[exu_mul_ctl.scala 137:112] + node _T_36472 = add(_T_36471, _T_36447) @[exu_mul_ctl.scala 137:112] + node _T_36473 = add(_T_36472, _T_36448) @[exu_mul_ctl.scala 137:112] + node _T_36474 = add(_T_36473, _T_36449) @[exu_mul_ctl.scala 137:112] + node _T_36475 = add(_T_36474, _T_36450) @[exu_mul_ctl.scala 137:112] + node _T_36476 = add(_T_36475, _T_36451) @[exu_mul_ctl.scala 137:112] + node _T_36477 = add(_T_36476, _T_36452) @[exu_mul_ctl.scala 137:112] + node _T_36478 = eq(_T_36477, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36479 = bits(_T_36478, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36480 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 138:119] + node _T_36481 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36482 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36483 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36484 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36485 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36486 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36487 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36488 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36489 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36490 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36491 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36492 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36493 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36494 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36495 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36496 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36497 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36498 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36499 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36500 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36501 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36502 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36503 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36504 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36505 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36506 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36507 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36508 = add(_T_36481, _T_36482) @[exu_mul_ctl.scala 137:112] + node _T_36509 = add(_T_36508, _T_36483) @[exu_mul_ctl.scala 137:112] + node _T_36510 = add(_T_36509, _T_36484) @[exu_mul_ctl.scala 137:112] + node _T_36511 = add(_T_36510, _T_36485) @[exu_mul_ctl.scala 137:112] + node _T_36512 = add(_T_36511, _T_36486) @[exu_mul_ctl.scala 137:112] + node _T_36513 = add(_T_36512, _T_36487) @[exu_mul_ctl.scala 137:112] + node _T_36514 = add(_T_36513, _T_36488) @[exu_mul_ctl.scala 137:112] + node _T_36515 = add(_T_36514, _T_36489) @[exu_mul_ctl.scala 137:112] + node _T_36516 = add(_T_36515, _T_36490) @[exu_mul_ctl.scala 137:112] + node _T_36517 = add(_T_36516, _T_36491) @[exu_mul_ctl.scala 137:112] + node _T_36518 = add(_T_36517, _T_36492) @[exu_mul_ctl.scala 137:112] + node _T_36519 = add(_T_36518, _T_36493) @[exu_mul_ctl.scala 137:112] + node _T_36520 = add(_T_36519, _T_36494) @[exu_mul_ctl.scala 137:112] + node _T_36521 = add(_T_36520, _T_36495) @[exu_mul_ctl.scala 137:112] + node _T_36522 = add(_T_36521, _T_36496) @[exu_mul_ctl.scala 137:112] + node _T_36523 = add(_T_36522, _T_36497) @[exu_mul_ctl.scala 137:112] + node _T_36524 = add(_T_36523, _T_36498) @[exu_mul_ctl.scala 137:112] + node _T_36525 = add(_T_36524, _T_36499) @[exu_mul_ctl.scala 137:112] + node _T_36526 = add(_T_36525, _T_36500) @[exu_mul_ctl.scala 137:112] + node _T_36527 = add(_T_36526, _T_36501) @[exu_mul_ctl.scala 137:112] + node _T_36528 = add(_T_36527, _T_36502) @[exu_mul_ctl.scala 137:112] + node _T_36529 = add(_T_36528, _T_36503) @[exu_mul_ctl.scala 137:112] + node _T_36530 = add(_T_36529, _T_36504) @[exu_mul_ctl.scala 137:112] + node _T_36531 = add(_T_36530, _T_36505) @[exu_mul_ctl.scala 137:112] + node _T_36532 = add(_T_36531, _T_36506) @[exu_mul_ctl.scala 137:112] + node _T_36533 = add(_T_36532, _T_36507) @[exu_mul_ctl.scala 137:112] + node _T_36534 = eq(_T_36533, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36535 = bits(_T_36534, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36536 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 138:119] + node _T_36537 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36538 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36539 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36540 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36541 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36542 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36543 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36544 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36545 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36546 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36547 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36548 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36549 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36550 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36551 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36552 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36553 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36554 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36555 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36556 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36557 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36558 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36559 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36560 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36561 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36562 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36563 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36564 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_36565 = add(_T_36537, _T_36538) @[exu_mul_ctl.scala 137:112] + node _T_36566 = add(_T_36565, _T_36539) @[exu_mul_ctl.scala 137:112] + node _T_36567 = add(_T_36566, _T_36540) @[exu_mul_ctl.scala 137:112] + node _T_36568 = add(_T_36567, _T_36541) @[exu_mul_ctl.scala 137:112] + node _T_36569 = add(_T_36568, _T_36542) @[exu_mul_ctl.scala 137:112] + node _T_36570 = add(_T_36569, _T_36543) @[exu_mul_ctl.scala 137:112] + node _T_36571 = add(_T_36570, _T_36544) @[exu_mul_ctl.scala 137:112] + node _T_36572 = add(_T_36571, _T_36545) @[exu_mul_ctl.scala 137:112] + node _T_36573 = add(_T_36572, _T_36546) @[exu_mul_ctl.scala 137:112] + node _T_36574 = add(_T_36573, _T_36547) @[exu_mul_ctl.scala 137:112] + node _T_36575 = add(_T_36574, _T_36548) @[exu_mul_ctl.scala 137:112] + node _T_36576 = add(_T_36575, _T_36549) @[exu_mul_ctl.scala 137:112] + node _T_36577 = add(_T_36576, _T_36550) @[exu_mul_ctl.scala 137:112] + node _T_36578 = add(_T_36577, _T_36551) @[exu_mul_ctl.scala 137:112] + node _T_36579 = add(_T_36578, _T_36552) @[exu_mul_ctl.scala 137:112] + node _T_36580 = add(_T_36579, _T_36553) @[exu_mul_ctl.scala 137:112] + node _T_36581 = add(_T_36580, _T_36554) @[exu_mul_ctl.scala 137:112] + node _T_36582 = add(_T_36581, _T_36555) @[exu_mul_ctl.scala 137:112] + node _T_36583 = add(_T_36582, _T_36556) @[exu_mul_ctl.scala 137:112] + node _T_36584 = add(_T_36583, _T_36557) @[exu_mul_ctl.scala 137:112] + node _T_36585 = add(_T_36584, _T_36558) @[exu_mul_ctl.scala 137:112] + node _T_36586 = add(_T_36585, _T_36559) @[exu_mul_ctl.scala 137:112] + node _T_36587 = add(_T_36586, _T_36560) @[exu_mul_ctl.scala 137:112] + node _T_36588 = add(_T_36587, _T_36561) @[exu_mul_ctl.scala 137:112] + node _T_36589 = add(_T_36588, _T_36562) @[exu_mul_ctl.scala 137:112] + node _T_36590 = add(_T_36589, _T_36563) @[exu_mul_ctl.scala 137:112] + node _T_36591 = add(_T_36590, _T_36564) @[exu_mul_ctl.scala 137:112] + node _T_36592 = eq(_T_36591, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36593 = bits(_T_36592, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36594 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 138:119] + node _T_36595 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36596 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36597 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36598 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36599 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36600 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36601 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36602 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36603 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36604 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36605 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36606 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36607 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36608 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36609 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36610 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36611 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36612 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36613 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36614 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36615 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36616 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36617 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36618 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36619 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36620 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36621 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36622 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_36623 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_36624 = add(_T_36595, _T_36596) @[exu_mul_ctl.scala 137:112] + node _T_36625 = add(_T_36624, _T_36597) @[exu_mul_ctl.scala 137:112] + node _T_36626 = add(_T_36625, _T_36598) @[exu_mul_ctl.scala 137:112] + node _T_36627 = add(_T_36626, _T_36599) @[exu_mul_ctl.scala 137:112] + node _T_36628 = add(_T_36627, _T_36600) @[exu_mul_ctl.scala 137:112] + node _T_36629 = add(_T_36628, _T_36601) @[exu_mul_ctl.scala 137:112] + node _T_36630 = add(_T_36629, _T_36602) @[exu_mul_ctl.scala 137:112] + node _T_36631 = add(_T_36630, _T_36603) @[exu_mul_ctl.scala 137:112] + node _T_36632 = add(_T_36631, _T_36604) @[exu_mul_ctl.scala 137:112] + node _T_36633 = add(_T_36632, _T_36605) @[exu_mul_ctl.scala 137:112] + node _T_36634 = add(_T_36633, _T_36606) @[exu_mul_ctl.scala 137:112] + node _T_36635 = add(_T_36634, _T_36607) @[exu_mul_ctl.scala 137:112] + node _T_36636 = add(_T_36635, _T_36608) @[exu_mul_ctl.scala 137:112] + node _T_36637 = add(_T_36636, _T_36609) @[exu_mul_ctl.scala 137:112] + node _T_36638 = add(_T_36637, _T_36610) @[exu_mul_ctl.scala 137:112] + node _T_36639 = add(_T_36638, _T_36611) @[exu_mul_ctl.scala 137:112] + node _T_36640 = add(_T_36639, _T_36612) @[exu_mul_ctl.scala 137:112] + node _T_36641 = add(_T_36640, _T_36613) @[exu_mul_ctl.scala 137:112] + node _T_36642 = add(_T_36641, _T_36614) @[exu_mul_ctl.scala 137:112] + node _T_36643 = add(_T_36642, _T_36615) @[exu_mul_ctl.scala 137:112] + node _T_36644 = add(_T_36643, _T_36616) @[exu_mul_ctl.scala 137:112] + node _T_36645 = add(_T_36644, _T_36617) @[exu_mul_ctl.scala 137:112] + node _T_36646 = add(_T_36645, _T_36618) @[exu_mul_ctl.scala 137:112] + node _T_36647 = add(_T_36646, _T_36619) @[exu_mul_ctl.scala 137:112] + node _T_36648 = add(_T_36647, _T_36620) @[exu_mul_ctl.scala 137:112] + node _T_36649 = add(_T_36648, _T_36621) @[exu_mul_ctl.scala 137:112] + node _T_36650 = add(_T_36649, _T_36622) @[exu_mul_ctl.scala 137:112] + node _T_36651 = add(_T_36650, _T_36623) @[exu_mul_ctl.scala 137:112] + node _T_36652 = eq(_T_36651, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36653 = bits(_T_36652, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36654 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 138:119] + node _T_36655 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36656 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36657 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36658 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36659 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36660 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36661 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36662 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36663 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36664 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36665 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36666 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36667 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36668 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36669 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36670 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36671 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36672 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36673 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36674 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36675 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36676 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36677 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36678 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36679 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36680 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36681 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36682 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_36683 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_36684 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_36685 = add(_T_36655, _T_36656) @[exu_mul_ctl.scala 137:112] + node _T_36686 = add(_T_36685, _T_36657) @[exu_mul_ctl.scala 137:112] + node _T_36687 = add(_T_36686, _T_36658) @[exu_mul_ctl.scala 137:112] + node _T_36688 = add(_T_36687, _T_36659) @[exu_mul_ctl.scala 137:112] + node _T_36689 = add(_T_36688, _T_36660) @[exu_mul_ctl.scala 137:112] + node _T_36690 = add(_T_36689, _T_36661) @[exu_mul_ctl.scala 137:112] + node _T_36691 = add(_T_36690, _T_36662) @[exu_mul_ctl.scala 137:112] + node _T_36692 = add(_T_36691, _T_36663) @[exu_mul_ctl.scala 137:112] + node _T_36693 = add(_T_36692, _T_36664) @[exu_mul_ctl.scala 137:112] + node _T_36694 = add(_T_36693, _T_36665) @[exu_mul_ctl.scala 137:112] + node _T_36695 = add(_T_36694, _T_36666) @[exu_mul_ctl.scala 137:112] + node _T_36696 = add(_T_36695, _T_36667) @[exu_mul_ctl.scala 137:112] + node _T_36697 = add(_T_36696, _T_36668) @[exu_mul_ctl.scala 137:112] + node _T_36698 = add(_T_36697, _T_36669) @[exu_mul_ctl.scala 137:112] + node _T_36699 = add(_T_36698, _T_36670) @[exu_mul_ctl.scala 137:112] + node _T_36700 = add(_T_36699, _T_36671) @[exu_mul_ctl.scala 137:112] + node _T_36701 = add(_T_36700, _T_36672) @[exu_mul_ctl.scala 137:112] + node _T_36702 = add(_T_36701, _T_36673) @[exu_mul_ctl.scala 137:112] + node _T_36703 = add(_T_36702, _T_36674) @[exu_mul_ctl.scala 137:112] + node _T_36704 = add(_T_36703, _T_36675) @[exu_mul_ctl.scala 137:112] + node _T_36705 = add(_T_36704, _T_36676) @[exu_mul_ctl.scala 137:112] + node _T_36706 = add(_T_36705, _T_36677) @[exu_mul_ctl.scala 137:112] + node _T_36707 = add(_T_36706, _T_36678) @[exu_mul_ctl.scala 137:112] + node _T_36708 = add(_T_36707, _T_36679) @[exu_mul_ctl.scala 137:112] + node _T_36709 = add(_T_36708, _T_36680) @[exu_mul_ctl.scala 137:112] + node _T_36710 = add(_T_36709, _T_36681) @[exu_mul_ctl.scala 137:112] + node _T_36711 = add(_T_36710, _T_36682) @[exu_mul_ctl.scala 137:112] + node _T_36712 = add(_T_36711, _T_36683) @[exu_mul_ctl.scala 137:112] + node _T_36713 = add(_T_36712, _T_36684) @[exu_mul_ctl.scala 137:112] + node _T_36714 = eq(_T_36713, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36715 = bits(_T_36714, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36716 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 138:119] + node _T_36717 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36718 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36719 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36720 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36721 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36722 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36723 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36724 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36725 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36726 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36727 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36728 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36729 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36730 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36731 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36732 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36733 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36734 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36735 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36736 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36737 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36738 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36739 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36740 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36741 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36742 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36743 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36744 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_36745 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_36746 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_36747 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_36748 = add(_T_36717, _T_36718) @[exu_mul_ctl.scala 137:112] + node _T_36749 = add(_T_36748, _T_36719) @[exu_mul_ctl.scala 137:112] + node _T_36750 = add(_T_36749, _T_36720) @[exu_mul_ctl.scala 137:112] + node _T_36751 = add(_T_36750, _T_36721) @[exu_mul_ctl.scala 137:112] + node _T_36752 = add(_T_36751, _T_36722) @[exu_mul_ctl.scala 137:112] + node _T_36753 = add(_T_36752, _T_36723) @[exu_mul_ctl.scala 137:112] + node _T_36754 = add(_T_36753, _T_36724) @[exu_mul_ctl.scala 137:112] + node _T_36755 = add(_T_36754, _T_36725) @[exu_mul_ctl.scala 137:112] + node _T_36756 = add(_T_36755, _T_36726) @[exu_mul_ctl.scala 137:112] + node _T_36757 = add(_T_36756, _T_36727) @[exu_mul_ctl.scala 137:112] + node _T_36758 = add(_T_36757, _T_36728) @[exu_mul_ctl.scala 137:112] + node _T_36759 = add(_T_36758, _T_36729) @[exu_mul_ctl.scala 137:112] + node _T_36760 = add(_T_36759, _T_36730) @[exu_mul_ctl.scala 137:112] + node _T_36761 = add(_T_36760, _T_36731) @[exu_mul_ctl.scala 137:112] + node _T_36762 = add(_T_36761, _T_36732) @[exu_mul_ctl.scala 137:112] + node _T_36763 = add(_T_36762, _T_36733) @[exu_mul_ctl.scala 137:112] + node _T_36764 = add(_T_36763, _T_36734) @[exu_mul_ctl.scala 137:112] + node _T_36765 = add(_T_36764, _T_36735) @[exu_mul_ctl.scala 137:112] + node _T_36766 = add(_T_36765, _T_36736) @[exu_mul_ctl.scala 137:112] + node _T_36767 = add(_T_36766, _T_36737) @[exu_mul_ctl.scala 137:112] + node _T_36768 = add(_T_36767, _T_36738) @[exu_mul_ctl.scala 137:112] + node _T_36769 = add(_T_36768, _T_36739) @[exu_mul_ctl.scala 137:112] + node _T_36770 = add(_T_36769, _T_36740) @[exu_mul_ctl.scala 137:112] + node _T_36771 = add(_T_36770, _T_36741) @[exu_mul_ctl.scala 137:112] + node _T_36772 = add(_T_36771, _T_36742) @[exu_mul_ctl.scala 137:112] + node _T_36773 = add(_T_36772, _T_36743) @[exu_mul_ctl.scala 137:112] + node _T_36774 = add(_T_36773, _T_36744) @[exu_mul_ctl.scala 137:112] + node _T_36775 = add(_T_36774, _T_36745) @[exu_mul_ctl.scala 137:112] + node _T_36776 = add(_T_36775, _T_36746) @[exu_mul_ctl.scala 137:112] + node _T_36777 = add(_T_36776, _T_36747) @[exu_mul_ctl.scala 137:112] + node _T_36778 = eq(_T_36777, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36779 = bits(_T_36778, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36780 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 138:119] + node _T_36781 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36782 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36783 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36784 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36785 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36786 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36787 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36788 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_36789 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_36790 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_36791 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_36792 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_36793 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_36794 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_36795 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_36796 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_36797 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_36798 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_36799 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_36800 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_36801 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_36802 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_36803 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_36804 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_36805 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_36806 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_36807 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_36808 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_36809 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_36810 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_36811 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_36812 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_36813 = add(_T_36781, _T_36782) @[exu_mul_ctl.scala 137:112] + node _T_36814 = add(_T_36813, _T_36783) @[exu_mul_ctl.scala 137:112] + node _T_36815 = add(_T_36814, _T_36784) @[exu_mul_ctl.scala 137:112] + node _T_36816 = add(_T_36815, _T_36785) @[exu_mul_ctl.scala 137:112] + node _T_36817 = add(_T_36816, _T_36786) @[exu_mul_ctl.scala 137:112] + node _T_36818 = add(_T_36817, _T_36787) @[exu_mul_ctl.scala 137:112] + node _T_36819 = add(_T_36818, _T_36788) @[exu_mul_ctl.scala 137:112] + node _T_36820 = add(_T_36819, _T_36789) @[exu_mul_ctl.scala 137:112] + node _T_36821 = add(_T_36820, _T_36790) @[exu_mul_ctl.scala 137:112] + node _T_36822 = add(_T_36821, _T_36791) @[exu_mul_ctl.scala 137:112] + node _T_36823 = add(_T_36822, _T_36792) @[exu_mul_ctl.scala 137:112] + node _T_36824 = add(_T_36823, _T_36793) @[exu_mul_ctl.scala 137:112] + node _T_36825 = add(_T_36824, _T_36794) @[exu_mul_ctl.scala 137:112] + node _T_36826 = add(_T_36825, _T_36795) @[exu_mul_ctl.scala 137:112] + node _T_36827 = add(_T_36826, _T_36796) @[exu_mul_ctl.scala 137:112] + node _T_36828 = add(_T_36827, _T_36797) @[exu_mul_ctl.scala 137:112] + node _T_36829 = add(_T_36828, _T_36798) @[exu_mul_ctl.scala 137:112] + node _T_36830 = add(_T_36829, _T_36799) @[exu_mul_ctl.scala 137:112] + node _T_36831 = add(_T_36830, _T_36800) @[exu_mul_ctl.scala 137:112] + node _T_36832 = add(_T_36831, _T_36801) @[exu_mul_ctl.scala 137:112] + node _T_36833 = add(_T_36832, _T_36802) @[exu_mul_ctl.scala 137:112] + node _T_36834 = add(_T_36833, _T_36803) @[exu_mul_ctl.scala 137:112] + node _T_36835 = add(_T_36834, _T_36804) @[exu_mul_ctl.scala 137:112] + node _T_36836 = add(_T_36835, _T_36805) @[exu_mul_ctl.scala 137:112] + node _T_36837 = add(_T_36836, _T_36806) @[exu_mul_ctl.scala 137:112] + node _T_36838 = add(_T_36837, _T_36807) @[exu_mul_ctl.scala 137:112] + node _T_36839 = add(_T_36838, _T_36808) @[exu_mul_ctl.scala 137:112] + node _T_36840 = add(_T_36839, _T_36809) @[exu_mul_ctl.scala 137:112] + node _T_36841 = add(_T_36840, _T_36810) @[exu_mul_ctl.scala 137:112] + node _T_36842 = add(_T_36841, _T_36811) @[exu_mul_ctl.scala 137:112] + node _T_36843 = add(_T_36842, _T_36812) @[exu_mul_ctl.scala 137:112] + node _T_36844 = eq(_T_36843, UInt<6>("h020")) @[exu_mul_ctl.scala 138:87] + node _T_36845 = bits(_T_36844, 0, 0) @[exu_mul_ctl.scala 138:100] + node _T_36846 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 138:119] + node _T_36847 = mux(_T_36845, _T_36846, UInt<1>("h00")) @[Mux.scala 98:16] + node _T_36848 = mux(_T_36779, _T_36780, _T_36847) @[Mux.scala 98:16] + node _T_36849 = mux(_T_36715, _T_36716, _T_36848) @[Mux.scala 98:16] + node _T_36850 = mux(_T_36653, _T_36654, _T_36849) @[Mux.scala 98:16] + node _T_36851 = mux(_T_36593, _T_36594, _T_36850) @[Mux.scala 98:16] + node _T_36852 = mux(_T_36535, _T_36536, _T_36851) @[Mux.scala 98:16] + node _T_36853 = mux(_T_36479, _T_36480, _T_36852) @[Mux.scala 98:16] + node _T_36854 = mux(_T_36425, _T_36426, _T_36853) @[Mux.scala 98:16] + node _T_36855 = mux(_T_36373, _T_36374, _T_36854) @[Mux.scala 98:16] + node _T_36856 = mux(_T_36323, _T_36324, _T_36855) @[Mux.scala 98:16] + node _T_36857 = mux(_T_36275, _T_36276, _T_36856) @[Mux.scala 98:16] + node _T_36858 = mux(_T_36229, _T_36230, _T_36857) @[Mux.scala 98:16] + node _T_36859 = mux(_T_36185, _T_36186, _T_36858) @[Mux.scala 98:16] + node _T_36860 = mux(_T_36143, _T_36144, _T_36859) @[Mux.scala 98:16] + node _T_36861 = mux(_T_36103, _T_36104, _T_36860) @[Mux.scala 98:16] + node _T_36862 = mux(_T_36065, _T_36066, _T_36861) @[Mux.scala 98:16] + node _T_36863 = mux(_T_36029, _T_36030, _T_36862) @[Mux.scala 98:16] + node _T_36864 = mux(_T_35995, _T_35996, _T_36863) @[Mux.scala 98:16] + node _T_36865 = mux(_T_35963, _T_35964, _T_36864) @[Mux.scala 98:16] + node _T_36866 = mux(_T_35933, _T_35934, _T_36865) @[Mux.scala 98:16] + node _T_36867 = mux(_T_35905, _T_35906, _T_36866) @[Mux.scala 98:16] + node _T_36868 = mux(_T_35879, _T_35880, _T_36867) @[Mux.scala 98:16] + node _T_36869 = mux(_T_35855, _T_35856, _T_36868) @[Mux.scala 98:16] + node _T_36870 = mux(_T_35833, _T_35834, _T_36869) @[Mux.scala 98:16] + node _T_36871 = mux(_T_35813, _T_35814, _T_36870) @[Mux.scala 98:16] + node _T_36872 = mux(_T_35795, _T_35796, _T_36871) @[Mux.scala 98:16] + node _T_36873 = mux(_T_35779, _T_35780, _T_36872) @[Mux.scala 98:16] + node _T_36874 = mux(_T_35765, _T_35766, _T_36873) @[Mux.scala 98:16] + node _T_36875 = mux(_T_35753, _T_35754, _T_36874) @[Mux.scala 98:16] + node _T_36876 = mux(_T_35743, _T_35744, _T_36875) @[Mux.scala 98:16] + node _T_36877 = mux(_T_35735, _T_35736, _T_36876) @[Mux.scala 98:16] + node _T_36878 = mux(_T_35729, _T_35730, _T_36877) @[Mux.scala 98:16] + node _T_36879 = cat(_T_36878, _T_35726) @[Cat.scala 29:58] + node _T_36880 = cat(_T_36879, _T_34574) @[Cat.scala 29:58] + node _T_36881 = cat(_T_36880, _T_33422) @[Cat.scala 29:58] + node _T_36882 = cat(_T_36881, _T_32270) @[Cat.scala 29:58] + node _T_36883 = cat(_T_36882, _T_31118) @[Cat.scala 29:58] + node _T_36884 = cat(_T_36883, _T_29966) @[Cat.scala 29:58] + node _T_36885 = cat(_T_36884, _T_28814) @[Cat.scala 29:58] + node _T_36886 = cat(_T_36885, _T_27662) @[Cat.scala 29:58] + node _T_36887 = cat(_T_36886, _T_26510) @[Cat.scala 29:58] + node _T_36888 = cat(_T_36887, _T_25358) @[Cat.scala 29:58] + node _T_36889 = cat(_T_36888, _T_24206) @[Cat.scala 29:58] + node _T_36890 = cat(_T_36889, _T_23054) @[Cat.scala 29:58] + node _T_36891 = cat(_T_36890, _T_21902) @[Cat.scala 29:58] + node _T_36892 = cat(_T_36891, _T_20750) @[Cat.scala 29:58] + node _T_36893 = cat(_T_36892, _T_19598) @[Cat.scala 29:58] + node _T_36894 = cat(_T_36893, _T_18446) @[Cat.scala 29:58] + node _T_36895 = cat(_T_36894, _T_17294) @[Cat.scala 29:58] + node _T_36896 = cat(_T_36895, _T_16142) @[Cat.scala 29:58] + node _T_36897 = cat(_T_36896, _T_14990) @[Cat.scala 29:58] + node _T_36898 = cat(_T_36897, _T_13838) @[Cat.scala 29:58] + node _T_36899 = cat(_T_36898, _T_12686) @[Cat.scala 29:58] + node _T_36900 = cat(_T_36899, _T_11534) @[Cat.scala 29:58] + node _T_36901 = cat(_T_36900, _T_10382) @[Cat.scala 29:58] + node _T_36902 = cat(_T_36901, _T_9230) @[Cat.scala 29:58] + node _T_36903 = cat(_T_36902, _T_8078) @[Cat.scala 29:58] + node _T_36904 = cat(_T_36903, _T_6926) @[Cat.scala 29:58] + node _T_36905 = cat(_T_36904, _T_5774) @[Cat.scala 29:58] + node _T_36906 = cat(_T_36905, _T_4622) @[Cat.scala 29:58] + node _T_36907 = cat(_T_36906, _T_3470) @[Cat.scala 29:58] + node _T_36908 = cat(_T_36907, _T_2318) @[Cat.scala 29:58] + node bext_d = cat(_T_36908, _T_1166) @[Cat.scala 29:58] + node _T_36909 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 141:51] + node _T_36910 = eq(_T_36909, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36911 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:57] + node _T_36912 = sub(_T_36911, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36913 = tail(_T_36912, 1) @[exu_mul_ctl.scala 141:85] + node _T_36914 = dshr(io.rs1_in, _T_36913) @[exu_mul_ctl.scala 141:74] + node _T_36915 = bits(_T_36914, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36916 = mux(_T_36910, _T_36915, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36917 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 141:51] + node _T_36918 = eq(_T_36917, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36919 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36920 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36921 = add(_T_36919, _T_36920) @[exu_mul_ctl.scala 137:112] + node _T_36922 = sub(_T_36921, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36923 = tail(_T_36922, 1) @[exu_mul_ctl.scala 141:85] + node _T_36924 = dshr(io.rs1_in, _T_36923) @[exu_mul_ctl.scala 141:74] + node _T_36925 = bits(_T_36924, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36926 = mux(_T_36918, _T_36925, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36927 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 141:51] + node _T_36928 = eq(_T_36927, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36929 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36930 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36931 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36932 = add(_T_36929, _T_36930) @[exu_mul_ctl.scala 137:112] + node _T_36933 = add(_T_36932, _T_36931) @[exu_mul_ctl.scala 137:112] + node _T_36934 = sub(_T_36933, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36935 = tail(_T_36934, 1) @[exu_mul_ctl.scala 141:85] + node _T_36936 = dshr(io.rs1_in, _T_36935) @[exu_mul_ctl.scala 141:74] + node _T_36937 = bits(_T_36936, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36938 = mux(_T_36928, _T_36937, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36939 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 141:51] + node _T_36940 = eq(_T_36939, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36941 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36942 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36943 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36944 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36945 = add(_T_36941, _T_36942) @[exu_mul_ctl.scala 137:112] + node _T_36946 = add(_T_36945, _T_36943) @[exu_mul_ctl.scala 137:112] + node _T_36947 = add(_T_36946, _T_36944) @[exu_mul_ctl.scala 137:112] + node _T_36948 = sub(_T_36947, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36949 = tail(_T_36948, 1) @[exu_mul_ctl.scala 141:85] + node _T_36950 = dshr(io.rs1_in, _T_36949) @[exu_mul_ctl.scala 141:74] + node _T_36951 = bits(_T_36950, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36952 = mux(_T_36940, _T_36951, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36953 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 141:51] + node _T_36954 = eq(_T_36953, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36955 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36956 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36957 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36958 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36959 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36960 = add(_T_36955, _T_36956) @[exu_mul_ctl.scala 137:112] + node _T_36961 = add(_T_36960, _T_36957) @[exu_mul_ctl.scala 137:112] + node _T_36962 = add(_T_36961, _T_36958) @[exu_mul_ctl.scala 137:112] + node _T_36963 = add(_T_36962, _T_36959) @[exu_mul_ctl.scala 137:112] + node _T_36964 = sub(_T_36963, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36965 = tail(_T_36964, 1) @[exu_mul_ctl.scala 141:85] + node _T_36966 = dshr(io.rs1_in, _T_36965) @[exu_mul_ctl.scala 141:74] + node _T_36967 = bits(_T_36966, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36968 = mux(_T_36954, _T_36967, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36969 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 141:51] + node _T_36970 = eq(_T_36969, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36971 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36972 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36973 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36974 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36975 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36976 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36977 = add(_T_36971, _T_36972) @[exu_mul_ctl.scala 137:112] + node _T_36978 = add(_T_36977, _T_36973) @[exu_mul_ctl.scala 137:112] + node _T_36979 = add(_T_36978, _T_36974) @[exu_mul_ctl.scala 137:112] + node _T_36980 = add(_T_36979, _T_36975) @[exu_mul_ctl.scala 137:112] + node _T_36981 = add(_T_36980, _T_36976) @[exu_mul_ctl.scala 137:112] + node _T_36982 = sub(_T_36981, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_36983 = tail(_T_36982, 1) @[exu_mul_ctl.scala 141:85] + node _T_36984 = dshr(io.rs1_in, _T_36983) @[exu_mul_ctl.scala 141:74] + node _T_36985 = bits(_T_36984, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_36986 = mux(_T_36970, _T_36985, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_36987 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 141:51] + node _T_36988 = eq(_T_36987, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_36989 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_36990 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_36991 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_36992 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_36993 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_36994 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_36995 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_36996 = add(_T_36989, _T_36990) @[exu_mul_ctl.scala 137:112] + node _T_36997 = add(_T_36996, _T_36991) @[exu_mul_ctl.scala 137:112] + node _T_36998 = add(_T_36997, _T_36992) @[exu_mul_ctl.scala 137:112] + node _T_36999 = add(_T_36998, _T_36993) @[exu_mul_ctl.scala 137:112] + node _T_37000 = add(_T_36999, _T_36994) @[exu_mul_ctl.scala 137:112] + node _T_37001 = add(_T_37000, _T_36995) @[exu_mul_ctl.scala 137:112] + node _T_37002 = sub(_T_37001, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37003 = tail(_T_37002, 1) @[exu_mul_ctl.scala 141:85] + node _T_37004 = dshr(io.rs1_in, _T_37003) @[exu_mul_ctl.scala 141:74] + node _T_37005 = bits(_T_37004, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37006 = mux(_T_36988, _T_37005, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37007 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 141:51] + node _T_37008 = eq(_T_37007, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37009 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37010 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37011 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37012 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37013 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37014 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37015 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37016 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37017 = add(_T_37009, _T_37010) @[exu_mul_ctl.scala 137:112] + node _T_37018 = add(_T_37017, _T_37011) @[exu_mul_ctl.scala 137:112] + node _T_37019 = add(_T_37018, _T_37012) @[exu_mul_ctl.scala 137:112] + node _T_37020 = add(_T_37019, _T_37013) @[exu_mul_ctl.scala 137:112] + node _T_37021 = add(_T_37020, _T_37014) @[exu_mul_ctl.scala 137:112] + node _T_37022 = add(_T_37021, _T_37015) @[exu_mul_ctl.scala 137:112] + node _T_37023 = add(_T_37022, _T_37016) @[exu_mul_ctl.scala 137:112] + node _T_37024 = sub(_T_37023, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37025 = tail(_T_37024, 1) @[exu_mul_ctl.scala 141:85] + node _T_37026 = dshr(io.rs1_in, _T_37025) @[exu_mul_ctl.scala 141:74] + node _T_37027 = bits(_T_37026, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37028 = mux(_T_37008, _T_37027, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 141:51] + node _T_37030 = eq(_T_37029, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37031 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37032 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37033 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37034 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37035 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37036 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37037 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37038 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37039 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37040 = add(_T_37031, _T_37032) @[exu_mul_ctl.scala 137:112] + node _T_37041 = add(_T_37040, _T_37033) @[exu_mul_ctl.scala 137:112] + node _T_37042 = add(_T_37041, _T_37034) @[exu_mul_ctl.scala 137:112] + node _T_37043 = add(_T_37042, _T_37035) @[exu_mul_ctl.scala 137:112] + node _T_37044 = add(_T_37043, _T_37036) @[exu_mul_ctl.scala 137:112] + node _T_37045 = add(_T_37044, _T_37037) @[exu_mul_ctl.scala 137:112] + node _T_37046 = add(_T_37045, _T_37038) @[exu_mul_ctl.scala 137:112] + node _T_37047 = add(_T_37046, _T_37039) @[exu_mul_ctl.scala 137:112] + node _T_37048 = sub(_T_37047, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37049 = tail(_T_37048, 1) @[exu_mul_ctl.scala 141:85] + node _T_37050 = dshr(io.rs1_in, _T_37049) @[exu_mul_ctl.scala 141:74] + node _T_37051 = bits(_T_37050, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37052 = mux(_T_37030, _T_37051, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37053 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 141:51] + node _T_37054 = eq(_T_37053, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37055 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37056 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37057 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37058 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37059 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37060 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37061 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37062 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37063 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37064 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37065 = add(_T_37055, _T_37056) @[exu_mul_ctl.scala 137:112] + node _T_37066 = add(_T_37065, _T_37057) @[exu_mul_ctl.scala 137:112] + node _T_37067 = add(_T_37066, _T_37058) @[exu_mul_ctl.scala 137:112] + node _T_37068 = add(_T_37067, _T_37059) @[exu_mul_ctl.scala 137:112] + node _T_37069 = add(_T_37068, _T_37060) @[exu_mul_ctl.scala 137:112] + node _T_37070 = add(_T_37069, _T_37061) @[exu_mul_ctl.scala 137:112] + node _T_37071 = add(_T_37070, _T_37062) @[exu_mul_ctl.scala 137:112] + node _T_37072 = add(_T_37071, _T_37063) @[exu_mul_ctl.scala 137:112] + node _T_37073 = add(_T_37072, _T_37064) @[exu_mul_ctl.scala 137:112] + node _T_37074 = sub(_T_37073, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37075 = tail(_T_37074, 1) @[exu_mul_ctl.scala 141:85] + node _T_37076 = dshr(io.rs1_in, _T_37075) @[exu_mul_ctl.scala 141:74] + node _T_37077 = bits(_T_37076, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37078 = mux(_T_37054, _T_37077, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37079 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 141:51] + node _T_37080 = eq(_T_37079, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37081 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37082 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37083 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37084 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37085 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37086 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37087 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37088 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37089 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37090 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37091 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37092 = add(_T_37081, _T_37082) @[exu_mul_ctl.scala 137:112] + node _T_37093 = add(_T_37092, _T_37083) @[exu_mul_ctl.scala 137:112] + node _T_37094 = add(_T_37093, _T_37084) @[exu_mul_ctl.scala 137:112] + node _T_37095 = add(_T_37094, _T_37085) @[exu_mul_ctl.scala 137:112] + node _T_37096 = add(_T_37095, _T_37086) @[exu_mul_ctl.scala 137:112] + node _T_37097 = add(_T_37096, _T_37087) @[exu_mul_ctl.scala 137:112] + node _T_37098 = add(_T_37097, _T_37088) @[exu_mul_ctl.scala 137:112] + node _T_37099 = add(_T_37098, _T_37089) @[exu_mul_ctl.scala 137:112] + node _T_37100 = add(_T_37099, _T_37090) @[exu_mul_ctl.scala 137:112] + node _T_37101 = add(_T_37100, _T_37091) @[exu_mul_ctl.scala 137:112] + node _T_37102 = sub(_T_37101, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37103 = tail(_T_37102, 1) @[exu_mul_ctl.scala 141:85] + node _T_37104 = dshr(io.rs1_in, _T_37103) @[exu_mul_ctl.scala 141:74] + node _T_37105 = bits(_T_37104, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37106 = mux(_T_37080, _T_37105, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37107 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 141:51] + node _T_37108 = eq(_T_37107, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37109 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37110 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37111 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37112 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37113 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37114 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37115 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37116 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37117 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37118 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37119 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37120 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37121 = add(_T_37109, _T_37110) @[exu_mul_ctl.scala 137:112] + node _T_37122 = add(_T_37121, _T_37111) @[exu_mul_ctl.scala 137:112] + node _T_37123 = add(_T_37122, _T_37112) @[exu_mul_ctl.scala 137:112] + node _T_37124 = add(_T_37123, _T_37113) @[exu_mul_ctl.scala 137:112] + node _T_37125 = add(_T_37124, _T_37114) @[exu_mul_ctl.scala 137:112] + node _T_37126 = add(_T_37125, _T_37115) @[exu_mul_ctl.scala 137:112] + node _T_37127 = add(_T_37126, _T_37116) @[exu_mul_ctl.scala 137:112] + node _T_37128 = add(_T_37127, _T_37117) @[exu_mul_ctl.scala 137:112] + node _T_37129 = add(_T_37128, _T_37118) @[exu_mul_ctl.scala 137:112] + node _T_37130 = add(_T_37129, _T_37119) @[exu_mul_ctl.scala 137:112] + node _T_37131 = add(_T_37130, _T_37120) @[exu_mul_ctl.scala 137:112] + node _T_37132 = sub(_T_37131, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37133 = tail(_T_37132, 1) @[exu_mul_ctl.scala 141:85] + node _T_37134 = dshr(io.rs1_in, _T_37133) @[exu_mul_ctl.scala 141:74] + node _T_37135 = bits(_T_37134, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37136 = mux(_T_37108, _T_37135, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37137 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 141:51] + node _T_37138 = eq(_T_37137, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37139 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37140 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37141 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37142 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37143 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37144 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37145 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37146 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37147 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37148 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37149 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37150 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37151 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37152 = add(_T_37139, _T_37140) @[exu_mul_ctl.scala 137:112] + node _T_37153 = add(_T_37152, _T_37141) @[exu_mul_ctl.scala 137:112] + node _T_37154 = add(_T_37153, _T_37142) @[exu_mul_ctl.scala 137:112] + node _T_37155 = add(_T_37154, _T_37143) @[exu_mul_ctl.scala 137:112] + node _T_37156 = add(_T_37155, _T_37144) @[exu_mul_ctl.scala 137:112] + node _T_37157 = add(_T_37156, _T_37145) @[exu_mul_ctl.scala 137:112] + node _T_37158 = add(_T_37157, _T_37146) @[exu_mul_ctl.scala 137:112] + node _T_37159 = add(_T_37158, _T_37147) @[exu_mul_ctl.scala 137:112] + node _T_37160 = add(_T_37159, _T_37148) @[exu_mul_ctl.scala 137:112] + node _T_37161 = add(_T_37160, _T_37149) @[exu_mul_ctl.scala 137:112] + node _T_37162 = add(_T_37161, _T_37150) @[exu_mul_ctl.scala 137:112] + node _T_37163 = add(_T_37162, _T_37151) @[exu_mul_ctl.scala 137:112] + node _T_37164 = sub(_T_37163, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37165 = tail(_T_37164, 1) @[exu_mul_ctl.scala 141:85] + node _T_37166 = dshr(io.rs1_in, _T_37165) @[exu_mul_ctl.scala 141:74] + node _T_37167 = bits(_T_37166, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37168 = mux(_T_37138, _T_37167, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37169 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 141:51] + node _T_37170 = eq(_T_37169, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37171 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37172 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37173 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37174 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37175 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37176 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37177 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37178 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37179 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37180 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37181 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37182 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37183 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37184 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37185 = add(_T_37171, _T_37172) @[exu_mul_ctl.scala 137:112] + node _T_37186 = add(_T_37185, _T_37173) @[exu_mul_ctl.scala 137:112] + node _T_37187 = add(_T_37186, _T_37174) @[exu_mul_ctl.scala 137:112] + node _T_37188 = add(_T_37187, _T_37175) @[exu_mul_ctl.scala 137:112] + node _T_37189 = add(_T_37188, _T_37176) @[exu_mul_ctl.scala 137:112] + node _T_37190 = add(_T_37189, _T_37177) @[exu_mul_ctl.scala 137:112] + node _T_37191 = add(_T_37190, _T_37178) @[exu_mul_ctl.scala 137:112] + node _T_37192 = add(_T_37191, _T_37179) @[exu_mul_ctl.scala 137:112] + node _T_37193 = add(_T_37192, _T_37180) @[exu_mul_ctl.scala 137:112] + node _T_37194 = add(_T_37193, _T_37181) @[exu_mul_ctl.scala 137:112] + node _T_37195 = add(_T_37194, _T_37182) @[exu_mul_ctl.scala 137:112] + node _T_37196 = add(_T_37195, _T_37183) @[exu_mul_ctl.scala 137:112] + node _T_37197 = add(_T_37196, _T_37184) @[exu_mul_ctl.scala 137:112] + node _T_37198 = sub(_T_37197, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37199 = tail(_T_37198, 1) @[exu_mul_ctl.scala 141:85] + node _T_37200 = dshr(io.rs1_in, _T_37199) @[exu_mul_ctl.scala 141:74] + node _T_37201 = bits(_T_37200, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37202 = mux(_T_37170, _T_37201, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37203 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 141:51] + node _T_37204 = eq(_T_37203, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37205 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37206 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37207 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37208 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37209 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37210 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37211 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37212 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37213 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37214 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37215 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37216 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37217 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37218 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37219 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37220 = add(_T_37205, _T_37206) @[exu_mul_ctl.scala 137:112] + node _T_37221 = add(_T_37220, _T_37207) @[exu_mul_ctl.scala 137:112] + node _T_37222 = add(_T_37221, _T_37208) @[exu_mul_ctl.scala 137:112] + node _T_37223 = add(_T_37222, _T_37209) @[exu_mul_ctl.scala 137:112] + node _T_37224 = add(_T_37223, _T_37210) @[exu_mul_ctl.scala 137:112] + node _T_37225 = add(_T_37224, _T_37211) @[exu_mul_ctl.scala 137:112] + node _T_37226 = add(_T_37225, _T_37212) @[exu_mul_ctl.scala 137:112] + node _T_37227 = add(_T_37226, _T_37213) @[exu_mul_ctl.scala 137:112] + node _T_37228 = add(_T_37227, _T_37214) @[exu_mul_ctl.scala 137:112] + node _T_37229 = add(_T_37228, _T_37215) @[exu_mul_ctl.scala 137:112] + node _T_37230 = add(_T_37229, _T_37216) @[exu_mul_ctl.scala 137:112] + node _T_37231 = add(_T_37230, _T_37217) @[exu_mul_ctl.scala 137:112] + node _T_37232 = add(_T_37231, _T_37218) @[exu_mul_ctl.scala 137:112] + node _T_37233 = add(_T_37232, _T_37219) @[exu_mul_ctl.scala 137:112] + node _T_37234 = sub(_T_37233, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37235 = tail(_T_37234, 1) @[exu_mul_ctl.scala 141:85] + node _T_37236 = dshr(io.rs1_in, _T_37235) @[exu_mul_ctl.scala 141:74] + node _T_37237 = bits(_T_37236, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37238 = mux(_T_37204, _T_37237, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37239 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 141:51] + node _T_37240 = eq(_T_37239, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37241 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37242 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37243 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37244 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37245 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37246 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37247 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37248 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37249 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37250 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37251 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37252 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37253 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37254 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37255 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37256 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37257 = add(_T_37241, _T_37242) @[exu_mul_ctl.scala 137:112] + node _T_37258 = add(_T_37257, _T_37243) @[exu_mul_ctl.scala 137:112] + node _T_37259 = add(_T_37258, _T_37244) @[exu_mul_ctl.scala 137:112] + node _T_37260 = add(_T_37259, _T_37245) @[exu_mul_ctl.scala 137:112] + node _T_37261 = add(_T_37260, _T_37246) @[exu_mul_ctl.scala 137:112] + node _T_37262 = add(_T_37261, _T_37247) @[exu_mul_ctl.scala 137:112] + node _T_37263 = add(_T_37262, _T_37248) @[exu_mul_ctl.scala 137:112] + node _T_37264 = add(_T_37263, _T_37249) @[exu_mul_ctl.scala 137:112] + node _T_37265 = add(_T_37264, _T_37250) @[exu_mul_ctl.scala 137:112] + node _T_37266 = add(_T_37265, _T_37251) @[exu_mul_ctl.scala 137:112] + node _T_37267 = add(_T_37266, _T_37252) @[exu_mul_ctl.scala 137:112] + node _T_37268 = add(_T_37267, _T_37253) @[exu_mul_ctl.scala 137:112] + node _T_37269 = add(_T_37268, _T_37254) @[exu_mul_ctl.scala 137:112] + node _T_37270 = add(_T_37269, _T_37255) @[exu_mul_ctl.scala 137:112] + node _T_37271 = add(_T_37270, _T_37256) @[exu_mul_ctl.scala 137:112] + node _T_37272 = sub(_T_37271, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37273 = tail(_T_37272, 1) @[exu_mul_ctl.scala 141:85] + node _T_37274 = dshr(io.rs1_in, _T_37273) @[exu_mul_ctl.scala 141:74] + node _T_37275 = bits(_T_37274, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37276 = mux(_T_37240, _T_37275, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37277 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 141:51] + node _T_37278 = eq(_T_37277, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37279 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37280 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37281 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37282 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37283 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37284 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37285 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37286 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37287 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37288 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37289 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37290 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37291 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37292 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37293 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37294 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37295 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37296 = add(_T_37279, _T_37280) @[exu_mul_ctl.scala 137:112] + node _T_37297 = add(_T_37296, _T_37281) @[exu_mul_ctl.scala 137:112] + node _T_37298 = add(_T_37297, _T_37282) @[exu_mul_ctl.scala 137:112] + node _T_37299 = add(_T_37298, _T_37283) @[exu_mul_ctl.scala 137:112] + node _T_37300 = add(_T_37299, _T_37284) @[exu_mul_ctl.scala 137:112] + node _T_37301 = add(_T_37300, _T_37285) @[exu_mul_ctl.scala 137:112] + node _T_37302 = add(_T_37301, _T_37286) @[exu_mul_ctl.scala 137:112] + node _T_37303 = add(_T_37302, _T_37287) @[exu_mul_ctl.scala 137:112] + node _T_37304 = add(_T_37303, _T_37288) @[exu_mul_ctl.scala 137:112] + node _T_37305 = add(_T_37304, _T_37289) @[exu_mul_ctl.scala 137:112] + node _T_37306 = add(_T_37305, _T_37290) @[exu_mul_ctl.scala 137:112] + node _T_37307 = add(_T_37306, _T_37291) @[exu_mul_ctl.scala 137:112] + node _T_37308 = add(_T_37307, _T_37292) @[exu_mul_ctl.scala 137:112] + node _T_37309 = add(_T_37308, _T_37293) @[exu_mul_ctl.scala 137:112] + node _T_37310 = add(_T_37309, _T_37294) @[exu_mul_ctl.scala 137:112] + node _T_37311 = add(_T_37310, _T_37295) @[exu_mul_ctl.scala 137:112] + node _T_37312 = sub(_T_37311, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37313 = tail(_T_37312, 1) @[exu_mul_ctl.scala 141:85] + node _T_37314 = dshr(io.rs1_in, _T_37313) @[exu_mul_ctl.scala 141:74] + node _T_37315 = bits(_T_37314, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37316 = mux(_T_37278, _T_37315, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37317 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 141:51] + node _T_37318 = eq(_T_37317, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37319 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37320 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37321 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37322 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37323 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37324 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37325 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37326 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37327 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37328 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37329 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37330 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37331 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37332 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37333 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37334 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37335 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37336 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37337 = add(_T_37319, _T_37320) @[exu_mul_ctl.scala 137:112] + node _T_37338 = add(_T_37337, _T_37321) @[exu_mul_ctl.scala 137:112] + node _T_37339 = add(_T_37338, _T_37322) @[exu_mul_ctl.scala 137:112] + node _T_37340 = add(_T_37339, _T_37323) @[exu_mul_ctl.scala 137:112] + node _T_37341 = add(_T_37340, _T_37324) @[exu_mul_ctl.scala 137:112] + node _T_37342 = add(_T_37341, _T_37325) @[exu_mul_ctl.scala 137:112] + node _T_37343 = add(_T_37342, _T_37326) @[exu_mul_ctl.scala 137:112] + node _T_37344 = add(_T_37343, _T_37327) @[exu_mul_ctl.scala 137:112] + node _T_37345 = add(_T_37344, _T_37328) @[exu_mul_ctl.scala 137:112] + node _T_37346 = add(_T_37345, _T_37329) @[exu_mul_ctl.scala 137:112] + node _T_37347 = add(_T_37346, _T_37330) @[exu_mul_ctl.scala 137:112] + node _T_37348 = add(_T_37347, _T_37331) @[exu_mul_ctl.scala 137:112] + node _T_37349 = add(_T_37348, _T_37332) @[exu_mul_ctl.scala 137:112] + node _T_37350 = add(_T_37349, _T_37333) @[exu_mul_ctl.scala 137:112] + node _T_37351 = add(_T_37350, _T_37334) @[exu_mul_ctl.scala 137:112] + node _T_37352 = add(_T_37351, _T_37335) @[exu_mul_ctl.scala 137:112] + node _T_37353 = add(_T_37352, _T_37336) @[exu_mul_ctl.scala 137:112] + node _T_37354 = sub(_T_37353, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37355 = tail(_T_37354, 1) @[exu_mul_ctl.scala 141:85] + node _T_37356 = dshr(io.rs1_in, _T_37355) @[exu_mul_ctl.scala 141:74] + node _T_37357 = bits(_T_37356, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37358 = mux(_T_37318, _T_37357, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37359 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 141:51] + node _T_37360 = eq(_T_37359, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37361 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37362 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37363 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37364 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37365 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37366 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37367 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37368 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37369 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37370 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37371 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37372 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37373 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37374 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37375 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37376 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37377 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37378 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37379 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37380 = add(_T_37361, _T_37362) @[exu_mul_ctl.scala 137:112] + node _T_37381 = add(_T_37380, _T_37363) @[exu_mul_ctl.scala 137:112] + node _T_37382 = add(_T_37381, _T_37364) @[exu_mul_ctl.scala 137:112] + node _T_37383 = add(_T_37382, _T_37365) @[exu_mul_ctl.scala 137:112] + node _T_37384 = add(_T_37383, _T_37366) @[exu_mul_ctl.scala 137:112] + node _T_37385 = add(_T_37384, _T_37367) @[exu_mul_ctl.scala 137:112] + node _T_37386 = add(_T_37385, _T_37368) @[exu_mul_ctl.scala 137:112] + node _T_37387 = add(_T_37386, _T_37369) @[exu_mul_ctl.scala 137:112] + node _T_37388 = add(_T_37387, _T_37370) @[exu_mul_ctl.scala 137:112] + node _T_37389 = add(_T_37388, _T_37371) @[exu_mul_ctl.scala 137:112] + node _T_37390 = add(_T_37389, _T_37372) @[exu_mul_ctl.scala 137:112] + node _T_37391 = add(_T_37390, _T_37373) @[exu_mul_ctl.scala 137:112] + node _T_37392 = add(_T_37391, _T_37374) @[exu_mul_ctl.scala 137:112] + node _T_37393 = add(_T_37392, _T_37375) @[exu_mul_ctl.scala 137:112] + node _T_37394 = add(_T_37393, _T_37376) @[exu_mul_ctl.scala 137:112] + node _T_37395 = add(_T_37394, _T_37377) @[exu_mul_ctl.scala 137:112] + node _T_37396 = add(_T_37395, _T_37378) @[exu_mul_ctl.scala 137:112] + node _T_37397 = add(_T_37396, _T_37379) @[exu_mul_ctl.scala 137:112] + node _T_37398 = sub(_T_37397, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37399 = tail(_T_37398, 1) @[exu_mul_ctl.scala 141:85] + node _T_37400 = dshr(io.rs1_in, _T_37399) @[exu_mul_ctl.scala 141:74] + node _T_37401 = bits(_T_37400, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37402 = mux(_T_37360, _T_37401, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37403 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 141:51] + node _T_37404 = eq(_T_37403, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37405 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37406 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37407 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37408 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37409 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37410 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37411 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37412 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37413 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37414 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37415 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37416 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37417 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37418 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37419 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37420 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37421 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37422 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37423 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37424 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37425 = add(_T_37405, _T_37406) @[exu_mul_ctl.scala 137:112] + node _T_37426 = add(_T_37425, _T_37407) @[exu_mul_ctl.scala 137:112] + node _T_37427 = add(_T_37426, _T_37408) @[exu_mul_ctl.scala 137:112] + node _T_37428 = add(_T_37427, _T_37409) @[exu_mul_ctl.scala 137:112] + node _T_37429 = add(_T_37428, _T_37410) @[exu_mul_ctl.scala 137:112] + node _T_37430 = add(_T_37429, _T_37411) @[exu_mul_ctl.scala 137:112] + node _T_37431 = add(_T_37430, _T_37412) @[exu_mul_ctl.scala 137:112] + node _T_37432 = add(_T_37431, _T_37413) @[exu_mul_ctl.scala 137:112] + node _T_37433 = add(_T_37432, _T_37414) @[exu_mul_ctl.scala 137:112] + node _T_37434 = add(_T_37433, _T_37415) @[exu_mul_ctl.scala 137:112] + node _T_37435 = add(_T_37434, _T_37416) @[exu_mul_ctl.scala 137:112] + node _T_37436 = add(_T_37435, _T_37417) @[exu_mul_ctl.scala 137:112] + node _T_37437 = add(_T_37436, _T_37418) @[exu_mul_ctl.scala 137:112] + node _T_37438 = add(_T_37437, _T_37419) @[exu_mul_ctl.scala 137:112] + node _T_37439 = add(_T_37438, _T_37420) @[exu_mul_ctl.scala 137:112] + node _T_37440 = add(_T_37439, _T_37421) @[exu_mul_ctl.scala 137:112] + node _T_37441 = add(_T_37440, _T_37422) @[exu_mul_ctl.scala 137:112] + node _T_37442 = add(_T_37441, _T_37423) @[exu_mul_ctl.scala 137:112] + node _T_37443 = add(_T_37442, _T_37424) @[exu_mul_ctl.scala 137:112] + node _T_37444 = sub(_T_37443, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37445 = tail(_T_37444, 1) @[exu_mul_ctl.scala 141:85] + node _T_37446 = dshr(io.rs1_in, _T_37445) @[exu_mul_ctl.scala 141:74] + node _T_37447 = bits(_T_37446, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37448 = mux(_T_37404, _T_37447, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37449 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 141:51] + node _T_37450 = eq(_T_37449, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37451 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37452 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37453 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37454 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37455 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37456 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37457 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37458 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37459 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37460 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37461 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37462 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37463 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37464 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37465 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37466 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37467 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37468 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37469 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37470 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37471 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37472 = add(_T_37451, _T_37452) @[exu_mul_ctl.scala 137:112] + node _T_37473 = add(_T_37472, _T_37453) @[exu_mul_ctl.scala 137:112] + node _T_37474 = add(_T_37473, _T_37454) @[exu_mul_ctl.scala 137:112] + node _T_37475 = add(_T_37474, _T_37455) @[exu_mul_ctl.scala 137:112] + node _T_37476 = add(_T_37475, _T_37456) @[exu_mul_ctl.scala 137:112] + node _T_37477 = add(_T_37476, _T_37457) @[exu_mul_ctl.scala 137:112] + node _T_37478 = add(_T_37477, _T_37458) @[exu_mul_ctl.scala 137:112] + node _T_37479 = add(_T_37478, _T_37459) @[exu_mul_ctl.scala 137:112] + node _T_37480 = add(_T_37479, _T_37460) @[exu_mul_ctl.scala 137:112] + node _T_37481 = add(_T_37480, _T_37461) @[exu_mul_ctl.scala 137:112] + node _T_37482 = add(_T_37481, _T_37462) @[exu_mul_ctl.scala 137:112] + node _T_37483 = add(_T_37482, _T_37463) @[exu_mul_ctl.scala 137:112] + node _T_37484 = add(_T_37483, _T_37464) @[exu_mul_ctl.scala 137:112] + node _T_37485 = add(_T_37484, _T_37465) @[exu_mul_ctl.scala 137:112] + node _T_37486 = add(_T_37485, _T_37466) @[exu_mul_ctl.scala 137:112] + node _T_37487 = add(_T_37486, _T_37467) @[exu_mul_ctl.scala 137:112] + node _T_37488 = add(_T_37487, _T_37468) @[exu_mul_ctl.scala 137:112] + node _T_37489 = add(_T_37488, _T_37469) @[exu_mul_ctl.scala 137:112] + node _T_37490 = add(_T_37489, _T_37470) @[exu_mul_ctl.scala 137:112] + node _T_37491 = add(_T_37490, _T_37471) @[exu_mul_ctl.scala 137:112] + node _T_37492 = sub(_T_37491, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37493 = tail(_T_37492, 1) @[exu_mul_ctl.scala 141:85] + node _T_37494 = dshr(io.rs1_in, _T_37493) @[exu_mul_ctl.scala 141:74] + node _T_37495 = bits(_T_37494, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37496 = mux(_T_37450, _T_37495, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37497 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 141:51] + node _T_37498 = eq(_T_37497, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37499 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37500 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37501 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37502 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37503 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37504 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37505 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37506 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37507 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37508 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37509 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37510 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37511 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37512 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37513 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37514 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37515 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37516 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37517 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37518 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37519 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37520 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37521 = add(_T_37499, _T_37500) @[exu_mul_ctl.scala 137:112] + node _T_37522 = add(_T_37521, _T_37501) @[exu_mul_ctl.scala 137:112] + node _T_37523 = add(_T_37522, _T_37502) @[exu_mul_ctl.scala 137:112] + node _T_37524 = add(_T_37523, _T_37503) @[exu_mul_ctl.scala 137:112] + node _T_37525 = add(_T_37524, _T_37504) @[exu_mul_ctl.scala 137:112] + node _T_37526 = add(_T_37525, _T_37505) @[exu_mul_ctl.scala 137:112] + node _T_37527 = add(_T_37526, _T_37506) @[exu_mul_ctl.scala 137:112] + node _T_37528 = add(_T_37527, _T_37507) @[exu_mul_ctl.scala 137:112] + node _T_37529 = add(_T_37528, _T_37508) @[exu_mul_ctl.scala 137:112] + node _T_37530 = add(_T_37529, _T_37509) @[exu_mul_ctl.scala 137:112] + node _T_37531 = add(_T_37530, _T_37510) @[exu_mul_ctl.scala 137:112] + node _T_37532 = add(_T_37531, _T_37511) @[exu_mul_ctl.scala 137:112] + node _T_37533 = add(_T_37532, _T_37512) @[exu_mul_ctl.scala 137:112] + node _T_37534 = add(_T_37533, _T_37513) @[exu_mul_ctl.scala 137:112] + node _T_37535 = add(_T_37534, _T_37514) @[exu_mul_ctl.scala 137:112] + node _T_37536 = add(_T_37535, _T_37515) @[exu_mul_ctl.scala 137:112] + node _T_37537 = add(_T_37536, _T_37516) @[exu_mul_ctl.scala 137:112] + node _T_37538 = add(_T_37537, _T_37517) @[exu_mul_ctl.scala 137:112] + node _T_37539 = add(_T_37538, _T_37518) @[exu_mul_ctl.scala 137:112] + node _T_37540 = add(_T_37539, _T_37519) @[exu_mul_ctl.scala 137:112] + node _T_37541 = add(_T_37540, _T_37520) @[exu_mul_ctl.scala 137:112] + node _T_37542 = sub(_T_37541, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37543 = tail(_T_37542, 1) @[exu_mul_ctl.scala 141:85] + node _T_37544 = dshr(io.rs1_in, _T_37543) @[exu_mul_ctl.scala 141:74] + node _T_37545 = bits(_T_37544, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37546 = mux(_T_37498, _T_37545, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37547 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 141:51] + node _T_37548 = eq(_T_37547, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37549 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37550 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37551 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37552 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37553 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37554 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37555 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37556 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37557 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37558 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37559 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37560 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37561 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37562 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37563 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37564 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37565 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37566 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37567 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37568 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37569 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37570 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37571 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37572 = add(_T_37549, _T_37550) @[exu_mul_ctl.scala 137:112] + node _T_37573 = add(_T_37572, _T_37551) @[exu_mul_ctl.scala 137:112] + node _T_37574 = add(_T_37573, _T_37552) @[exu_mul_ctl.scala 137:112] + node _T_37575 = add(_T_37574, _T_37553) @[exu_mul_ctl.scala 137:112] + node _T_37576 = add(_T_37575, _T_37554) @[exu_mul_ctl.scala 137:112] + node _T_37577 = add(_T_37576, _T_37555) @[exu_mul_ctl.scala 137:112] + node _T_37578 = add(_T_37577, _T_37556) @[exu_mul_ctl.scala 137:112] + node _T_37579 = add(_T_37578, _T_37557) @[exu_mul_ctl.scala 137:112] + node _T_37580 = add(_T_37579, _T_37558) @[exu_mul_ctl.scala 137:112] + node _T_37581 = add(_T_37580, _T_37559) @[exu_mul_ctl.scala 137:112] + node _T_37582 = add(_T_37581, _T_37560) @[exu_mul_ctl.scala 137:112] + node _T_37583 = add(_T_37582, _T_37561) @[exu_mul_ctl.scala 137:112] + node _T_37584 = add(_T_37583, _T_37562) @[exu_mul_ctl.scala 137:112] + node _T_37585 = add(_T_37584, _T_37563) @[exu_mul_ctl.scala 137:112] + node _T_37586 = add(_T_37585, _T_37564) @[exu_mul_ctl.scala 137:112] + node _T_37587 = add(_T_37586, _T_37565) @[exu_mul_ctl.scala 137:112] + node _T_37588 = add(_T_37587, _T_37566) @[exu_mul_ctl.scala 137:112] + node _T_37589 = add(_T_37588, _T_37567) @[exu_mul_ctl.scala 137:112] + node _T_37590 = add(_T_37589, _T_37568) @[exu_mul_ctl.scala 137:112] + node _T_37591 = add(_T_37590, _T_37569) @[exu_mul_ctl.scala 137:112] + node _T_37592 = add(_T_37591, _T_37570) @[exu_mul_ctl.scala 137:112] + node _T_37593 = add(_T_37592, _T_37571) @[exu_mul_ctl.scala 137:112] + node _T_37594 = sub(_T_37593, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37595 = tail(_T_37594, 1) @[exu_mul_ctl.scala 141:85] + node _T_37596 = dshr(io.rs1_in, _T_37595) @[exu_mul_ctl.scala 141:74] + node _T_37597 = bits(_T_37596, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37598 = mux(_T_37548, _T_37597, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37599 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 141:51] + node _T_37600 = eq(_T_37599, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37601 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37602 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37603 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37604 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37605 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37606 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37607 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37608 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37609 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37610 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37611 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37612 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37613 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37614 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37615 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37616 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37617 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37618 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37619 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37620 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37621 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37622 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37623 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37624 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37625 = add(_T_37601, _T_37602) @[exu_mul_ctl.scala 137:112] + node _T_37626 = add(_T_37625, _T_37603) @[exu_mul_ctl.scala 137:112] + node _T_37627 = add(_T_37626, _T_37604) @[exu_mul_ctl.scala 137:112] + node _T_37628 = add(_T_37627, _T_37605) @[exu_mul_ctl.scala 137:112] + node _T_37629 = add(_T_37628, _T_37606) @[exu_mul_ctl.scala 137:112] + node _T_37630 = add(_T_37629, _T_37607) @[exu_mul_ctl.scala 137:112] + node _T_37631 = add(_T_37630, _T_37608) @[exu_mul_ctl.scala 137:112] + node _T_37632 = add(_T_37631, _T_37609) @[exu_mul_ctl.scala 137:112] + node _T_37633 = add(_T_37632, _T_37610) @[exu_mul_ctl.scala 137:112] + node _T_37634 = add(_T_37633, _T_37611) @[exu_mul_ctl.scala 137:112] + node _T_37635 = add(_T_37634, _T_37612) @[exu_mul_ctl.scala 137:112] + node _T_37636 = add(_T_37635, _T_37613) @[exu_mul_ctl.scala 137:112] + node _T_37637 = add(_T_37636, _T_37614) @[exu_mul_ctl.scala 137:112] + node _T_37638 = add(_T_37637, _T_37615) @[exu_mul_ctl.scala 137:112] + node _T_37639 = add(_T_37638, _T_37616) @[exu_mul_ctl.scala 137:112] + node _T_37640 = add(_T_37639, _T_37617) @[exu_mul_ctl.scala 137:112] + node _T_37641 = add(_T_37640, _T_37618) @[exu_mul_ctl.scala 137:112] + node _T_37642 = add(_T_37641, _T_37619) @[exu_mul_ctl.scala 137:112] + node _T_37643 = add(_T_37642, _T_37620) @[exu_mul_ctl.scala 137:112] + node _T_37644 = add(_T_37643, _T_37621) @[exu_mul_ctl.scala 137:112] + node _T_37645 = add(_T_37644, _T_37622) @[exu_mul_ctl.scala 137:112] + node _T_37646 = add(_T_37645, _T_37623) @[exu_mul_ctl.scala 137:112] + node _T_37647 = add(_T_37646, _T_37624) @[exu_mul_ctl.scala 137:112] + node _T_37648 = sub(_T_37647, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37649 = tail(_T_37648, 1) @[exu_mul_ctl.scala 141:85] + node _T_37650 = dshr(io.rs1_in, _T_37649) @[exu_mul_ctl.scala 141:74] + node _T_37651 = bits(_T_37650, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37652 = mux(_T_37600, _T_37651, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37653 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 141:51] + node _T_37654 = eq(_T_37653, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37655 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37656 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37657 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37658 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37659 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37660 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37661 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37662 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37663 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37664 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37665 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37666 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37667 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37668 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37669 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37670 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37671 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37672 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37673 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37674 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37675 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37676 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37677 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37678 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37679 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37680 = add(_T_37655, _T_37656) @[exu_mul_ctl.scala 137:112] + node _T_37681 = add(_T_37680, _T_37657) @[exu_mul_ctl.scala 137:112] + node _T_37682 = add(_T_37681, _T_37658) @[exu_mul_ctl.scala 137:112] + node _T_37683 = add(_T_37682, _T_37659) @[exu_mul_ctl.scala 137:112] + node _T_37684 = add(_T_37683, _T_37660) @[exu_mul_ctl.scala 137:112] + node _T_37685 = add(_T_37684, _T_37661) @[exu_mul_ctl.scala 137:112] + node _T_37686 = add(_T_37685, _T_37662) @[exu_mul_ctl.scala 137:112] + node _T_37687 = add(_T_37686, _T_37663) @[exu_mul_ctl.scala 137:112] + node _T_37688 = add(_T_37687, _T_37664) @[exu_mul_ctl.scala 137:112] + node _T_37689 = add(_T_37688, _T_37665) @[exu_mul_ctl.scala 137:112] + node _T_37690 = add(_T_37689, _T_37666) @[exu_mul_ctl.scala 137:112] + node _T_37691 = add(_T_37690, _T_37667) @[exu_mul_ctl.scala 137:112] + node _T_37692 = add(_T_37691, _T_37668) @[exu_mul_ctl.scala 137:112] + node _T_37693 = add(_T_37692, _T_37669) @[exu_mul_ctl.scala 137:112] + node _T_37694 = add(_T_37693, _T_37670) @[exu_mul_ctl.scala 137:112] + node _T_37695 = add(_T_37694, _T_37671) @[exu_mul_ctl.scala 137:112] + node _T_37696 = add(_T_37695, _T_37672) @[exu_mul_ctl.scala 137:112] + node _T_37697 = add(_T_37696, _T_37673) @[exu_mul_ctl.scala 137:112] + node _T_37698 = add(_T_37697, _T_37674) @[exu_mul_ctl.scala 137:112] + node _T_37699 = add(_T_37698, _T_37675) @[exu_mul_ctl.scala 137:112] + node _T_37700 = add(_T_37699, _T_37676) @[exu_mul_ctl.scala 137:112] + node _T_37701 = add(_T_37700, _T_37677) @[exu_mul_ctl.scala 137:112] + node _T_37702 = add(_T_37701, _T_37678) @[exu_mul_ctl.scala 137:112] + node _T_37703 = add(_T_37702, _T_37679) @[exu_mul_ctl.scala 137:112] + node _T_37704 = sub(_T_37703, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37705 = tail(_T_37704, 1) @[exu_mul_ctl.scala 141:85] + node _T_37706 = dshr(io.rs1_in, _T_37705) @[exu_mul_ctl.scala 141:74] + node _T_37707 = bits(_T_37706, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37708 = mux(_T_37654, _T_37707, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37709 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 141:51] + node _T_37710 = eq(_T_37709, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37711 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37712 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37713 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37714 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37715 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37716 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37717 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37718 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37719 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37720 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37721 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37722 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37723 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37724 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37725 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37726 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37727 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37728 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37729 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37730 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37731 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37732 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37733 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37734 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37735 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37736 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_37737 = add(_T_37711, _T_37712) @[exu_mul_ctl.scala 137:112] + node _T_37738 = add(_T_37737, _T_37713) @[exu_mul_ctl.scala 137:112] + node _T_37739 = add(_T_37738, _T_37714) @[exu_mul_ctl.scala 137:112] + node _T_37740 = add(_T_37739, _T_37715) @[exu_mul_ctl.scala 137:112] + node _T_37741 = add(_T_37740, _T_37716) @[exu_mul_ctl.scala 137:112] + node _T_37742 = add(_T_37741, _T_37717) @[exu_mul_ctl.scala 137:112] + node _T_37743 = add(_T_37742, _T_37718) @[exu_mul_ctl.scala 137:112] + node _T_37744 = add(_T_37743, _T_37719) @[exu_mul_ctl.scala 137:112] + node _T_37745 = add(_T_37744, _T_37720) @[exu_mul_ctl.scala 137:112] + node _T_37746 = add(_T_37745, _T_37721) @[exu_mul_ctl.scala 137:112] + node _T_37747 = add(_T_37746, _T_37722) @[exu_mul_ctl.scala 137:112] + node _T_37748 = add(_T_37747, _T_37723) @[exu_mul_ctl.scala 137:112] + node _T_37749 = add(_T_37748, _T_37724) @[exu_mul_ctl.scala 137:112] + node _T_37750 = add(_T_37749, _T_37725) @[exu_mul_ctl.scala 137:112] + node _T_37751 = add(_T_37750, _T_37726) @[exu_mul_ctl.scala 137:112] + node _T_37752 = add(_T_37751, _T_37727) @[exu_mul_ctl.scala 137:112] + node _T_37753 = add(_T_37752, _T_37728) @[exu_mul_ctl.scala 137:112] + node _T_37754 = add(_T_37753, _T_37729) @[exu_mul_ctl.scala 137:112] + node _T_37755 = add(_T_37754, _T_37730) @[exu_mul_ctl.scala 137:112] + node _T_37756 = add(_T_37755, _T_37731) @[exu_mul_ctl.scala 137:112] + node _T_37757 = add(_T_37756, _T_37732) @[exu_mul_ctl.scala 137:112] + node _T_37758 = add(_T_37757, _T_37733) @[exu_mul_ctl.scala 137:112] + node _T_37759 = add(_T_37758, _T_37734) @[exu_mul_ctl.scala 137:112] + node _T_37760 = add(_T_37759, _T_37735) @[exu_mul_ctl.scala 137:112] + node _T_37761 = add(_T_37760, _T_37736) @[exu_mul_ctl.scala 137:112] + node _T_37762 = sub(_T_37761, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37763 = tail(_T_37762, 1) @[exu_mul_ctl.scala 141:85] + node _T_37764 = dshr(io.rs1_in, _T_37763) @[exu_mul_ctl.scala 141:74] + node _T_37765 = bits(_T_37764, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37766 = mux(_T_37710, _T_37765, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37767 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 141:51] + node _T_37768 = eq(_T_37767, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37769 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37770 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37771 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37772 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37773 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37774 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37775 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37776 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37777 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37778 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37779 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37780 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37781 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37782 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37783 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37784 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37785 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37786 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37787 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37788 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37789 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37790 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37791 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37792 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37793 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37794 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_37795 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_37796 = add(_T_37769, _T_37770) @[exu_mul_ctl.scala 137:112] + node _T_37797 = add(_T_37796, _T_37771) @[exu_mul_ctl.scala 137:112] + node _T_37798 = add(_T_37797, _T_37772) @[exu_mul_ctl.scala 137:112] + node _T_37799 = add(_T_37798, _T_37773) @[exu_mul_ctl.scala 137:112] + node _T_37800 = add(_T_37799, _T_37774) @[exu_mul_ctl.scala 137:112] + node _T_37801 = add(_T_37800, _T_37775) @[exu_mul_ctl.scala 137:112] + node _T_37802 = add(_T_37801, _T_37776) @[exu_mul_ctl.scala 137:112] + node _T_37803 = add(_T_37802, _T_37777) @[exu_mul_ctl.scala 137:112] + node _T_37804 = add(_T_37803, _T_37778) @[exu_mul_ctl.scala 137:112] + node _T_37805 = add(_T_37804, _T_37779) @[exu_mul_ctl.scala 137:112] + node _T_37806 = add(_T_37805, _T_37780) @[exu_mul_ctl.scala 137:112] + node _T_37807 = add(_T_37806, _T_37781) @[exu_mul_ctl.scala 137:112] + node _T_37808 = add(_T_37807, _T_37782) @[exu_mul_ctl.scala 137:112] + node _T_37809 = add(_T_37808, _T_37783) @[exu_mul_ctl.scala 137:112] + node _T_37810 = add(_T_37809, _T_37784) @[exu_mul_ctl.scala 137:112] + node _T_37811 = add(_T_37810, _T_37785) @[exu_mul_ctl.scala 137:112] + node _T_37812 = add(_T_37811, _T_37786) @[exu_mul_ctl.scala 137:112] + node _T_37813 = add(_T_37812, _T_37787) @[exu_mul_ctl.scala 137:112] + node _T_37814 = add(_T_37813, _T_37788) @[exu_mul_ctl.scala 137:112] + node _T_37815 = add(_T_37814, _T_37789) @[exu_mul_ctl.scala 137:112] + node _T_37816 = add(_T_37815, _T_37790) @[exu_mul_ctl.scala 137:112] + node _T_37817 = add(_T_37816, _T_37791) @[exu_mul_ctl.scala 137:112] + node _T_37818 = add(_T_37817, _T_37792) @[exu_mul_ctl.scala 137:112] + node _T_37819 = add(_T_37818, _T_37793) @[exu_mul_ctl.scala 137:112] + node _T_37820 = add(_T_37819, _T_37794) @[exu_mul_ctl.scala 137:112] + node _T_37821 = add(_T_37820, _T_37795) @[exu_mul_ctl.scala 137:112] + node _T_37822 = sub(_T_37821, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37823 = tail(_T_37822, 1) @[exu_mul_ctl.scala 141:85] + node _T_37824 = dshr(io.rs1_in, _T_37823) @[exu_mul_ctl.scala 141:74] + node _T_37825 = bits(_T_37824, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37826 = mux(_T_37768, _T_37825, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37827 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 141:51] + node _T_37828 = eq(_T_37827, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37829 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37830 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37831 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37832 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37833 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37834 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37835 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37836 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37837 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37838 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37839 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37840 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37841 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37842 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37843 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37844 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37845 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37846 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37847 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37848 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37849 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37850 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37851 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37852 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37853 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37854 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_37855 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_37856 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_37857 = add(_T_37829, _T_37830) @[exu_mul_ctl.scala 137:112] + node _T_37858 = add(_T_37857, _T_37831) @[exu_mul_ctl.scala 137:112] + node _T_37859 = add(_T_37858, _T_37832) @[exu_mul_ctl.scala 137:112] + node _T_37860 = add(_T_37859, _T_37833) @[exu_mul_ctl.scala 137:112] + node _T_37861 = add(_T_37860, _T_37834) @[exu_mul_ctl.scala 137:112] + node _T_37862 = add(_T_37861, _T_37835) @[exu_mul_ctl.scala 137:112] + node _T_37863 = add(_T_37862, _T_37836) @[exu_mul_ctl.scala 137:112] + node _T_37864 = add(_T_37863, _T_37837) @[exu_mul_ctl.scala 137:112] + node _T_37865 = add(_T_37864, _T_37838) @[exu_mul_ctl.scala 137:112] + node _T_37866 = add(_T_37865, _T_37839) @[exu_mul_ctl.scala 137:112] + node _T_37867 = add(_T_37866, _T_37840) @[exu_mul_ctl.scala 137:112] + node _T_37868 = add(_T_37867, _T_37841) @[exu_mul_ctl.scala 137:112] + node _T_37869 = add(_T_37868, _T_37842) @[exu_mul_ctl.scala 137:112] + node _T_37870 = add(_T_37869, _T_37843) @[exu_mul_ctl.scala 137:112] + node _T_37871 = add(_T_37870, _T_37844) @[exu_mul_ctl.scala 137:112] + node _T_37872 = add(_T_37871, _T_37845) @[exu_mul_ctl.scala 137:112] + node _T_37873 = add(_T_37872, _T_37846) @[exu_mul_ctl.scala 137:112] + node _T_37874 = add(_T_37873, _T_37847) @[exu_mul_ctl.scala 137:112] + node _T_37875 = add(_T_37874, _T_37848) @[exu_mul_ctl.scala 137:112] + node _T_37876 = add(_T_37875, _T_37849) @[exu_mul_ctl.scala 137:112] + node _T_37877 = add(_T_37876, _T_37850) @[exu_mul_ctl.scala 137:112] + node _T_37878 = add(_T_37877, _T_37851) @[exu_mul_ctl.scala 137:112] + node _T_37879 = add(_T_37878, _T_37852) @[exu_mul_ctl.scala 137:112] + node _T_37880 = add(_T_37879, _T_37853) @[exu_mul_ctl.scala 137:112] + node _T_37881 = add(_T_37880, _T_37854) @[exu_mul_ctl.scala 137:112] + node _T_37882 = add(_T_37881, _T_37855) @[exu_mul_ctl.scala 137:112] + node _T_37883 = add(_T_37882, _T_37856) @[exu_mul_ctl.scala 137:112] + node _T_37884 = sub(_T_37883, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37885 = tail(_T_37884, 1) @[exu_mul_ctl.scala 141:85] + node _T_37886 = dshr(io.rs1_in, _T_37885) @[exu_mul_ctl.scala 141:74] + node _T_37887 = bits(_T_37886, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37888 = mux(_T_37828, _T_37887, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37889 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 141:51] + node _T_37890 = eq(_T_37889, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37891 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37892 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37893 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37894 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37895 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37896 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37897 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37898 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37899 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37900 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37901 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37902 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37903 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37904 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37905 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37906 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37907 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37908 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37909 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37910 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37911 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37912 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37913 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37914 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37915 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37916 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_37917 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_37918 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_37919 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_37920 = add(_T_37891, _T_37892) @[exu_mul_ctl.scala 137:112] + node _T_37921 = add(_T_37920, _T_37893) @[exu_mul_ctl.scala 137:112] + node _T_37922 = add(_T_37921, _T_37894) @[exu_mul_ctl.scala 137:112] + node _T_37923 = add(_T_37922, _T_37895) @[exu_mul_ctl.scala 137:112] + node _T_37924 = add(_T_37923, _T_37896) @[exu_mul_ctl.scala 137:112] + node _T_37925 = add(_T_37924, _T_37897) @[exu_mul_ctl.scala 137:112] + node _T_37926 = add(_T_37925, _T_37898) @[exu_mul_ctl.scala 137:112] + node _T_37927 = add(_T_37926, _T_37899) @[exu_mul_ctl.scala 137:112] + node _T_37928 = add(_T_37927, _T_37900) @[exu_mul_ctl.scala 137:112] + node _T_37929 = add(_T_37928, _T_37901) @[exu_mul_ctl.scala 137:112] + node _T_37930 = add(_T_37929, _T_37902) @[exu_mul_ctl.scala 137:112] + node _T_37931 = add(_T_37930, _T_37903) @[exu_mul_ctl.scala 137:112] + node _T_37932 = add(_T_37931, _T_37904) @[exu_mul_ctl.scala 137:112] + node _T_37933 = add(_T_37932, _T_37905) @[exu_mul_ctl.scala 137:112] + node _T_37934 = add(_T_37933, _T_37906) @[exu_mul_ctl.scala 137:112] + node _T_37935 = add(_T_37934, _T_37907) @[exu_mul_ctl.scala 137:112] + node _T_37936 = add(_T_37935, _T_37908) @[exu_mul_ctl.scala 137:112] + node _T_37937 = add(_T_37936, _T_37909) @[exu_mul_ctl.scala 137:112] + node _T_37938 = add(_T_37937, _T_37910) @[exu_mul_ctl.scala 137:112] + node _T_37939 = add(_T_37938, _T_37911) @[exu_mul_ctl.scala 137:112] + node _T_37940 = add(_T_37939, _T_37912) @[exu_mul_ctl.scala 137:112] + node _T_37941 = add(_T_37940, _T_37913) @[exu_mul_ctl.scala 137:112] + node _T_37942 = add(_T_37941, _T_37914) @[exu_mul_ctl.scala 137:112] + node _T_37943 = add(_T_37942, _T_37915) @[exu_mul_ctl.scala 137:112] + node _T_37944 = add(_T_37943, _T_37916) @[exu_mul_ctl.scala 137:112] + node _T_37945 = add(_T_37944, _T_37917) @[exu_mul_ctl.scala 137:112] + node _T_37946 = add(_T_37945, _T_37918) @[exu_mul_ctl.scala 137:112] + node _T_37947 = add(_T_37946, _T_37919) @[exu_mul_ctl.scala 137:112] + node _T_37948 = sub(_T_37947, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_37949 = tail(_T_37948, 1) @[exu_mul_ctl.scala 141:85] + node _T_37950 = dshr(io.rs1_in, _T_37949) @[exu_mul_ctl.scala 141:74] + node _T_37951 = bits(_T_37950, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_37952 = mux(_T_37890, _T_37951, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_37953 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 141:51] + node _T_37954 = eq(_T_37953, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_37955 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_37956 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_37957 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_37958 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_37959 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_37960 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_37961 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_37962 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_37963 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_37964 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_37965 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_37966 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_37967 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_37968 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_37969 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_37970 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_37971 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_37972 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_37973 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_37974 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_37975 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_37976 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_37977 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_37978 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_37979 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_37980 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_37981 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_37982 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_37983 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_37984 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_37985 = add(_T_37955, _T_37956) @[exu_mul_ctl.scala 137:112] + node _T_37986 = add(_T_37985, _T_37957) @[exu_mul_ctl.scala 137:112] + node _T_37987 = add(_T_37986, _T_37958) @[exu_mul_ctl.scala 137:112] + node _T_37988 = add(_T_37987, _T_37959) @[exu_mul_ctl.scala 137:112] + node _T_37989 = add(_T_37988, _T_37960) @[exu_mul_ctl.scala 137:112] + node _T_37990 = add(_T_37989, _T_37961) @[exu_mul_ctl.scala 137:112] + node _T_37991 = add(_T_37990, _T_37962) @[exu_mul_ctl.scala 137:112] + node _T_37992 = add(_T_37991, _T_37963) @[exu_mul_ctl.scala 137:112] + node _T_37993 = add(_T_37992, _T_37964) @[exu_mul_ctl.scala 137:112] + node _T_37994 = add(_T_37993, _T_37965) @[exu_mul_ctl.scala 137:112] + node _T_37995 = add(_T_37994, _T_37966) @[exu_mul_ctl.scala 137:112] + node _T_37996 = add(_T_37995, _T_37967) @[exu_mul_ctl.scala 137:112] + node _T_37997 = add(_T_37996, _T_37968) @[exu_mul_ctl.scala 137:112] + node _T_37998 = add(_T_37997, _T_37969) @[exu_mul_ctl.scala 137:112] + node _T_37999 = add(_T_37998, _T_37970) @[exu_mul_ctl.scala 137:112] + node _T_38000 = add(_T_37999, _T_37971) @[exu_mul_ctl.scala 137:112] + node _T_38001 = add(_T_38000, _T_37972) @[exu_mul_ctl.scala 137:112] + node _T_38002 = add(_T_38001, _T_37973) @[exu_mul_ctl.scala 137:112] + node _T_38003 = add(_T_38002, _T_37974) @[exu_mul_ctl.scala 137:112] + node _T_38004 = add(_T_38003, _T_37975) @[exu_mul_ctl.scala 137:112] + node _T_38005 = add(_T_38004, _T_37976) @[exu_mul_ctl.scala 137:112] + node _T_38006 = add(_T_38005, _T_37977) @[exu_mul_ctl.scala 137:112] + node _T_38007 = add(_T_38006, _T_37978) @[exu_mul_ctl.scala 137:112] + node _T_38008 = add(_T_38007, _T_37979) @[exu_mul_ctl.scala 137:112] + node _T_38009 = add(_T_38008, _T_37980) @[exu_mul_ctl.scala 137:112] + node _T_38010 = add(_T_38009, _T_37981) @[exu_mul_ctl.scala 137:112] + node _T_38011 = add(_T_38010, _T_37982) @[exu_mul_ctl.scala 137:112] + node _T_38012 = add(_T_38011, _T_37983) @[exu_mul_ctl.scala 137:112] + node _T_38013 = add(_T_38012, _T_37984) @[exu_mul_ctl.scala 137:112] + node _T_38014 = sub(_T_38013, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_38015 = tail(_T_38014, 1) @[exu_mul_ctl.scala 141:85] + node _T_38016 = dshr(io.rs1_in, _T_38015) @[exu_mul_ctl.scala 141:74] + node _T_38017 = bits(_T_38016, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_38018 = mux(_T_37954, _T_38017, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_38019 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 141:51] + node _T_38020 = eq(_T_38019, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_38021 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_38022 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_38023 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_38024 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_38025 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_38026 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_38027 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_38028 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_38029 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_38030 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_38031 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_38032 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_38033 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_38034 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_38035 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_38036 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_38037 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_38038 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_38039 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_38040 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_38041 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_38042 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_38043 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_38044 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_38045 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_38046 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_38047 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_38048 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_38049 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_38050 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_38051 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_38052 = add(_T_38021, _T_38022) @[exu_mul_ctl.scala 137:112] + node _T_38053 = add(_T_38052, _T_38023) @[exu_mul_ctl.scala 137:112] + node _T_38054 = add(_T_38053, _T_38024) @[exu_mul_ctl.scala 137:112] + node _T_38055 = add(_T_38054, _T_38025) @[exu_mul_ctl.scala 137:112] + node _T_38056 = add(_T_38055, _T_38026) @[exu_mul_ctl.scala 137:112] + node _T_38057 = add(_T_38056, _T_38027) @[exu_mul_ctl.scala 137:112] + node _T_38058 = add(_T_38057, _T_38028) @[exu_mul_ctl.scala 137:112] + node _T_38059 = add(_T_38058, _T_38029) @[exu_mul_ctl.scala 137:112] + node _T_38060 = add(_T_38059, _T_38030) @[exu_mul_ctl.scala 137:112] + node _T_38061 = add(_T_38060, _T_38031) @[exu_mul_ctl.scala 137:112] + node _T_38062 = add(_T_38061, _T_38032) @[exu_mul_ctl.scala 137:112] + node _T_38063 = add(_T_38062, _T_38033) @[exu_mul_ctl.scala 137:112] + node _T_38064 = add(_T_38063, _T_38034) @[exu_mul_ctl.scala 137:112] + node _T_38065 = add(_T_38064, _T_38035) @[exu_mul_ctl.scala 137:112] + node _T_38066 = add(_T_38065, _T_38036) @[exu_mul_ctl.scala 137:112] + node _T_38067 = add(_T_38066, _T_38037) @[exu_mul_ctl.scala 137:112] + node _T_38068 = add(_T_38067, _T_38038) @[exu_mul_ctl.scala 137:112] + node _T_38069 = add(_T_38068, _T_38039) @[exu_mul_ctl.scala 137:112] + node _T_38070 = add(_T_38069, _T_38040) @[exu_mul_ctl.scala 137:112] + node _T_38071 = add(_T_38070, _T_38041) @[exu_mul_ctl.scala 137:112] + node _T_38072 = add(_T_38071, _T_38042) @[exu_mul_ctl.scala 137:112] + node _T_38073 = add(_T_38072, _T_38043) @[exu_mul_ctl.scala 137:112] + node _T_38074 = add(_T_38073, _T_38044) @[exu_mul_ctl.scala 137:112] + node _T_38075 = add(_T_38074, _T_38045) @[exu_mul_ctl.scala 137:112] + node _T_38076 = add(_T_38075, _T_38046) @[exu_mul_ctl.scala 137:112] + node _T_38077 = add(_T_38076, _T_38047) @[exu_mul_ctl.scala 137:112] + node _T_38078 = add(_T_38077, _T_38048) @[exu_mul_ctl.scala 137:112] + node _T_38079 = add(_T_38078, _T_38049) @[exu_mul_ctl.scala 137:112] + node _T_38080 = add(_T_38079, _T_38050) @[exu_mul_ctl.scala 137:112] + node _T_38081 = add(_T_38080, _T_38051) @[exu_mul_ctl.scala 137:112] + node _T_38082 = sub(_T_38081, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_38083 = tail(_T_38082, 1) @[exu_mul_ctl.scala 141:85] + node _T_38084 = dshr(io.rs1_in, _T_38083) @[exu_mul_ctl.scala 141:74] + node _T_38085 = bits(_T_38084, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_38086 = mux(_T_38020, _T_38085, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_38087 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 141:51] + node _T_38088 = eq(_T_38087, UInt<1>("h01")) @[exu_mul_ctl.scala 141:55] + node _T_38089 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 137:92] + node _T_38090 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 137:92] + node _T_38091 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 137:92] + node _T_38092 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 137:92] + node _T_38093 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 137:92] + node _T_38094 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 137:92] + node _T_38095 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 137:92] + node _T_38096 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 137:92] + node _T_38097 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 137:92] + node _T_38098 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 137:92] + node _T_38099 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 137:92] + node _T_38100 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 137:92] + node _T_38101 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 137:92] + node _T_38102 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 137:92] + node _T_38103 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 137:92] + node _T_38104 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 137:92] + node _T_38105 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 137:92] + node _T_38106 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 137:92] + node _T_38107 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 137:92] + node _T_38108 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 137:92] + node _T_38109 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 137:92] + node _T_38110 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 137:92] + node _T_38111 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 137:92] + node _T_38112 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 137:92] + node _T_38113 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 137:92] + node _T_38114 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 137:92] + node _T_38115 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 137:92] + node _T_38116 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 137:92] + node _T_38117 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 137:92] + node _T_38118 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 137:92] + node _T_38119 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 137:92] + node _T_38120 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 137:92] + node _T_38121 = add(_T_38089, _T_38090) @[exu_mul_ctl.scala 137:112] + node _T_38122 = add(_T_38121, _T_38091) @[exu_mul_ctl.scala 137:112] + node _T_38123 = add(_T_38122, _T_38092) @[exu_mul_ctl.scala 137:112] + node _T_38124 = add(_T_38123, _T_38093) @[exu_mul_ctl.scala 137:112] + node _T_38125 = add(_T_38124, _T_38094) @[exu_mul_ctl.scala 137:112] + node _T_38126 = add(_T_38125, _T_38095) @[exu_mul_ctl.scala 137:112] + node _T_38127 = add(_T_38126, _T_38096) @[exu_mul_ctl.scala 137:112] + node _T_38128 = add(_T_38127, _T_38097) @[exu_mul_ctl.scala 137:112] + node _T_38129 = add(_T_38128, _T_38098) @[exu_mul_ctl.scala 137:112] + node _T_38130 = add(_T_38129, _T_38099) @[exu_mul_ctl.scala 137:112] + node _T_38131 = add(_T_38130, _T_38100) @[exu_mul_ctl.scala 137:112] + node _T_38132 = add(_T_38131, _T_38101) @[exu_mul_ctl.scala 137:112] + node _T_38133 = add(_T_38132, _T_38102) @[exu_mul_ctl.scala 137:112] + node _T_38134 = add(_T_38133, _T_38103) @[exu_mul_ctl.scala 137:112] + node _T_38135 = add(_T_38134, _T_38104) @[exu_mul_ctl.scala 137:112] + node _T_38136 = add(_T_38135, _T_38105) @[exu_mul_ctl.scala 137:112] + node _T_38137 = add(_T_38136, _T_38106) @[exu_mul_ctl.scala 137:112] + node _T_38138 = add(_T_38137, _T_38107) @[exu_mul_ctl.scala 137:112] + node _T_38139 = add(_T_38138, _T_38108) @[exu_mul_ctl.scala 137:112] + node _T_38140 = add(_T_38139, _T_38109) @[exu_mul_ctl.scala 137:112] + node _T_38141 = add(_T_38140, _T_38110) @[exu_mul_ctl.scala 137:112] + node _T_38142 = add(_T_38141, _T_38111) @[exu_mul_ctl.scala 137:112] + node _T_38143 = add(_T_38142, _T_38112) @[exu_mul_ctl.scala 137:112] + node _T_38144 = add(_T_38143, _T_38113) @[exu_mul_ctl.scala 137:112] + node _T_38145 = add(_T_38144, _T_38114) @[exu_mul_ctl.scala 137:112] + node _T_38146 = add(_T_38145, _T_38115) @[exu_mul_ctl.scala 137:112] + node _T_38147 = add(_T_38146, _T_38116) @[exu_mul_ctl.scala 137:112] + node _T_38148 = add(_T_38147, _T_38117) @[exu_mul_ctl.scala 137:112] + node _T_38149 = add(_T_38148, _T_38118) @[exu_mul_ctl.scala 137:112] + node _T_38150 = add(_T_38149, _T_38119) @[exu_mul_ctl.scala 137:112] + node _T_38151 = add(_T_38150, _T_38120) @[exu_mul_ctl.scala 137:112] + node _T_38152 = sub(_T_38151, UInt<1>("h01")) @[exu_mul_ctl.scala 141:85] + node _T_38153 = tail(_T_38152, 1) @[exu_mul_ctl.scala 141:85] + node _T_38154 = dshr(io.rs1_in, _T_38153) @[exu_mul_ctl.scala 141:74] + node _T_38155 = bits(_T_38154, 0, 0) @[exu_mul_ctl.scala 141:74] + node _T_38156 = mux(_T_38088, _T_38155, UInt<1>("h00")) @[exu_mul_ctl.scala 141:40] + node _T_38157 = cat(_T_38156, _T_38086) @[Cat.scala 29:58] + node _T_38158 = cat(_T_38157, _T_38018) @[Cat.scala 29:58] + node _T_38159 = cat(_T_38158, _T_37952) @[Cat.scala 29:58] + node _T_38160 = cat(_T_38159, _T_37888) @[Cat.scala 29:58] + node _T_38161 = cat(_T_38160, _T_37826) @[Cat.scala 29:58] + node _T_38162 = cat(_T_38161, _T_37766) @[Cat.scala 29:58] + node _T_38163 = cat(_T_38162, _T_37708) @[Cat.scala 29:58] + node _T_38164 = cat(_T_38163, _T_37652) @[Cat.scala 29:58] + node _T_38165 = cat(_T_38164, _T_37598) @[Cat.scala 29:58] + node _T_38166 = cat(_T_38165, _T_37546) @[Cat.scala 29:58] + node _T_38167 = cat(_T_38166, _T_37496) @[Cat.scala 29:58] + node _T_38168 = cat(_T_38167, _T_37448) @[Cat.scala 29:58] + node _T_38169 = cat(_T_38168, _T_37402) @[Cat.scala 29:58] + node _T_38170 = cat(_T_38169, _T_37358) @[Cat.scala 29:58] + node _T_38171 = cat(_T_38170, _T_37316) @[Cat.scala 29:58] + node _T_38172 = cat(_T_38171, _T_37276) @[Cat.scala 29:58] + node _T_38173 = cat(_T_38172, _T_37238) @[Cat.scala 29:58] + node _T_38174 = cat(_T_38173, _T_37202) @[Cat.scala 29:58] + node _T_38175 = cat(_T_38174, _T_37168) @[Cat.scala 29:58] + node _T_38176 = cat(_T_38175, _T_37136) @[Cat.scala 29:58] + node _T_38177 = cat(_T_38176, _T_37106) @[Cat.scala 29:58] + node _T_38178 = cat(_T_38177, _T_37078) @[Cat.scala 29:58] + node _T_38179 = cat(_T_38178, _T_37052) @[Cat.scala 29:58] + node _T_38180 = cat(_T_38179, _T_37028) @[Cat.scala 29:58] + node _T_38181 = cat(_T_38180, _T_37006) @[Cat.scala 29:58] + node _T_38182 = cat(_T_38181, _T_36986) @[Cat.scala 29:58] + node _T_38183 = cat(_T_38182, _T_36968) @[Cat.scala 29:58] + node _T_38184 = cat(_T_38183, _T_36952) @[Cat.scala 29:58] + node _T_38185 = cat(_T_38184, _T_36938) @[Cat.scala 29:58] + node _T_38186 = cat(_T_38185, _T_36926) @[Cat.scala 29:58] + node bdep_d = cat(_T_38186, _T_36916) @[Cat.scala 29:58] + wire clmul_raw_d : UInt<63> + clmul_raw_d <= UInt<1>("h00") + node _T_38187 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 145:57] + node _T_38188 = bits(_T_38187, 0, 0) @[Bitwise.scala 72:15] + node _T_38189 = mux(_T_38188, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38190 = mux(UInt<1>("h00"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_38191 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38192 = cat(_T_38190, _T_38191) @[Cat.scala 29:58] + node _T_38193 = cat(_T_38192, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_38194 = and(_T_38189, _T_38193) @[exu_mul_ctl.scala 145:62] + node _T_38195 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 145:57] + node _T_38196 = bits(_T_38195, 0, 0) @[Bitwise.scala 72:15] + node _T_38197 = mux(_T_38196, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38198 = mux(UInt<1>("h00"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_38199 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38200 = mux(UInt<1>("h00"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_38201 = cat(_T_38198, _T_38199) @[Cat.scala 29:58] + node _T_38202 = cat(_T_38201, _T_38200) @[Cat.scala 29:58] + node _T_38203 = and(_T_38197, _T_38202) @[exu_mul_ctl.scala 145:62] + node _T_38204 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 145:57] + node _T_38205 = bits(_T_38204, 0, 0) @[Bitwise.scala 72:15] + node _T_38206 = mux(_T_38205, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38207 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_38208 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38209 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_38210 = cat(_T_38207, _T_38208) @[Cat.scala 29:58] + node _T_38211 = cat(_T_38210, _T_38209) @[Cat.scala 29:58] + node _T_38212 = and(_T_38206, _T_38211) @[exu_mul_ctl.scala 145:62] + node _T_38213 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 145:57] + node _T_38214 = bits(_T_38213, 0, 0) @[Bitwise.scala 72:15] + node _T_38215 = mux(_T_38214, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38216 = mux(UInt<1>("h00"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_38217 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38218 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_38219 = cat(_T_38216, _T_38217) @[Cat.scala 29:58] + node _T_38220 = cat(_T_38219, _T_38218) @[Cat.scala 29:58] + node _T_38221 = and(_T_38215, _T_38220) @[exu_mul_ctl.scala 145:62] + node _T_38222 = bits(io.rs2_in, 5, 5) @[exu_mul_ctl.scala 145:57] + node _T_38223 = bits(_T_38222, 0, 0) @[Bitwise.scala 72:15] + node _T_38224 = mux(_T_38223, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38225 = mux(UInt<1>("h00"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_38226 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38227 = mux(UInt<1>("h00"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_38228 = cat(_T_38225, _T_38226) @[Cat.scala 29:58] + node _T_38229 = cat(_T_38228, _T_38227) @[Cat.scala 29:58] + node _T_38230 = and(_T_38224, _T_38229) @[exu_mul_ctl.scala 145:62] + node _T_38231 = bits(io.rs2_in, 6, 6) @[exu_mul_ctl.scala 145:57] + node _T_38232 = bits(_T_38231, 0, 0) @[Bitwise.scala 72:15] + node _T_38233 = mux(_T_38232, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38234 = mux(UInt<1>("h00"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_38235 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38236 = mux(UInt<1>("h00"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_38237 = cat(_T_38234, _T_38235) @[Cat.scala 29:58] + node _T_38238 = cat(_T_38237, _T_38236) @[Cat.scala 29:58] + node _T_38239 = and(_T_38233, _T_38238) @[exu_mul_ctl.scala 145:62] + node _T_38240 = bits(io.rs2_in, 7, 7) @[exu_mul_ctl.scala 145:57] + node _T_38241 = bits(_T_38240, 0, 0) @[Bitwise.scala 72:15] + node _T_38242 = mux(_T_38241, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38243 = mux(UInt<1>("h00"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_38244 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38245 = mux(UInt<1>("h00"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_38246 = cat(_T_38243, _T_38244) @[Cat.scala 29:58] + node _T_38247 = cat(_T_38246, _T_38245) @[Cat.scala 29:58] + node _T_38248 = and(_T_38242, _T_38247) @[exu_mul_ctl.scala 145:62] + node _T_38249 = bits(io.rs2_in, 8, 8) @[exu_mul_ctl.scala 145:57] + node _T_38250 = bits(_T_38249, 0, 0) @[Bitwise.scala 72:15] + node _T_38251 = mux(_T_38250, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38252 = mux(UInt<1>("h00"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_38253 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38254 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_38255 = cat(_T_38252, _T_38253) @[Cat.scala 29:58] + node _T_38256 = cat(_T_38255, _T_38254) @[Cat.scala 29:58] + node _T_38257 = and(_T_38251, _T_38256) @[exu_mul_ctl.scala 145:62] + node _T_38258 = bits(io.rs2_in, 9, 9) @[exu_mul_ctl.scala 145:57] + node _T_38259 = bits(_T_38258, 0, 0) @[Bitwise.scala 72:15] + node _T_38260 = mux(_T_38259, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38261 = mux(UInt<1>("h00"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_38262 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38263 = mux(UInt<1>("h00"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_38264 = cat(_T_38261, _T_38262) @[Cat.scala 29:58] + node _T_38265 = cat(_T_38264, _T_38263) @[Cat.scala 29:58] + node _T_38266 = and(_T_38260, _T_38265) @[exu_mul_ctl.scala 145:62] + node _T_38267 = bits(io.rs2_in, 10, 10) @[exu_mul_ctl.scala 145:57] + node _T_38268 = bits(_T_38267, 0, 0) @[Bitwise.scala 72:15] + node _T_38269 = mux(_T_38268, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38270 = mux(UInt<1>("h00"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_38271 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38272 = mux(UInt<1>("h00"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_38273 = cat(_T_38270, _T_38271) @[Cat.scala 29:58] + node _T_38274 = cat(_T_38273, _T_38272) @[Cat.scala 29:58] + node _T_38275 = and(_T_38269, _T_38274) @[exu_mul_ctl.scala 145:62] + node _T_38276 = bits(io.rs2_in, 11, 11) @[exu_mul_ctl.scala 145:57] + node _T_38277 = bits(_T_38276, 0, 0) @[Bitwise.scala 72:15] + node _T_38278 = mux(_T_38277, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38279 = mux(UInt<1>("h00"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_38280 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38281 = mux(UInt<1>("h00"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_38282 = cat(_T_38279, _T_38280) @[Cat.scala 29:58] + node _T_38283 = cat(_T_38282, _T_38281) @[Cat.scala 29:58] + node _T_38284 = and(_T_38278, _T_38283) @[exu_mul_ctl.scala 145:62] + node _T_38285 = bits(io.rs2_in, 12, 12) @[exu_mul_ctl.scala 145:57] + node _T_38286 = bits(_T_38285, 0, 0) @[Bitwise.scala 72:15] + node _T_38287 = mux(_T_38286, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38288 = mux(UInt<1>("h00"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_38289 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38290 = mux(UInt<1>("h00"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_38291 = cat(_T_38288, _T_38289) @[Cat.scala 29:58] + node _T_38292 = cat(_T_38291, _T_38290) @[Cat.scala 29:58] + node _T_38293 = and(_T_38287, _T_38292) @[exu_mul_ctl.scala 145:62] + node _T_38294 = bits(io.rs2_in, 13, 13) @[exu_mul_ctl.scala 145:57] + node _T_38295 = bits(_T_38294, 0, 0) @[Bitwise.scala 72:15] + node _T_38296 = mux(_T_38295, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38297 = mux(UInt<1>("h00"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_38298 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38299 = mux(UInt<1>("h00"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_38300 = cat(_T_38297, _T_38298) @[Cat.scala 29:58] + node _T_38301 = cat(_T_38300, _T_38299) @[Cat.scala 29:58] + node _T_38302 = and(_T_38296, _T_38301) @[exu_mul_ctl.scala 145:62] + node _T_38303 = bits(io.rs2_in, 14, 14) @[exu_mul_ctl.scala 145:57] + node _T_38304 = bits(_T_38303, 0, 0) @[Bitwise.scala 72:15] + node _T_38305 = mux(_T_38304, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38306 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_38307 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38308 = mux(UInt<1>("h00"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_38309 = cat(_T_38306, _T_38307) @[Cat.scala 29:58] + node _T_38310 = cat(_T_38309, _T_38308) @[Cat.scala 29:58] + node _T_38311 = and(_T_38305, _T_38310) @[exu_mul_ctl.scala 145:62] + node _T_38312 = bits(io.rs2_in, 15, 15) @[exu_mul_ctl.scala 145:57] + node _T_38313 = bits(_T_38312, 0, 0) @[Bitwise.scala 72:15] + node _T_38314 = mux(_T_38313, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38315 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_38316 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38317 = mux(UInt<1>("h00"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_38318 = cat(_T_38315, _T_38316) @[Cat.scala 29:58] + node _T_38319 = cat(_T_38318, _T_38317) @[Cat.scala 29:58] + node _T_38320 = and(_T_38314, _T_38319) @[exu_mul_ctl.scala 145:62] + node _T_38321 = bits(io.rs2_in, 16, 16) @[exu_mul_ctl.scala 145:57] + node _T_38322 = bits(_T_38321, 0, 0) @[Bitwise.scala 72:15] + node _T_38323 = mux(_T_38322, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38324 = mux(UInt<1>("h00"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_38325 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38326 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_38327 = cat(_T_38324, _T_38325) @[Cat.scala 29:58] + node _T_38328 = cat(_T_38327, _T_38326) @[Cat.scala 29:58] + node _T_38329 = and(_T_38323, _T_38328) @[exu_mul_ctl.scala 145:62] + node _T_38330 = bits(io.rs2_in, 17, 17) @[exu_mul_ctl.scala 145:57] + node _T_38331 = bits(_T_38330, 0, 0) @[Bitwise.scala 72:15] + node _T_38332 = mux(_T_38331, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38333 = mux(UInt<1>("h00"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_38334 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38335 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_38336 = cat(_T_38333, _T_38334) @[Cat.scala 29:58] + node _T_38337 = cat(_T_38336, _T_38335) @[Cat.scala 29:58] + node _T_38338 = and(_T_38332, _T_38337) @[exu_mul_ctl.scala 145:62] + node _T_38339 = bits(io.rs2_in, 18, 18) @[exu_mul_ctl.scala 145:57] + node _T_38340 = bits(_T_38339, 0, 0) @[Bitwise.scala 72:15] + node _T_38341 = mux(_T_38340, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38342 = mux(UInt<1>("h00"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_38343 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38344 = mux(UInt<1>("h00"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_38345 = cat(_T_38342, _T_38343) @[Cat.scala 29:58] + node _T_38346 = cat(_T_38345, _T_38344) @[Cat.scala 29:58] + node _T_38347 = and(_T_38341, _T_38346) @[exu_mul_ctl.scala 145:62] + node _T_38348 = bits(io.rs2_in, 19, 19) @[exu_mul_ctl.scala 145:57] + node _T_38349 = bits(_T_38348, 0, 0) @[Bitwise.scala 72:15] + node _T_38350 = mux(_T_38349, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38351 = mux(UInt<1>("h00"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_38352 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38353 = mux(UInt<1>("h00"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_38354 = cat(_T_38351, _T_38352) @[Cat.scala 29:58] + node _T_38355 = cat(_T_38354, _T_38353) @[Cat.scala 29:58] + node _T_38356 = and(_T_38350, _T_38355) @[exu_mul_ctl.scala 145:62] + node _T_38357 = bits(io.rs2_in, 20, 20) @[exu_mul_ctl.scala 145:57] + node _T_38358 = bits(_T_38357, 0, 0) @[Bitwise.scala 72:15] + node _T_38359 = mux(_T_38358, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38360 = mux(UInt<1>("h00"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_38361 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38362 = mux(UInt<1>("h00"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_38363 = cat(_T_38360, _T_38361) @[Cat.scala 29:58] + node _T_38364 = cat(_T_38363, _T_38362) @[Cat.scala 29:58] + node _T_38365 = and(_T_38359, _T_38364) @[exu_mul_ctl.scala 145:62] + node _T_38366 = bits(io.rs2_in, 21, 21) @[exu_mul_ctl.scala 145:57] + node _T_38367 = bits(_T_38366, 0, 0) @[Bitwise.scala 72:15] + node _T_38368 = mux(_T_38367, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38369 = mux(UInt<1>("h00"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_38370 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38371 = mux(UInt<1>("h00"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_38372 = cat(_T_38369, _T_38370) @[Cat.scala 29:58] + node _T_38373 = cat(_T_38372, _T_38371) @[Cat.scala 29:58] + node _T_38374 = and(_T_38368, _T_38373) @[exu_mul_ctl.scala 145:62] + node _T_38375 = bits(io.rs2_in, 22, 22) @[exu_mul_ctl.scala 145:57] + node _T_38376 = bits(_T_38375, 0, 0) @[Bitwise.scala 72:15] + node _T_38377 = mux(_T_38376, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38378 = mux(UInt<1>("h00"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_38379 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38380 = mux(UInt<1>("h00"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_38381 = cat(_T_38378, _T_38379) @[Cat.scala 29:58] + node _T_38382 = cat(_T_38381, _T_38380) @[Cat.scala 29:58] + node _T_38383 = and(_T_38377, _T_38382) @[exu_mul_ctl.scala 145:62] + node _T_38384 = bits(io.rs2_in, 23, 23) @[exu_mul_ctl.scala 145:57] + node _T_38385 = bits(_T_38384, 0, 0) @[Bitwise.scala 72:15] + node _T_38386 = mux(_T_38385, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38387 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_38388 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38389 = mux(UInt<1>("h00"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_38390 = cat(_T_38387, _T_38388) @[Cat.scala 29:58] + node _T_38391 = cat(_T_38390, _T_38389) @[Cat.scala 29:58] + node _T_38392 = and(_T_38386, _T_38391) @[exu_mul_ctl.scala 145:62] + node _T_38393 = bits(io.rs2_in, 24, 24) @[exu_mul_ctl.scala 145:57] + node _T_38394 = bits(_T_38393, 0, 0) @[Bitwise.scala 72:15] + node _T_38395 = mux(_T_38394, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38396 = mux(UInt<1>("h00"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_38397 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38398 = mux(UInt<1>("h00"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_38399 = cat(_T_38396, _T_38397) @[Cat.scala 29:58] + node _T_38400 = cat(_T_38399, _T_38398) @[Cat.scala 29:58] + node _T_38401 = and(_T_38395, _T_38400) @[exu_mul_ctl.scala 145:62] + node _T_38402 = bits(io.rs2_in, 25, 25) @[exu_mul_ctl.scala 145:57] + node _T_38403 = bits(_T_38402, 0, 0) @[Bitwise.scala 72:15] + node _T_38404 = mux(_T_38403, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38405 = mux(UInt<1>("h00"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_38406 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38407 = mux(UInt<1>("h00"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_38408 = cat(_T_38405, _T_38406) @[Cat.scala 29:58] + node _T_38409 = cat(_T_38408, _T_38407) @[Cat.scala 29:58] + node _T_38410 = and(_T_38404, _T_38409) @[exu_mul_ctl.scala 145:62] + node _T_38411 = bits(io.rs2_in, 26, 26) @[exu_mul_ctl.scala 145:57] + node _T_38412 = bits(_T_38411, 0, 0) @[Bitwise.scala 72:15] + node _T_38413 = mux(_T_38412, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38414 = mux(UInt<1>("h00"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_38415 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38416 = mux(UInt<1>("h00"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_38417 = cat(_T_38414, _T_38415) @[Cat.scala 29:58] + node _T_38418 = cat(_T_38417, _T_38416) @[Cat.scala 29:58] + node _T_38419 = and(_T_38413, _T_38418) @[exu_mul_ctl.scala 145:62] + node _T_38420 = bits(io.rs2_in, 27, 27) @[exu_mul_ctl.scala 145:57] + node _T_38421 = bits(_T_38420, 0, 0) @[Bitwise.scala 72:15] + node _T_38422 = mux(_T_38421, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38423 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_38424 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38425 = mux(UInt<1>("h00"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_38426 = cat(_T_38423, _T_38424) @[Cat.scala 29:58] + node _T_38427 = cat(_T_38426, _T_38425) @[Cat.scala 29:58] + node _T_38428 = and(_T_38422, _T_38427) @[exu_mul_ctl.scala 145:62] + node _T_38429 = bits(io.rs2_in, 28, 28) @[exu_mul_ctl.scala 145:57] + node _T_38430 = bits(_T_38429, 0, 0) @[Bitwise.scala 72:15] + node _T_38431 = mux(_T_38430, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38432 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_38433 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38434 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_38435 = cat(_T_38432, _T_38433) @[Cat.scala 29:58] + node _T_38436 = cat(_T_38435, _T_38434) @[Cat.scala 29:58] + node _T_38437 = and(_T_38431, _T_38436) @[exu_mul_ctl.scala 145:62] + node _T_38438 = bits(io.rs2_in, 29, 29) @[exu_mul_ctl.scala 145:57] + node _T_38439 = bits(_T_38438, 0, 0) @[Bitwise.scala 72:15] + node _T_38440 = mux(_T_38439, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38441 = mux(UInt<1>("h00"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_38442 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38443 = mux(UInt<1>("h00"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_38444 = cat(_T_38441, _T_38442) @[Cat.scala 29:58] + node _T_38445 = cat(_T_38444, _T_38443) @[Cat.scala 29:58] + node _T_38446 = and(_T_38440, _T_38445) @[exu_mul_ctl.scala 145:62] + node _T_38447 = bits(io.rs2_in, 30, 30) @[exu_mul_ctl.scala 145:57] + node _T_38448 = bits(_T_38447, 0, 0) @[Bitwise.scala 72:15] + node _T_38449 = mux(_T_38448, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38450 = bits(io.rs1_in, 31, 0) @[exu_mul_ctl.scala 145:92] + node _T_38451 = mux(UInt<1>("h00"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_38452 = cat(UInt<1>("h00"), _T_38450) @[Cat.scala 29:58] + node _T_38453 = cat(_T_38452, _T_38451) @[Cat.scala 29:58] + node _T_38454 = and(_T_38449, _T_38453) @[exu_mul_ctl.scala 145:62] + node _T_38455 = xor(_T_38194, _T_38203) @[exu_mul_ctl.scala 145:121] + node _T_38456 = xor(_T_38455, _T_38212) @[exu_mul_ctl.scala 145:121] + node _T_38457 = xor(_T_38456, _T_38221) @[exu_mul_ctl.scala 145:121] + node _T_38458 = xor(_T_38457, _T_38230) @[exu_mul_ctl.scala 145:121] + node _T_38459 = xor(_T_38458, _T_38239) @[exu_mul_ctl.scala 145:121] + node _T_38460 = xor(_T_38459, _T_38248) @[exu_mul_ctl.scala 145:121] + node _T_38461 = xor(_T_38460, _T_38257) @[exu_mul_ctl.scala 145:121] + node _T_38462 = xor(_T_38461, _T_38266) @[exu_mul_ctl.scala 145:121] + node _T_38463 = xor(_T_38462, _T_38275) @[exu_mul_ctl.scala 145:121] + node _T_38464 = xor(_T_38463, _T_38284) @[exu_mul_ctl.scala 145:121] + node _T_38465 = xor(_T_38464, _T_38293) @[exu_mul_ctl.scala 145:121] + node _T_38466 = xor(_T_38465, _T_38302) @[exu_mul_ctl.scala 145:121] + node _T_38467 = xor(_T_38466, _T_38311) @[exu_mul_ctl.scala 145:121] + node _T_38468 = xor(_T_38467, _T_38320) @[exu_mul_ctl.scala 145:121] + node _T_38469 = xor(_T_38468, _T_38329) @[exu_mul_ctl.scala 145:121] + node _T_38470 = xor(_T_38469, _T_38338) @[exu_mul_ctl.scala 145:121] + node _T_38471 = xor(_T_38470, _T_38347) @[exu_mul_ctl.scala 145:121] + node _T_38472 = xor(_T_38471, _T_38356) @[exu_mul_ctl.scala 145:121] + node _T_38473 = xor(_T_38472, _T_38365) @[exu_mul_ctl.scala 145:121] + node _T_38474 = xor(_T_38473, _T_38374) @[exu_mul_ctl.scala 145:121] + node _T_38475 = xor(_T_38474, _T_38383) @[exu_mul_ctl.scala 145:121] + node _T_38476 = xor(_T_38475, _T_38392) @[exu_mul_ctl.scala 145:121] + node _T_38477 = xor(_T_38476, _T_38401) @[exu_mul_ctl.scala 145:121] + node _T_38478 = xor(_T_38477, _T_38410) @[exu_mul_ctl.scala 145:121] + node _T_38479 = xor(_T_38478, _T_38419) @[exu_mul_ctl.scala 145:121] + node _T_38480 = xor(_T_38479, _T_38428) @[exu_mul_ctl.scala 145:121] + node _T_38481 = xor(_T_38480, _T_38437) @[exu_mul_ctl.scala 145:121] + node _T_38482 = xor(_T_38481, _T_38446) @[exu_mul_ctl.scala 145:121] + node _T_38483 = xor(_T_38482, _T_38454) @[exu_mul_ctl.scala 145:121] + node _T_38484 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 145:146] + node _T_38485 = bits(_T_38484, 0, 0) @[Bitwise.scala 72:15] + node _T_38486 = mux(_T_38485, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38487 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_38488 = cat(_T_38487, io.rs1_in) @[Cat.scala 29:58] + node _T_38489 = and(_T_38486, _T_38488) @[exu_mul_ctl.scala 145:151] + node _T_38490 = xor(_T_38483, _T_38489) @[exu_mul_ctl.scala 145:125] + node _T_38491 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 145:204] + node _T_38492 = bits(_T_38491, 0, 0) @[Bitwise.scala 72:15] + node _T_38493 = mux(_T_38492, UInt<63>("h07fffffffffffffff"), UInt<63>("h00")) @[Bitwise.scala 72:12] + node _T_38494 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_38495 = cat(io.rs1_in, _T_38494) @[Cat.scala 29:58] + node _T_38496 = and(_T_38493, _T_38495) @[exu_mul_ctl.scala 145:210] + node _T_38497 = xor(_T_38490, _T_38496) @[exu_mul_ctl.scala 145:183] + clmul_raw_d <= _T_38497 @[exu_mul_ctl.scala 145:15] + node _T_38498 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 165:30] + node _T_38499 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 165:72] + node _T_38500 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 165:85] + node _T_38501 = cat(_T_38499, _T_38500) @[Cat.scala 29:58] + node _T_38502 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 165:72] + node _T_38503 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 165:85] + node _T_38504 = cat(_T_38502, _T_38503) @[Cat.scala 29:58] + node _T_38505 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 165:72] + node _T_38506 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 165:85] + node _T_38507 = cat(_T_38505, _T_38506) @[Cat.scala 29:58] + node _T_38508 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 165:72] + node _T_38509 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 165:85] + node _T_38510 = cat(_T_38508, _T_38509) @[Cat.scala 29:58] + node _T_38511 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 165:72] + node _T_38512 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 165:85] + node _T_38513 = cat(_T_38511, _T_38512) @[Cat.scala 29:58] + node _T_38514 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 165:72] + node _T_38515 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 165:85] + node _T_38516 = cat(_T_38514, _T_38515) @[Cat.scala 29:58] + node _T_38517 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 165:72] + node _T_38518 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 165:85] + node _T_38519 = cat(_T_38517, _T_38518) @[Cat.scala 29:58] + node _T_38520 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 165:72] + node _T_38521 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 165:85] + node _T_38522 = cat(_T_38520, _T_38521) @[Cat.scala 29:58] + node _T_38523 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 165:72] + node _T_38524 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 165:85] + node _T_38525 = cat(_T_38523, _T_38524) @[Cat.scala 29:58] + node _T_38526 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 165:72] + node _T_38527 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 165:85] + node _T_38528 = cat(_T_38526, _T_38527) @[Cat.scala 29:58] + node _T_38529 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 165:72] + node _T_38530 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 165:85] + node _T_38531 = cat(_T_38529, _T_38530) @[Cat.scala 29:58] + node _T_38532 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 165:72] + node _T_38533 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 165:85] + node _T_38534 = cat(_T_38532, _T_38533) @[Cat.scala 29:58] + node _T_38535 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 165:72] + node _T_38536 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 165:85] + node _T_38537 = cat(_T_38535, _T_38536) @[Cat.scala 29:58] + node _T_38538 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 165:72] + node _T_38539 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 165:85] + node _T_38540 = cat(_T_38538, _T_38539) @[Cat.scala 29:58] + node _T_38541 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 165:72] + node _T_38542 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 165:85] + node _T_38543 = cat(_T_38541, _T_38542) @[Cat.scala 29:58] + node _T_38544 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 165:72] + node _T_38545 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 165:85] + node _T_38546 = cat(_T_38544, _T_38545) @[Cat.scala 29:58] + node _T_38547 = cat(_T_38546, _T_38543) @[Cat.scala 29:58] + node _T_38548 = cat(_T_38547, _T_38540) @[Cat.scala 29:58] + node _T_38549 = cat(_T_38548, _T_38537) @[Cat.scala 29:58] + node _T_38550 = cat(_T_38549, _T_38534) @[Cat.scala 29:58] + node _T_38551 = cat(_T_38550, _T_38531) @[Cat.scala 29:58] + node _T_38552 = cat(_T_38551, _T_38528) @[Cat.scala 29:58] + node _T_38553 = cat(_T_38552, _T_38525) @[Cat.scala 29:58] + node _T_38554 = cat(_T_38553, _T_38522) @[Cat.scala 29:58] + node _T_38555 = cat(_T_38554, _T_38519) @[Cat.scala 29:58] + node _T_38556 = cat(_T_38555, _T_38516) @[Cat.scala 29:58] + node _T_38557 = cat(_T_38556, _T_38513) @[Cat.scala 29:58] + node _T_38558 = cat(_T_38557, _T_38510) @[Cat.scala 29:58] + node _T_38559 = cat(_T_38558, _T_38507) @[Cat.scala 29:58] + node _T_38560 = cat(_T_38559, _T_38504) @[Cat.scala 29:58] + node _T_38561 = cat(_T_38560, _T_38501) @[Cat.scala 29:58] + node grev1_d = mux(_T_38498, _T_38561, io.rs1_in) @[exu_mul_ctl.scala 165:20] + node _T_38562 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 167:30] + node _T_38563 = bits(grev1_d, 1, 0) @[exu_mul_ctl.scala 167:70] + node _T_38564 = bits(grev1_d, 3, 2) @[exu_mul_ctl.scala 167:85] + node _T_38565 = cat(_T_38563, _T_38564) @[Cat.scala 29:58] + node _T_38566 = bits(grev1_d, 5, 4) @[exu_mul_ctl.scala 167:70] + node _T_38567 = bits(grev1_d, 7, 6) @[exu_mul_ctl.scala 167:85] + node _T_38568 = cat(_T_38566, _T_38567) @[Cat.scala 29:58] + node _T_38569 = bits(grev1_d, 9, 8) @[exu_mul_ctl.scala 167:70] + node _T_38570 = bits(grev1_d, 11, 10) @[exu_mul_ctl.scala 167:85] + node _T_38571 = cat(_T_38569, _T_38570) @[Cat.scala 29:58] + node _T_38572 = bits(grev1_d, 13, 12) @[exu_mul_ctl.scala 167:70] + node _T_38573 = bits(grev1_d, 15, 14) @[exu_mul_ctl.scala 167:85] + node _T_38574 = cat(_T_38572, _T_38573) @[Cat.scala 29:58] + node _T_38575 = bits(grev1_d, 17, 16) @[exu_mul_ctl.scala 167:70] + node _T_38576 = bits(grev1_d, 19, 18) @[exu_mul_ctl.scala 167:85] + node _T_38577 = cat(_T_38575, _T_38576) @[Cat.scala 29:58] + node _T_38578 = bits(grev1_d, 21, 20) @[exu_mul_ctl.scala 167:70] + node _T_38579 = bits(grev1_d, 23, 22) @[exu_mul_ctl.scala 167:85] + node _T_38580 = cat(_T_38578, _T_38579) @[Cat.scala 29:58] + node _T_38581 = bits(grev1_d, 25, 24) @[exu_mul_ctl.scala 167:70] + node _T_38582 = bits(grev1_d, 27, 26) @[exu_mul_ctl.scala 167:85] + node _T_38583 = cat(_T_38581, _T_38582) @[Cat.scala 29:58] + node _T_38584 = bits(grev1_d, 29, 28) @[exu_mul_ctl.scala 167:70] + node _T_38585 = bits(grev1_d, 31, 30) @[exu_mul_ctl.scala 167:85] + node _T_38586 = cat(_T_38584, _T_38585) @[Cat.scala 29:58] + node _T_38587 = cat(_T_38586, _T_38583) @[Cat.scala 29:58] + node _T_38588 = cat(_T_38587, _T_38580) @[Cat.scala 29:58] + node _T_38589 = cat(_T_38588, _T_38577) @[Cat.scala 29:58] + node _T_38590 = cat(_T_38589, _T_38574) @[Cat.scala 29:58] + node _T_38591 = cat(_T_38590, _T_38571) @[Cat.scala 29:58] + node _T_38592 = cat(_T_38591, _T_38568) @[Cat.scala 29:58] + node _T_38593 = cat(_T_38592, _T_38565) @[Cat.scala 29:58] + node _T_38594 = bits(grev1_d, 31, 0) @[exu_mul_ctl.scala 167:134] + node grev2_d = mux(_T_38562, _T_38593, _T_38594) @[exu_mul_ctl.scala 167:20] + node _T_38595 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 169:30] + node _T_38596 = bits(grev2_d, 3, 0) @[exu_mul_ctl.scala 169:70] + node _T_38597 = bits(grev2_d, 7, 4) @[exu_mul_ctl.scala 169:85] + node _T_38598 = cat(_T_38596, _T_38597) @[Cat.scala 29:58] + node _T_38599 = bits(grev2_d, 11, 8) @[exu_mul_ctl.scala 169:70] + node _T_38600 = bits(grev2_d, 15, 12) @[exu_mul_ctl.scala 169:85] + node _T_38601 = cat(_T_38599, _T_38600) @[Cat.scala 29:58] + node _T_38602 = bits(grev2_d, 19, 16) @[exu_mul_ctl.scala 169:70] + node _T_38603 = bits(grev2_d, 23, 20) @[exu_mul_ctl.scala 169:85] + node _T_38604 = cat(_T_38602, _T_38603) @[Cat.scala 29:58] + node _T_38605 = bits(grev2_d, 27, 24) @[exu_mul_ctl.scala 169:70] + node _T_38606 = bits(grev2_d, 31, 28) @[exu_mul_ctl.scala 169:85] + node _T_38607 = cat(_T_38605, _T_38606) @[Cat.scala 29:58] + node _T_38608 = cat(_T_38607, _T_38604) @[Cat.scala 29:58] + node _T_38609 = cat(_T_38608, _T_38601) @[Cat.scala 29:58] + node _T_38610 = cat(_T_38609, _T_38598) @[Cat.scala 29:58] + node _T_38611 = bits(grev2_d, 31, 0) @[exu_mul_ctl.scala 169:134] + node grev4_d = mux(_T_38595, _T_38610, _T_38611) @[exu_mul_ctl.scala 169:20] + node _T_38612 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 171:30] + node _T_38613 = bits(grev4_d, 7, 0) @[exu_mul_ctl.scala 171:71] + node _T_38614 = bits(grev4_d, 15, 8) @[exu_mul_ctl.scala 171:86] + node _T_38615 = cat(_T_38613, _T_38614) @[Cat.scala 29:58] + node _T_38616 = bits(grev4_d, 23, 16) @[exu_mul_ctl.scala 171:71] + node _T_38617 = bits(grev4_d, 31, 24) @[exu_mul_ctl.scala 171:86] + node _T_38618 = cat(_T_38616, _T_38617) @[Cat.scala 29:58] + node _T_38619 = cat(_T_38618, _T_38615) @[Cat.scala 29:58] + node _T_38620 = bits(grev4_d, 31, 0) @[exu_mul_ctl.scala 171:134] + node grev8_d = mux(_T_38612, _T_38619, _T_38620) @[exu_mul_ctl.scala 171:20] + node _T_38621 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 173:30] + node _T_38622 = bits(grev8_d, 15, 0) @[exu_mul_ctl.scala 173:47] + node _T_38623 = bits(grev8_d, 31, 16) @[exu_mul_ctl.scala 173:61] + node _T_38624 = cat(_T_38622, _T_38623) @[Cat.scala 29:58] + node _T_38625 = bits(grev8_d, 31, 0) @[exu_mul_ctl.scala 173:78] + node grev_d = mux(_T_38621, _T_38624, _T_38625) @[exu_mul_ctl.scala 173:20] + node _T_38626 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 199:37] + node _T_38627 = bits(_T_38626, 0, 0) @[Bitwise.scala 72:15] + node _T_38628 = mux(_T_38627, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_38629 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 199:81] + node _T_38630 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 199:94] + node _T_38631 = cat(_T_38629, _T_38630) @[Cat.scala 29:58] + node _T_38632 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 199:81] + node _T_38633 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 199:94] + node _T_38634 = cat(_T_38632, _T_38633) @[Cat.scala 29:58] + node _T_38635 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 199:81] + node _T_38636 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 199:94] + node _T_38637 = cat(_T_38635, _T_38636) @[Cat.scala 29:58] + node _T_38638 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 199:81] + node _T_38639 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 199:94] + node _T_38640 = cat(_T_38638, _T_38639) @[Cat.scala 29:58] + node _T_38641 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 199:81] + node _T_38642 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 199:94] + node _T_38643 = cat(_T_38641, _T_38642) @[Cat.scala 29:58] + node _T_38644 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 199:81] + node _T_38645 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 199:94] + node _T_38646 = cat(_T_38644, _T_38645) @[Cat.scala 29:58] + node _T_38647 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 199:81] + node _T_38648 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 199:94] + node _T_38649 = cat(_T_38647, _T_38648) @[Cat.scala 29:58] + node _T_38650 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 199:81] + node _T_38651 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 199:94] + node _T_38652 = cat(_T_38650, _T_38651) @[Cat.scala 29:58] + node _T_38653 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 199:81] + node _T_38654 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 199:94] + node _T_38655 = cat(_T_38653, _T_38654) @[Cat.scala 29:58] + node _T_38656 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 199:81] + node _T_38657 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 199:94] + node _T_38658 = cat(_T_38656, _T_38657) @[Cat.scala 29:58] + node _T_38659 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 199:81] + node _T_38660 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 199:94] + node _T_38661 = cat(_T_38659, _T_38660) @[Cat.scala 29:58] + node _T_38662 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 199:81] + node _T_38663 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 199:94] + node _T_38664 = cat(_T_38662, _T_38663) @[Cat.scala 29:58] + node _T_38665 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 199:81] + node _T_38666 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 199:94] + node _T_38667 = cat(_T_38665, _T_38666) @[Cat.scala 29:58] + node _T_38668 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 199:81] + node _T_38669 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 199:94] + node _T_38670 = cat(_T_38668, _T_38669) @[Cat.scala 29:58] + node _T_38671 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 199:81] + node _T_38672 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 199:94] + node _T_38673 = cat(_T_38671, _T_38672) @[Cat.scala 29:58] + node _T_38674 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 199:81] + node _T_38675 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 199:94] + node _T_38676 = cat(_T_38674, _T_38675) @[Cat.scala 29:58] + node _T_38677 = cat(_T_38676, _T_38673) @[Cat.scala 29:58] + node _T_38678 = cat(_T_38677, _T_38670) @[Cat.scala 29:58] + node _T_38679 = cat(_T_38678, _T_38667) @[Cat.scala 29:58] + node _T_38680 = cat(_T_38679, _T_38664) @[Cat.scala 29:58] + node _T_38681 = cat(_T_38680, _T_38661) @[Cat.scala 29:58] + node _T_38682 = cat(_T_38681, _T_38658) @[Cat.scala 29:58] + node _T_38683 = cat(_T_38682, _T_38655) @[Cat.scala 29:58] + node _T_38684 = cat(_T_38683, _T_38652) @[Cat.scala 29:58] + node _T_38685 = cat(_T_38684, _T_38649) @[Cat.scala 29:58] + node _T_38686 = cat(_T_38685, _T_38646) @[Cat.scala 29:58] + node _T_38687 = cat(_T_38686, _T_38643) @[Cat.scala 29:58] + node _T_38688 = cat(_T_38687, _T_38640) @[Cat.scala 29:58] + node _T_38689 = cat(_T_38688, _T_38637) @[Cat.scala 29:58] + node _T_38690 = cat(_T_38689, _T_38634) @[Cat.scala 29:58] + node _T_38691 = cat(_T_38690, _T_38631) @[Cat.scala 29:58] + node _T_38692 = and(_T_38628, _T_38691) @[exu_mul_ctl.scala 199:42] + node gorc1_d = or(_T_38692, io.rs1_in) @[exu_mul_ctl.scala 199:129] + node _T_38693 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 201:37] + node _T_38694 = bits(_T_38693, 0, 0) @[Bitwise.scala 72:15] + node _T_38695 = mux(_T_38694, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_38696 = bits(gorc1_d, 1, 0) @[exu_mul_ctl.scala 201:79] + node _T_38697 = bits(gorc1_d, 3, 2) @[exu_mul_ctl.scala 201:94] + node _T_38698 = cat(_T_38696, _T_38697) @[Cat.scala 29:58] + node _T_38699 = bits(gorc1_d, 5, 4) @[exu_mul_ctl.scala 201:79] + node _T_38700 = bits(gorc1_d, 7, 6) @[exu_mul_ctl.scala 201:94] + node _T_38701 = cat(_T_38699, _T_38700) @[Cat.scala 29:58] + node _T_38702 = bits(gorc1_d, 9, 8) @[exu_mul_ctl.scala 201:79] + node _T_38703 = bits(gorc1_d, 11, 10) @[exu_mul_ctl.scala 201:94] + node _T_38704 = cat(_T_38702, _T_38703) @[Cat.scala 29:58] + node _T_38705 = bits(gorc1_d, 13, 12) @[exu_mul_ctl.scala 201:79] + node _T_38706 = bits(gorc1_d, 15, 14) @[exu_mul_ctl.scala 201:94] + node _T_38707 = cat(_T_38705, _T_38706) @[Cat.scala 29:58] + node _T_38708 = bits(gorc1_d, 17, 16) @[exu_mul_ctl.scala 201:79] + node _T_38709 = bits(gorc1_d, 19, 18) @[exu_mul_ctl.scala 201:94] + node _T_38710 = cat(_T_38708, _T_38709) @[Cat.scala 29:58] + node _T_38711 = bits(gorc1_d, 21, 20) @[exu_mul_ctl.scala 201:79] + node _T_38712 = bits(gorc1_d, 23, 22) @[exu_mul_ctl.scala 201:94] + node _T_38713 = cat(_T_38711, _T_38712) @[Cat.scala 29:58] + node _T_38714 = bits(gorc1_d, 25, 24) @[exu_mul_ctl.scala 201:79] + node _T_38715 = bits(gorc1_d, 27, 26) @[exu_mul_ctl.scala 201:94] + node _T_38716 = cat(_T_38714, _T_38715) @[Cat.scala 29:58] + node _T_38717 = bits(gorc1_d, 29, 28) @[exu_mul_ctl.scala 201:79] + node _T_38718 = bits(gorc1_d, 31, 30) @[exu_mul_ctl.scala 201:94] + node _T_38719 = cat(_T_38717, _T_38718) @[Cat.scala 29:58] + node _T_38720 = cat(_T_38719, _T_38716) @[Cat.scala 29:58] + node _T_38721 = cat(_T_38720, _T_38713) @[Cat.scala 29:58] + node _T_38722 = cat(_T_38721, _T_38710) @[Cat.scala 29:58] + node _T_38723 = cat(_T_38722, _T_38707) @[Cat.scala 29:58] + node _T_38724 = cat(_T_38723, _T_38704) @[Cat.scala 29:58] + node _T_38725 = cat(_T_38724, _T_38701) @[Cat.scala 29:58] + node _T_38726 = cat(_T_38725, _T_38698) @[Cat.scala 29:58] + node _T_38727 = and(_T_38695, _T_38726) @[exu_mul_ctl.scala 201:42] + node gorc2_d = or(_T_38727, gorc1_d) @[exu_mul_ctl.scala 201:135] + node _T_38728 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 203:37] + node _T_38729 = bits(_T_38728, 0, 0) @[Bitwise.scala 72:15] + node _T_38730 = mux(_T_38729, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_38731 = bits(gorc2_d, 3, 0) @[exu_mul_ctl.scala 203:79] + node _T_38732 = bits(gorc2_d, 7, 4) @[exu_mul_ctl.scala 203:94] + node _T_38733 = cat(_T_38731, _T_38732) @[Cat.scala 29:58] + node _T_38734 = bits(gorc2_d, 11, 8) @[exu_mul_ctl.scala 203:79] + node _T_38735 = bits(gorc2_d, 15, 12) @[exu_mul_ctl.scala 203:94] + node _T_38736 = cat(_T_38734, _T_38735) @[Cat.scala 29:58] + node _T_38737 = bits(gorc2_d, 19, 16) @[exu_mul_ctl.scala 203:79] + node _T_38738 = bits(gorc2_d, 23, 20) @[exu_mul_ctl.scala 203:94] + node _T_38739 = cat(_T_38737, _T_38738) @[Cat.scala 29:58] + node _T_38740 = bits(gorc2_d, 27, 24) @[exu_mul_ctl.scala 203:79] + node _T_38741 = bits(gorc2_d, 31, 28) @[exu_mul_ctl.scala 203:94] + node _T_38742 = cat(_T_38740, _T_38741) @[Cat.scala 29:58] + node _T_38743 = cat(_T_38742, _T_38739) @[Cat.scala 29:58] + node _T_38744 = cat(_T_38743, _T_38736) @[Cat.scala 29:58] + node _T_38745 = cat(_T_38744, _T_38733) @[Cat.scala 29:58] + node _T_38746 = and(_T_38730, _T_38745) @[exu_mul_ctl.scala 203:42] + node gorc4_d = or(_T_38746, gorc2_d) @[exu_mul_ctl.scala 203:135] + node _T_38747 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 205:37] + node _T_38748 = bits(_T_38747, 0, 0) @[Bitwise.scala 72:15] + node _T_38749 = mux(_T_38748, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_38750 = bits(gorc4_d, 7, 0) @[exu_mul_ctl.scala 205:80] + node _T_38751 = bits(gorc4_d, 15, 8) @[exu_mul_ctl.scala 205:95] + node _T_38752 = cat(_T_38750, _T_38751) @[Cat.scala 29:58] + node _T_38753 = bits(gorc4_d, 23, 16) @[exu_mul_ctl.scala 205:80] + node _T_38754 = bits(gorc4_d, 31, 24) @[exu_mul_ctl.scala 205:95] + node _T_38755 = cat(_T_38753, _T_38754) @[Cat.scala 29:58] + node _T_38756 = cat(_T_38755, _T_38752) @[Cat.scala 29:58] + node _T_38757 = and(_T_38749, _T_38756) @[exu_mul_ctl.scala 205:42] + node gorc8_d = or(_T_38757, gorc4_d) @[exu_mul_ctl.scala 205:136] + node _T_38758 = bits(io.rs2_in, 4, 4) @[exu_mul_ctl.scala 207:37] + node _T_38759 = bits(_T_38758, 0, 0) @[Bitwise.scala 72:15] + node _T_38760 = mux(_T_38759, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_38761 = bits(gorc8_d, 15, 0) @[exu_mul_ctl.scala 207:55] + node _T_38762 = bits(gorc8_d, 31, 16) @[exu_mul_ctl.scala 207:69] + node _T_38763 = cat(_T_38761, _T_38762) @[Cat.scala 29:58] + node _T_38764 = and(_T_38760, _T_38763) @[exu_mul_ctl.scala 207:42] + node gorc_d = or(_T_38764, gorc8_d) @[exu_mul_ctl.scala 207:80] + node _T_38765 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 236:30] + node _T_38766 = bits(io.rs1_in, 23, 16) @[exu_mul_ctl.scala 236:69] + node _T_38767 = bits(io.rs1_in, 7, 0) @[exu_mul_ctl.scala 236:92] + node _T_38768 = cat(_T_38766, _T_38767) @[Cat.scala 29:58] + node _T_38769 = bits(io.rs1_in, 31, 24) @[exu_mul_ctl.scala 236:69] + node _T_38770 = bits(io.rs1_in, 15, 8) @[exu_mul_ctl.scala 236:92] + node _T_38771 = cat(_T_38769, _T_38770) @[Cat.scala 29:58] + node _T_38772 = cat(_T_38771, _T_38768) @[Cat.scala 29:58] + node shfl8_d = mux(_T_38765, _T_38772, io.rs1_in) @[exu_mul_ctl.scala 236:20] + node _T_38773 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 238:30] + node _T_38774 = bits(shfl8_d, 11, 8) @[exu_mul_ctl.scala 238:76] + node _T_38775 = bits(shfl8_d, 3, 0) @[exu_mul_ctl.scala 238:95] + node _T_38776 = cat(_T_38774, _T_38775) @[Cat.scala 29:58] + node _T_38777 = bits(shfl8_d, 15, 12) @[exu_mul_ctl.scala 238:76] + node _T_38778 = bits(shfl8_d, 7, 4) @[exu_mul_ctl.scala 238:95] + node _T_38779 = cat(_T_38777, _T_38778) @[Cat.scala 29:58] + node _T_38780 = bits(shfl8_d, 27, 24) @[exu_mul_ctl.scala 238:120] + node _T_38781 = bits(shfl8_d, 19, 16) @[exu_mul_ctl.scala 238:143] + node _T_38782 = cat(_T_38780, _T_38781) @[Cat.scala 29:58] + node _T_38783 = bits(shfl8_d, 31, 28) @[exu_mul_ctl.scala 238:120] + node _T_38784 = bits(shfl8_d, 23, 20) @[exu_mul_ctl.scala 238:143] + node _T_38785 = cat(_T_38783, _T_38784) @[Cat.scala 29:58] + node _T_38786 = cat(_T_38785, _T_38782) @[Cat.scala 29:58] + node _T_38787 = cat(_T_38786, _T_38779) @[Cat.scala 29:58] + node _T_38788 = cat(_T_38787, _T_38776) @[Cat.scala 29:58] + node shfl4_d = mux(_T_38773, _T_38788, shfl8_d) @[exu_mul_ctl.scala 238:20] + node _T_38789 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 240:30] + node _T_38790 = bits(shfl4_d, 5, 4) @[exu_mul_ctl.scala 240:76] + node _T_38791 = bits(shfl4_d, 1, 0) @[exu_mul_ctl.scala 240:95] + node _T_38792 = cat(_T_38790, _T_38791) @[Cat.scala 29:58] + node _T_38793 = bits(shfl4_d, 7, 6) @[exu_mul_ctl.scala 240:76] + node _T_38794 = bits(shfl4_d, 3, 2) @[exu_mul_ctl.scala 240:95] + node _T_38795 = cat(_T_38793, _T_38794) @[Cat.scala 29:58] + node _T_38796 = bits(shfl4_d, 13, 12) @[exu_mul_ctl.scala 240:126] + node _T_38797 = bits(shfl4_d, 9, 8) @[exu_mul_ctl.scala 240:143] + node _T_38798 = cat(_T_38796, _T_38797) @[Cat.scala 29:58] + node _T_38799 = bits(shfl4_d, 15, 14) @[exu_mul_ctl.scala 240:126] + node _T_38800 = bits(shfl4_d, 11, 10) @[exu_mul_ctl.scala 240:143] + node _T_38801 = cat(_T_38799, _T_38800) @[Cat.scala 29:58] + node _T_38802 = bits(shfl4_d, 21, 20) @[exu_mul_ctl.scala 240:177] + node _T_38803 = bits(shfl4_d, 17, 16) @[exu_mul_ctl.scala 240:196] + node _T_38804 = cat(_T_38802, _T_38803) @[Cat.scala 29:58] + node _T_38805 = bits(shfl4_d, 23, 22) @[exu_mul_ctl.scala 240:177] + node _T_38806 = bits(shfl4_d, 19, 18) @[exu_mul_ctl.scala 240:196] + node _T_38807 = cat(_T_38805, _T_38806) @[Cat.scala 29:58] + node _T_38808 = bits(shfl4_d, 29, 28) @[exu_mul_ctl.scala 240:222] + node _T_38809 = bits(shfl4_d, 25, 24) @[exu_mul_ctl.scala 240:241] + node _T_38810 = cat(_T_38808, _T_38809) @[Cat.scala 29:58] + node _T_38811 = bits(shfl4_d, 31, 30) @[exu_mul_ctl.scala 240:222] + node _T_38812 = bits(shfl4_d, 27, 26) @[exu_mul_ctl.scala 240:241] + node _T_38813 = cat(_T_38811, _T_38812) @[Cat.scala 29:58] + node _T_38814 = cat(_T_38813, _T_38810) @[Cat.scala 29:58] + node _T_38815 = cat(_T_38814, _T_38807) @[Cat.scala 29:58] + node _T_38816 = cat(_T_38815, _T_38804) @[Cat.scala 29:58] + node _T_38817 = cat(_T_38816, _T_38801) @[Cat.scala 29:58] + node _T_38818 = cat(_T_38817, _T_38798) @[Cat.scala 29:58] + node _T_38819 = cat(_T_38818, _T_38795) @[Cat.scala 29:58] + node _T_38820 = cat(_T_38819, _T_38792) @[Cat.scala 29:58] + node shfl2_d = mux(_T_38789, _T_38820, shfl4_d) @[exu_mul_ctl.scala 240:20] + node _T_38821 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 242:30] + node _T_38822 = bits(shfl2_d, 2, 2) @[exu_mul_ctl.scala 242:77] + node _T_38823 = bits(shfl2_d, 0, 0) @[exu_mul_ctl.scala 242:90] + node _T_38824 = cat(_T_38822, _T_38823) @[Cat.scala 29:58] + node _T_38825 = bits(shfl2_d, 3, 3) @[exu_mul_ctl.scala 242:77] + node _T_38826 = bits(shfl2_d, 1, 1) @[exu_mul_ctl.scala 242:90] + node _T_38827 = cat(_T_38825, _T_38826) @[Cat.scala 29:58] + node _T_38828 = bits(shfl2_d, 6, 6) @[exu_mul_ctl.scala 242:119] + node _T_38829 = bits(shfl2_d, 4, 4) @[exu_mul_ctl.scala 242:132] + node _T_38830 = cat(_T_38828, _T_38829) @[Cat.scala 29:58] + node _T_38831 = bits(shfl2_d, 7, 7) @[exu_mul_ctl.scala 242:119] + node _T_38832 = bits(shfl2_d, 5, 5) @[exu_mul_ctl.scala 242:132] + node _T_38833 = cat(_T_38831, _T_38832) @[Cat.scala 29:58] + node _T_38834 = bits(shfl2_d, 10, 10) @[exu_mul_ctl.scala 242:163] + node _T_38835 = bits(shfl2_d, 8, 8) @[exu_mul_ctl.scala 242:176] + node _T_38836 = cat(_T_38834, _T_38835) @[Cat.scala 29:58] + node _T_38837 = bits(shfl2_d, 11, 11) @[exu_mul_ctl.scala 242:163] + node _T_38838 = bits(shfl2_d, 9, 9) @[exu_mul_ctl.scala 242:176] + node _T_38839 = cat(_T_38837, _T_38838) @[Cat.scala 29:58] + node _T_38840 = bits(shfl2_d, 14, 14) @[exu_mul_ctl.scala 242:207] + node _T_38841 = bits(shfl2_d, 12, 12) @[exu_mul_ctl.scala 242:220] + node _T_38842 = cat(_T_38840, _T_38841) @[Cat.scala 29:58] + node _T_38843 = bits(shfl2_d, 15, 15) @[exu_mul_ctl.scala 242:207] + node _T_38844 = bits(shfl2_d, 13, 13) @[exu_mul_ctl.scala 242:220] + node _T_38845 = cat(_T_38843, _T_38844) @[Cat.scala 29:58] + node _T_38846 = bits(shfl2_d, 18, 18) @[exu_mul_ctl.scala 242:252] + node _T_38847 = bits(shfl2_d, 16, 16) @[exu_mul_ctl.scala 242:266] + node _T_38848 = cat(_T_38846, _T_38847) @[Cat.scala 29:58] + node _T_38849 = bits(shfl2_d, 19, 19) @[exu_mul_ctl.scala 242:252] + node _T_38850 = bits(shfl2_d, 17, 17) @[exu_mul_ctl.scala 242:266] + node _T_38851 = cat(_T_38849, _T_38850) @[Cat.scala 29:58] + node _T_38852 = bits(shfl2_d, 22, 22) @[exu_mul_ctl.scala 242:298] + node _T_38853 = bits(shfl2_d, 20, 20) @[exu_mul_ctl.scala 242:312] + node _T_38854 = cat(_T_38852, _T_38853) @[Cat.scala 29:58] + node _T_38855 = bits(shfl2_d, 23, 23) @[exu_mul_ctl.scala 242:298] + node _T_38856 = bits(shfl2_d, 21, 21) @[exu_mul_ctl.scala 242:312] + node _T_38857 = cat(_T_38855, _T_38856) @[Cat.scala 29:58] + node _T_38858 = bits(shfl2_d, 26, 26) @[exu_mul_ctl.scala 242:345] + node _T_38859 = bits(shfl2_d, 24, 24) @[exu_mul_ctl.scala 242:359] + node _T_38860 = cat(_T_38858, _T_38859) @[Cat.scala 29:58] + node _T_38861 = bits(shfl2_d, 27, 27) @[exu_mul_ctl.scala 242:345] + node _T_38862 = bits(shfl2_d, 25, 25) @[exu_mul_ctl.scala 242:359] + node _T_38863 = cat(_T_38861, _T_38862) @[Cat.scala 29:58] + node _T_38864 = bits(shfl2_d, 30, 30) @[exu_mul_ctl.scala 242:383] + node _T_38865 = bits(shfl2_d, 28, 28) @[exu_mul_ctl.scala 242:397] + node _T_38866 = cat(_T_38864, _T_38865) @[Cat.scala 29:58] + node _T_38867 = bits(shfl2_d, 31, 31) @[exu_mul_ctl.scala 242:383] + node _T_38868 = bits(shfl2_d, 29, 29) @[exu_mul_ctl.scala 242:397] + node _T_38869 = cat(_T_38867, _T_38868) @[Cat.scala 29:58] + node _T_38870 = cat(_T_38869, _T_38866) @[Cat.scala 29:58] + node _T_38871 = cat(_T_38870, _T_38863) @[Cat.scala 29:58] + node _T_38872 = cat(_T_38871, _T_38860) @[Cat.scala 29:58] + node _T_38873 = cat(_T_38872, _T_38857) @[Cat.scala 29:58] + node _T_38874 = cat(_T_38873, _T_38854) @[Cat.scala 29:58] + node _T_38875 = cat(_T_38874, _T_38851) @[Cat.scala 29:58] + node _T_38876 = cat(_T_38875, _T_38848) @[Cat.scala 29:58] + node _T_38877 = cat(_T_38876, _T_38845) @[Cat.scala 29:58] + node _T_38878 = cat(_T_38877, _T_38842) @[Cat.scala 29:58] + node _T_38879 = cat(_T_38878, _T_38839) @[Cat.scala 29:58] + node _T_38880 = cat(_T_38879, _T_38836) @[Cat.scala 29:58] + node _T_38881 = cat(_T_38880, _T_38833) @[Cat.scala 29:58] + node _T_38882 = cat(_T_38881, _T_38830) @[Cat.scala 29:58] + node _T_38883 = cat(_T_38882, _T_38827) @[Cat.scala 29:58] + node _T_38884 = cat(_T_38883, _T_38824) @[Cat.scala 29:58] + node shfl_d = mux(_T_38821, _T_38884, shfl2_d) @[exu_mul_ctl.scala 242:20] + node _T_38885 = bits(io.rs2_in, 0, 0) @[exu_mul_ctl.scala 261:35] + node _T_38886 = bits(io.rs1_in, 2, 2) @[exu_mul_ctl.scala 261:85] + node _T_38887 = bits(io.rs1_in, 0, 0) @[exu_mul_ctl.scala 261:100] + node _T_38888 = cat(_T_38886, _T_38887) @[Cat.scala 29:58] + node _T_38889 = bits(io.rs1_in, 3, 3) @[exu_mul_ctl.scala 261:85] + node _T_38890 = bits(io.rs1_in, 1, 1) @[exu_mul_ctl.scala 261:100] + node _T_38891 = cat(_T_38889, _T_38890) @[Cat.scala 29:58] + node _T_38892 = bits(io.rs1_in, 6, 6) @[exu_mul_ctl.scala 261:131] + node _T_38893 = bits(io.rs1_in, 4, 4) @[exu_mul_ctl.scala 261:146] + node _T_38894 = cat(_T_38892, _T_38893) @[Cat.scala 29:58] + node _T_38895 = bits(io.rs1_in, 7, 7) @[exu_mul_ctl.scala 261:131] + node _T_38896 = bits(io.rs1_in, 5, 5) @[exu_mul_ctl.scala 261:146] + node _T_38897 = cat(_T_38895, _T_38896) @[Cat.scala 29:58] + node _T_38898 = bits(io.rs1_in, 10, 10) @[exu_mul_ctl.scala 261:179] + node _T_38899 = bits(io.rs1_in, 8, 8) @[exu_mul_ctl.scala 261:194] + node _T_38900 = cat(_T_38898, _T_38899) @[Cat.scala 29:58] + node _T_38901 = bits(io.rs1_in, 11, 11) @[exu_mul_ctl.scala 261:179] + node _T_38902 = bits(io.rs1_in, 9, 9) @[exu_mul_ctl.scala 261:194] + node _T_38903 = cat(_T_38901, _T_38902) @[Cat.scala 29:58] + node _T_38904 = bits(io.rs1_in, 14, 14) @[exu_mul_ctl.scala 261:227] + node _T_38905 = bits(io.rs1_in, 12, 12) @[exu_mul_ctl.scala 261:242] + node _T_38906 = cat(_T_38904, _T_38905) @[Cat.scala 29:58] + node _T_38907 = bits(io.rs1_in, 15, 15) @[exu_mul_ctl.scala 261:227] + node _T_38908 = bits(io.rs1_in, 13, 13) @[exu_mul_ctl.scala 261:242] + node _T_38909 = cat(_T_38907, _T_38908) @[Cat.scala 29:58] + node _T_38910 = bits(io.rs1_in, 18, 18) @[exu_mul_ctl.scala 261:276] + node _T_38911 = bits(io.rs1_in, 16, 16) @[exu_mul_ctl.scala 261:292] + node _T_38912 = cat(_T_38910, _T_38911) @[Cat.scala 29:58] + node _T_38913 = bits(io.rs1_in, 19, 19) @[exu_mul_ctl.scala 261:276] + node _T_38914 = bits(io.rs1_in, 17, 17) @[exu_mul_ctl.scala 261:292] + node _T_38915 = cat(_T_38913, _T_38914) @[Cat.scala 29:58] + node _T_38916 = bits(io.rs1_in, 22, 22) @[exu_mul_ctl.scala 261:326] + node _T_38917 = bits(io.rs1_in, 20, 20) @[exu_mul_ctl.scala 261:342] + node _T_38918 = cat(_T_38916, _T_38917) @[Cat.scala 29:58] + node _T_38919 = bits(io.rs1_in, 23, 23) @[exu_mul_ctl.scala 261:326] + node _T_38920 = bits(io.rs1_in, 21, 21) @[exu_mul_ctl.scala 261:342] + node _T_38921 = cat(_T_38919, _T_38920) @[Cat.scala 29:58] + node _T_38922 = bits(io.rs1_in, 26, 26) @[exu_mul_ctl.scala 261:377] + node _T_38923 = bits(io.rs1_in, 24, 24) @[exu_mul_ctl.scala 261:393] + node _T_38924 = cat(_T_38922, _T_38923) @[Cat.scala 29:58] + node _T_38925 = bits(io.rs1_in, 27, 27) @[exu_mul_ctl.scala 261:377] + node _T_38926 = bits(io.rs1_in, 25, 25) @[exu_mul_ctl.scala 261:393] + node _T_38927 = cat(_T_38925, _T_38926) @[Cat.scala 29:58] + node _T_38928 = bits(io.rs1_in, 30, 30) @[exu_mul_ctl.scala 261:419] + node _T_38929 = bits(io.rs1_in, 28, 28) @[exu_mul_ctl.scala 261:435] + node _T_38930 = cat(_T_38928, _T_38929) @[Cat.scala 29:58] + node _T_38931 = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 261:419] + node _T_38932 = bits(io.rs1_in, 29, 29) @[exu_mul_ctl.scala 261:435] + node _T_38933 = cat(_T_38931, _T_38932) @[Cat.scala 29:58] + node _T_38934 = cat(_T_38933, _T_38930) @[Cat.scala 29:58] + node _T_38935 = cat(_T_38934, _T_38927) @[Cat.scala 29:58] + node _T_38936 = cat(_T_38935, _T_38924) @[Cat.scala 29:58] + node _T_38937 = cat(_T_38936, _T_38921) @[Cat.scala 29:58] + node _T_38938 = cat(_T_38937, _T_38918) @[Cat.scala 29:58] + node _T_38939 = cat(_T_38938, _T_38915) @[Cat.scala 29:58] + node _T_38940 = cat(_T_38939, _T_38912) @[Cat.scala 29:58] + node _T_38941 = cat(_T_38940, _T_38909) @[Cat.scala 29:58] + node _T_38942 = cat(_T_38941, _T_38906) @[Cat.scala 29:58] + node _T_38943 = cat(_T_38942, _T_38903) @[Cat.scala 29:58] + node _T_38944 = cat(_T_38943, _T_38900) @[Cat.scala 29:58] + node _T_38945 = cat(_T_38944, _T_38897) @[Cat.scala 29:58] + node _T_38946 = cat(_T_38945, _T_38894) @[Cat.scala 29:58] + node _T_38947 = cat(_T_38946, _T_38891) @[Cat.scala 29:58] + node _T_38948 = cat(_T_38947, _T_38888) @[Cat.scala 29:58] + node unshfl1_d = mux(_T_38885, _T_38948, io.rs1_in) @[exu_mul_ctl.scala 261:25] + node _T_38949 = bits(io.rs2_in, 1, 1) @[exu_mul_ctl.scala 263:35] + node _T_38950 = bits(unshfl1_d, 5, 4) @[exu_mul_ctl.scala 263:84] + node _T_38951 = bits(unshfl1_d, 1, 0) @[exu_mul_ctl.scala 263:105] + node _T_38952 = cat(_T_38950, _T_38951) @[Cat.scala 29:58] + node _T_38953 = bits(unshfl1_d, 7, 6) @[exu_mul_ctl.scala 263:84] + node _T_38954 = bits(unshfl1_d, 3, 2) @[exu_mul_ctl.scala 263:105] + node _T_38955 = cat(_T_38953, _T_38954) @[Cat.scala 29:58] + node _T_38956 = bits(unshfl1_d, 13, 12) @[exu_mul_ctl.scala 263:138] + node _T_38957 = bits(unshfl1_d, 9, 8) @[exu_mul_ctl.scala 263:157] + node _T_38958 = cat(_T_38956, _T_38957) @[Cat.scala 29:58] + node _T_38959 = bits(unshfl1_d, 15, 14) @[exu_mul_ctl.scala 263:138] + node _T_38960 = bits(unshfl1_d, 11, 10) @[exu_mul_ctl.scala 263:157] + node _T_38961 = cat(_T_38959, _T_38960) @[Cat.scala 29:58] + node _T_38962 = bits(unshfl1_d, 21, 20) @[exu_mul_ctl.scala 263:193] + node _T_38963 = bits(unshfl1_d, 17, 16) @[exu_mul_ctl.scala 263:214] + node _T_38964 = cat(_T_38962, _T_38963) @[Cat.scala 29:58] + node _T_38965 = bits(unshfl1_d, 23, 22) @[exu_mul_ctl.scala 263:193] + node _T_38966 = bits(unshfl1_d, 19, 18) @[exu_mul_ctl.scala 263:214] + node _T_38967 = cat(_T_38965, _T_38966) @[Cat.scala 29:58] + node _T_38968 = bits(unshfl1_d, 29, 28) @[exu_mul_ctl.scala 263:242] + node _T_38969 = bits(unshfl1_d, 25, 24) @[exu_mul_ctl.scala 263:263] + node _T_38970 = cat(_T_38968, _T_38969) @[Cat.scala 29:58] + node _T_38971 = bits(unshfl1_d, 31, 30) @[exu_mul_ctl.scala 263:242] + node _T_38972 = bits(unshfl1_d, 27, 26) @[exu_mul_ctl.scala 263:263] + node _T_38973 = cat(_T_38971, _T_38972) @[Cat.scala 29:58] + node _T_38974 = cat(_T_38973, _T_38970) @[Cat.scala 29:58] + node _T_38975 = cat(_T_38974, _T_38967) @[Cat.scala 29:58] + node _T_38976 = cat(_T_38975, _T_38964) @[Cat.scala 29:58] + node _T_38977 = cat(_T_38976, _T_38961) @[Cat.scala 29:58] + node _T_38978 = cat(_T_38977, _T_38958) @[Cat.scala 29:58] + node _T_38979 = cat(_T_38978, _T_38955) @[Cat.scala 29:58] + node _T_38980 = cat(_T_38979, _T_38952) @[Cat.scala 29:58] + node unshfl2_d = mux(_T_38949, _T_38980, unshfl1_d) @[exu_mul_ctl.scala 263:25] + node _T_38981 = bits(io.rs2_in, 2, 2) @[exu_mul_ctl.scala 265:35] + node _T_38982 = bits(unshfl2_d, 11, 8) @[exu_mul_ctl.scala 265:85] + node _T_38983 = bits(unshfl2_d, 3, 0) @[exu_mul_ctl.scala 265:106] + node _T_38984 = cat(_T_38982, _T_38983) @[Cat.scala 29:58] + node _T_38985 = bits(unshfl2_d, 15, 12) @[exu_mul_ctl.scala 265:85] + node _T_38986 = bits(unshfl2_d, 7, 4) @[exu_mul_ctl.scala 265:106] + node _T_38987 = cat(_T_38985, _T_38986) @[Cat.scala 29:58] + node _T_38988 = bits(unshfl2_d, 27, 24) @[exu_mul_ctl.scala 265:133] + node _T_38989 = bits(unshfl2_d, 19, 16) @[exu_mul_ctl.scala 265:158] + node _T_38990 = cat(_T_38988, _T_38989) @[Cat.scala 29:58] + node _T_38991 = bits(unshfl2_d, 31, 28) @[exu_mul_ctl.scala 265:133] + node _T_38992 = bits(unshfl2_d, 23, 20) @[exu_mul_ctl.scala 265:158] + node _T_38993 = cat(_T_38991, _T_38992) @[Cat.scala 29:58] + node _T_38994 = cat(_T_38993, _T_38990) @[Cat.scala 29:58] + node _T_38995 = cat(_T_38994, _T_38987) @[Cat.scala 29:58] + node _T_38996 = cat(_T_38995, _T_38984) @[Cat.scala 29:58] + node unshfl4_d = mux(_T_38981, _T_38996, unshfl2_d) @[exu_mul_ctl.scala 265:25] + node _T_38997 = bits(io.rs2_in, 3, 3) @[exu_mul_ctl.scala 267:35] + node _T_38998 = bits(unshfl4_d, 23, 16) @[exu_mul_ctl.scala 267:76] + node _T_38999 = bits(unshfl4_d, 7, 0) @[exu_mul_ctl.scala 267:99] + node _T_39000 = cat(_T_38998, _T_38999) @[Cat.scala 29:58] + node _T_39001 = bits(unshfl4_d, 31, 24) @[exu_mul_ctl.scala 267:76] + node _T_39002 = bits(unshfl4_d, 15, 8) @[exu_mul_ctl.scala 267:99] + node _T_39003 = cat(_T_39001, _T_39002) @[Cat.scala 29:58] + node _T_39004 = cat(_T_39003, _T_39000) @[Cat.scala 29:58] + node unshfl_d = mux(_T_38997, _T_39004, unshfl4_d) @[exu_mul_ctl.scala 267:25] + node _T_39005 = bits(io.rs2_in, 27, 24) @[exu_mul_ctl.scala 273:41] + node _T_39006 = eq(_T_39005, UInt<1>("h00")) @[exu_mul_ctl.scala 273:49] + node _T_39007 = bits(io.rs2_in, 27, 24) @[exu_mul_ctl.scala 273:66] + node bfp_len = cat(_T_39006, _T_39007) @[Cat.scala 29:58] + node bfp_off = bits(io.rs2_in, 20, 16) @[exu_mul_ctl.scala 274:32] + node bfp_len_mask_ = dshl(UInt<32>("h0ffffffff"), bfp_len) @[exu_mul_ctl.scala 276:49] + node _T_39008 = bits(io.rs2_in, 15, 0) @[exu_mul_ctl.scala 277:38] + node _T_39009 = bits(bfp_len_mask_, 15, 0) @[exu_mul_ctl.scala 277:61] + node _T_39010 = not(_T_39009) @[exu_mul_ctl.scala 277:47] + node bfp_preshift_data = and(_T_39008, _T_39010) @[exu_mul_ctl.scala 277:45] + node _T_39011 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_39012 = bits(bfp_preshift_data, 15, 0) @[exu_mul_ctl.scala 279:60] + node _T_39013 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_39014 = bits(bfp_preshift_data, 15, 0) @[exu_mul_ctl.scala 279:98] + node _T_39015 = cat(_T_39013, _T_39014) @[Cat.scala 29:58] + node _T_39016 = cat(_T_39011, _T_39012) @[Cat.scala 29:58] + node _T_39017 = cat(_T_39016, _T_39015) @[Cat.scala 29:58] + node bfp_shift_data = dshl(_T_39017, bfp_off) @[exu_mul_ctl.scala 279:107] + node _T_39018 = bits(bfp_len_mask_, 31, 0) @[exu_mul_ctl.scala 280:43] + node _T_39019 = bits(bfp_len_mask_, 31, 0) @[exu_mul_ctl.scala 280:64] + node _T_39020 = cat(_T_39018, _T_39019) @[Cat.scala 29:58] + node bfp_shift_mask = dshl(_T_39020, bfp_off) @[exu_mul_ctl.scala 280:73] + node _T_39021 = bits(bfp_shift_data, 63, 32) @[exu_mul_ctl.scala 282:40] + node _T_39022 = bits(bfp_shift_mask, 63, 32) @[exu_mul_ctl.scala 282:77] + node _T_39023 = and(io.rs1_in, _T_39022) @[exu_mul_ctl.scala 282:61] + node bfp_result_d = or(_T_39021, _T_39023) @[exu_mul_ctl.scala 282:48] + node _T_39024 = or(ap_crc32_b, ap_crc32_h) @[exu_mul_ctl.scala 312:45] + node _T_39025 = or(_T_39024, ap_crc32_w) @[exu_mul_ctl.scala 312:59] + node _T_39026 = or(_T_39025, ap_crc32c_b) @[exu_mul_ctl.scala 312:72] + node _T_39027 = or(_T_39026, ap_crc32c_h) @[exu_mul_ctl.scala 312:86] + node crc32_all = or(_T_39027, ap_crc32c_w) @[exu_mul_ctl.scala 312:100] + wire crc32_bd : UInt<32>[9] @[exu_mul_ctl.scala 318:34] + crc32_bd[0] <= io.rs1_in @[exu_mul_ctl.scala 319:15] + node _T_39028 = shr(crc32_bd[0], 1) @[exu_mul_ctl.scala 321:35] + node _T_39029 = bits(crc32_bd[0], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39030 = bits(_T_39029, 0, 0) @[Bitwise.scala 72:15] + node _T_39031 = mux(_T_39030, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39032 = and(UInt<32>("h0edb88320"), _T_39031) @[exu_mul_ctl.scala 321:59] + node _T_39033 = xor(_T_39028, _T_39032) @[exu_mul_ctl.scala 321:41] + crc32_bd[1] <= _T_39033 @[exu_mul_ctl.scala 321:17] + node _T_39034 = shr(crc32_bd[1], 1) @[exu_mul_ctl.scala 321:35] + node _T_39035 = bits(crc32_bd[1], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39036 = bits(_T_39035, 0, 0) @[Bitwise.scala 72:15] + node _T_39037 = mux(_T_39036, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39038 = and(UInt<32>("h0edb88320"), _T_39037) @[exu_mul_ctl.scala 321:59] + node _T_39039 = xor(_T_39034, _T_39038) @[exu_mul_ctl.scala 321:41] + crc32_bd[2] <= _T_39039 @[exu_mul_ctl.scala 321:17] + node _T_39040 = shr(crc32_bd[2], 1) @[exu_mul_ctl.scala 321:35] + node _T_39041 = bits(crc32_bd[2], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39042 = bits(_T_39041, 0, 0) @[Bitwise.scala 72:15] + node _T_39043 = mux(_T_39042, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39044 = and(UInt<32>("h0edb88320"), _T_39043) @[exu_mul_ctl.scala 321:59] + node _T_39045 = xor(_T_39040, _T_39044) @[exu_mul_ctl.scala 321:41] + crc32_bd[3] <= _T_39045 @[exu_mul_ctl.scala 321:17] + node _T_39046 = shr(crc32_bd[3], 1) @[exu_mul_ctl.scala 321:35] + node _T_39047 = bits(crc32_bd[3], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39048 = bits(_T_39047, 0, 0) @[Bitwise.scala 72:15] + node _T_39049 = mux(_T_39048, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39050 = and(UInt<32>("h0edb88320"), _T_39049) @[exu_mul_ctl.scala 321:59] + node _T_39051 = xor(_T_39046, _T_39050) @[exu_mul_ctl.scala 321:41] + crc32_bd[4] <= _T_39051 @[exu_mul_ctl.scala 321:17] + node _T_39052 = shr(crc32_bd[4], 1) @[exu_mul_ctl.scala 321:35] + node _T_39053 = bits(crc32_bd[4], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39054 = bits(_T_39053, 0, 0) @[Bitwise.scala 72:15] + node _T_39055 = mux(_T_39054, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39056 = and(UInt<32>("h0edb88320"), _T_39055) @[exu_mul_ctl.scala 321:59] + node _T_39057 = xor(_T_39052, _T_39056) @[exu_mul_ctl.scala 321:41] + crc32_bd[5] <= _T_39057 @[exu_mul_ctl.scala 321:17] + node _T_39058 = shr(crc32_bd[5], 1) @[exu_mul_ctl.scala 321:35] + node _T_39059 = bits(crc32_bd[5], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39060 = bits(_T_39059, 0, 0) @[Bitwise.scala 72:15] + node _T_39061 = mux(_T_39060, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39062 = and(UInt<32>("h0edb88320"), _T_39061) @[exu_mul_ctl.scala 321:59] + node _T_39063 = xor(_T_39058, _T_39062) @[exu_mul_ctl.scala 321:41] + crc32_bd[6] <= _T_39063 @[exu_mul_ctl.scala 321:17] + node _T_39064 = shr(crc32_bd[6], 1) @[exu_mul_ctl.scala 321:35] + node _T_39065 = bits(crc32_bd[6], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39066 = bits(_T_39065, 0, 0) @[Bitwise.scala 72:15] + node _T_39067 = mux(_T_39066, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39068 = and(UInt<32>("h0edb88320"), _T_39067) @[exu_mul_ctl.scala 321:59] + node _T_39069 = xor(_T_39064, _T_39068) @[exu_mul_ctl.scala 321:41] + crc32_bd[7] <= _T_39069 @[exu_mul_ctl.scala 321:17] + node _T_39070 = shr(crc32_bd[7], 1) @[exu_mul_ctl.scala 321:35] + node _T_39071 = bits(crc32_bd[7], 0, 0) @[exu_mul_ctl.scala 321:82] + node _T_39072 = bits(_T_39071, 0, 0) @[Bitwise.scala 72:15] + node _T_39073 = mux(_T_39072, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39074 = and(UInt<32>("h0edb88320"), _T_39073) @[exu_mul_ctl.scala 321:59] + node _T_39075 = xor(_T_39070, _T_39074) @[exu_mul_ctl.scala 321:41] + crc32_bd[8] <= _T_39075 @[exu_mul_ctl.scala 321:17] + wire crc32_hd : UInt<32>[17] @[exu_mul_ctl.scala 324:34] + crc32_hd[0] <= io.rs1_in @[exu_mul_ctl.scala 325:15] + node _T_39076 = shr(crc32_hd[0], 1) @[exu_mul_ctl.scala 327:35] + node _T_39077 = bits(crc32_hd[0], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39078 = bits(_T_39077, 0, 0) @[Bitwise.scala 72:15] + node _T_39079 = mux(_T_39078, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39080 = and(UInt<32>("h0edb88320"), _T_39079) @[exu_mul_ctl.scala 327:59] + node _T_39081 = xor(_T_39076, _T_39080) @[exu_mul_ctl.scala 327:41] + crc32_hd[1] <= _T_39081 @[exu_mul_ctl.scala 327:17] + node _T_39082 = shr(crc32_hd[1], 1) @[exu_mul_ctl.scala 327:35] + node _T_39083 = bits(crc32_hd[1], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39084 = bits(_T_39083, 0, 0) @[Bitwise.scala 72:15] + node _T_39085 = mux(_T_39084, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39086 = and(UInt<32>("h0edb88320"), _T_39085) @[exu_mul_ctl.scala 327:59] + node _T_39087 = xor(_T_39082, _T_39086) @[exu_mul_ctl.scala 327:41] + crc32_hd[2] <= _T_39087 @[exu_mul_ctl.scala 327:17] + node _T_39088 = shr(crc32_hd[2], 1) @[exu_mul_ctl.scala 327:35] + node _T_39089 = bits(crc32_hd[2], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39090 = bits(_T_39089, 0, 0) @[Bitwise.scala 72:15] + node _T_39091 = mux(_T_39090, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39092 = and(UInt<32>("h0edb88320"), _T_39091) @[exu_mul_ctl.scala 327:59] + node _T_39093 = xor(_T_39088, _T_39092) @[exu_mul_ctl.scala 327:41] + crc32_hd[3] <= _T_39093 @[exu_mul_ctl.scala 327:17] + node _T_39094 = shr(crc32_hd[3], 1) @[exu_mul_ctl.scala 327:35] + node _T_39095 = bits(crc32_hd[3], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39096 = bits(_T_39095, 0, 0) @[Bitwise.scala 72:15] + node _T_39097 = mux(_T_39096, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39098 = and(UInt<32>("h0edb88320"), _T_39097) @[exu_mul_ctl.scala 327:59] + node _T_39099 = xor(_T_39094, _T_39098) @[exu_mul_ctl.scala 327:41] + crc32_hd[4] <= _T_39099 @[exu_mul_ctl.scala 327:17] + node _T_39100 = shr(crc32_hd[4], 1) @[exu_mul_ctl.scala 327:35] + node _T_39101 = bits(crc32_hd[4], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39102 = bits(_T_39101, 0, 0) @[Bitwise.scala 72:15] + node _T_39103 = mux(_T_39102, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39104 = and(UInt<32>("h0edb88320"), _T_39103) @[exu_mul_ctl.scala 327:59] + node _T_39105 = xor(_T_39100, _T_39104) @[exu_mul_ctl.scala 327:41] + crc32_hd[5] <= _T_39105 @[exu_mul_ctl.scala 327:17] + node _T_39106 = shr(crc32_hd[5], 1) @[exu_mul_ctl.scala 327:35] + node _T_39107 = bits(crc32_hd[5], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39108 = bits(_T_39107, 0, 0) @[Bitwise.scala 72:15] + node _T_39109 = mux(_T_39108, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39110 = and(UInt<32>("h0edb88320"), _T_39109) @[exu_mul_ctl.scala 327:59] + node _T_39111 = xor(_T_39106, _T_39110) @[exu_mul_ctl.scala 327:41] + crc32_hd[6] <= _T_39111 @[exu_mul_ctl.scala 327:17] + node _T_39112 = shr(crc32_hd[6], 1) @[exu_mul_ctl.scala 327:35] + node _T_39113 = bits(crc32_hd[6], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39114 = bits(_T_39113, 0, 0) @[Bitwise.scala 72:15] + node _T_39115 = mux(_T_39114, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39116 = and(UInt<32>("h0edb88320"), _T_39115) @[exu_mul_ctl.scala 327:59] + node _T_39117 = xor(_T_39112, _T_39116) @[exu_mul_ctl.scala 327:41] + crc32_hd[7] <= _T_39117 @[exu_mul_ctl.scala 327:17] + node _T_39118 = shr(crc32_hd[7], 1) @[exu_mul_ctl.scala 327:35] + node _T_39119 = bits(crc32_hd[7], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39120 = bits(_T_39119, 0, 0) @[Bitwise.scala 72:15] + node _T_39121 = mux(_T_39120, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39122 = and(UInt<32>("h0edb88320"), _T_39121) @[exu_mul_ctl.scala 327:59] + node _T_39123 = xor(_T_39118, _T_39122) @[exu_mul_ctl.scala 327:41] + crc32_hd[8] <= _T_39123 @[exu_mul_ctl.scala 327:17] + node _T_39124 = shr(crc32_hd[8], 1) @[exu_mul_ctl.scala 327:35] + node _T_39125 = bits(crc32_hd[8], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39126 = bits(_T_39125, 0, 0) @[Bitwise.scala 72:15] + node _T_39127 = mux(_T_39126, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39128 = and(UInt<32>("h0edb88320"), _T_39127) @[exu_mul_ctl.scala 327:59] + node _T_39129 = xor(_T_39124, _T_39128) @[exu_mul_ctl.scala 327:41] + crc32_hd[9] <= _T_39129 @[exu_mul_ctl.scala 327:17] + node _T_39130 = shr(crc32_hd[9], 1) @[exu_mul_ctl.scala 327:35] + node _T_39131 = bits(crc32_hd[9], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39132 = bits(_T_39131, 0, 0) @[Bitwise.scala 72:15] + node _T_39133 = mux(_T_39132, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39134 = and(UInt<32>("h0edb88320"), _T_39133) @[exu_mul_ctl.scala 327:59] + node _T_39135 = xor(_T_39130, _T_39134) @[exu_mul_ctl.scala 327:41] + crc32_hd[10] <= _T_39135 @[exu_mul_ctl.scala 327:17] + node _T_39136 = shr(crc32_hd[10], 1) @[exu_mul_ctl.scala 327:35] + node _T_39137 = bits(crc32_hd[10], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39138 = bits(_T_39137, 0, 0) @[Bitwise.scala 72:15] + node _T_39139 = mux(_T_39138, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39140 = and(UInt<32>("h0edb88320"), _T_39139) @[exu_mul_ctl.scala 327:59] + node _T_39141 = xor(_T_39136, _T_39140) @[exu_mul_ctl.scala 327:41] + crc32_hd[11] <= _T_39141 @[exu_mul_ctl.scala 327:17] + node _T_39142 = shr(crc32_hd[11], 1) @[exu_mul_ctl.scala 327:35] + node _T_39143 = bits(crc32_hd[11], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39144 = bits(_T_39143, 0, 0) @[Bitwise.scala 72:15] + node _T_39145 = mux(_T_39144, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39146 = and(UInt<32>("h0edb88320"), _T_39145) @[exu_mul_ctl.scala 327:59] + node _T_39147 = xor(_T_39142, _T_39146) @[exu_mul_ctl.scala 327:41] + crc32_hd[12] <= _T_39147 @[exu_mul_ctl.scala 327:17] + node _T_39148 = shr(crc32_hd[12], 1) @[exu_mul_ctl.scala 327:35] + node _T_39149 = bits(crc32_hd[12], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39150 = bits(_T_39149, 0, 0) @[Bitwise.scala 72:15] + node _T_39151 = mux(_T_39150, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39152 = and(UInt<32>("h0edb88320"), _T_39151) @[exu_mul_ctl.scala 327:59] + node _T_39153 = xor(_T_39148, _T_39152) @[exu_mul_ctl.scala 327:41] + crc32_hd[13] <= _T_39153 @[exu_mul_ctl.scala 327:17] + node _T_39154 = shr(crc32_hd[13], 1) @[exu_mul_ctl.scala 327:35] + node _T_39155 = bits(crc32_hd[13], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39156 = bits(_T_39155, 0, 0) @[Bitwise.scala 72:15] + node _T_39157 = mux(_T_39156, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39158 = and(UInt<32>("h0edb88320"), _T_39157) @[exu_mul_ctl.scala 327:59] + node _T_39159 = xor(_T_39154, _T_39158) @[exu_mul_ctl.scala 327:41] + crc32_hd[14] <= _T_39159 @[exu_mul_ctl.scala 327:17] + node _T_39160 = shr(crc32_hd[14], 1) @[exu_mul_ctl.scala 327:35] + node _T_39161 = bits(crc32_hd[14], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39162 = bits(_T_39161, 0, 0) @[Bitwise.scala 72:15] + node _T_39163 = mux(_T_39162, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39164 = and(UInt<32>("h0edb88320"), _T_39163) @[exu_mul_ctl.scala 327:59] + node _T_39165 = xor(_T_39160, _T_39164) @[exu_mul_ctl.scala 327:41] + crc32_hd[15] <= _T_39165 @[exu_mul_ctl.scala 327:17] + node _T_39166 = shr(crc32_hd[15], 1) @[exu_mul_ctl.scala 327:35] + node _T_39167 = bits(crc32_hd[15], 0, 0) @[exu_mul_ctl.scala 327:82] + node _T_39168 = bits(_T_39167, 0, 0) @[Bitwise.scala 72:15] + node _T_39169 = mux(_T_39168, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39170 = and(UInt<32>("h0edb88320"), _T_39169) @[exu_mul_ctl.scala 327:59] + node _T_39171 = xor(_T_39166, _T_39170) @[exu_mul_ctl.scala 327:41] + crc32_hd[16] <= _T_39171 @[exu_mul_ctl.scala 327:17] + wire crc32_wd : UInt<32>[33] @[exu_mul_ctl.scala 330:34] + crc32_wd[0] <= io.rs1_in @[exu_mul_ctl.scala 331:15] + node _T_39172 = shr(crc32_wd[0], 1) @[exu_mul_ctl.scala 333:35] + node _T_39173 = bits(crc32_wd[0], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39174 = bits(_T_39173, 0, 0) @[Bitwise.scala 72:15] + node _T_39175 = mux(_T_39174, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39176 = and(UInt<32>("h0edb88320"), _T_39175) @[exu_mul_ctl.scala 333:59] + node _T_39177 = xor(_T_39172, _T_39176) @[exu_mul_ctl.scala 333:41] + crc32_wd[1] <= _T_39177 @[exu_mul_ctl.scala 333:17] + node _T_39178 = shr(crc32_wd[1], 1) @[exu_mul_ctl.scala 333:35] + node _T_39179 = bits(crc32_wd[1], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39180 = bits(_T_39179, 0, 0) @[Bitwise.scala 72:15] + node _T_39181 = mux(_T_39180, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39182 = and(UInt<32>("h0edb88320"), _T_39181) @[exu_mul_ctl.scala 333:59] + node _T_39183 = xor(_T_39178, _T_39182) @[exu_mul_ctl.scala 333:41] + crc32_wd[2] <= _T_39183 @[exu_mul_ctl.scala 333:17] + node _T_39184 = shr(crc32_wd[2], 1) @[exu_mul_ctl.scala 333:35] + node _T_39185 = bits(crc32_wd[2], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39186 = bits(_T_39185, 0, 0) @[Bitwise.scala 72:15] + node _T_39187 = mux(_T_39186, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39188 = and(UInt<32>("h0edb88320"), _T_39187) @[exu_mul_ctl.scala 333:59] + node _T_39189 = xor(_T_39184, _T_39188) @[exu_mul_ctl.scala 333:41] + crc32_wd[3] <= _T_39189 @[exu_mul_ctl.scala 333:17] + node _T_39190 = shr(crc32_wd[3], 1) @[exu_mul_ctl.scala 333:35] + node _T_39191 = bits(crc32_wd[3], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39192 = bits(_T_39191, 0, 0) @[Bitwise.scala 72:15] + node _T_39193 = mux(_T_39192, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39194 = and(UInt<32>("h0edb88320"), _T_39193) @[exu_mul_ctl.scala 333:59] + node _T_39195 = xor(_T_39190, _T_39194) @[exu_mul_ctl.scala 333:41] + crc32_wd[4] <= _T_39195 @[exu_mul_ctl.scala 333:17] + node _T_39196 = shr(crc32_wd[4], 1) @[exu_mul_ctl.scala 333:35] + node _T_39197 = bits(crc32_wd[4], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39198 = bits(_T_39197, 0, 0) @[Bitwise.scala 72:15] + node _T_39199 = mux(_T_39198, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39200 = and(UInt<32>("h0edb88320"), _T_39199) @[exu_mul_ctl.scala 333:59] + node _T_39201 = xor(_T_39196, _T_39200) @[exu_mul_ctl.scala 333:41] + crc32_wd[5] <= _T_39201 @[exu_mul_ctl.scala 333:17] + node _T_39202 = shr(crc32_wd[5], 1) @[exu_mul_ctl.scala 333:35] + node _T_39203 = bits(crc32_wd[5], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39204 = bits(_T_39203, 0, 0) @[Bitwise.scala 72:15] + node _T_39205 = mux(_T_39204, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39206 = and(UInt<32>("h0edb88320"), _T_39205) @[exu_mul_ctl.scala 333:59] + node _T_39207 = xor(_T_39202, _T_39206) @[exu_mul_ctl.scala 333:41] + crc32_wd[6] <= _T_39207 @[exu_mul_ctl.scala 333:17] + node _T_39208 = shr(crc32_wd[6], 1) @[exu_mul_ctl.scala 333:35] + node _T_39209 = bits(crc32_wd[6], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39210 = bits(_T_39209, 0, 0) @[Bitwise.scala 72:15] + node _T_39211 = mux(_T_39210, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39212 = and(UInt<32>("h0edb88320"), _T_39211) @[exu_mul_ctl.scala 333:59] + node _T_39213 = xor(_T_39208, _T_39212) @[exu_mul_ctl.scala 333:41] + crc32_wd[7] <= _T_39213 @[exu_mul_ctl.scala 333:17] + node _T_39214 = shr(crc32_wd[7], 1) @[exu_mul_ctl.scala 333:35] + node _T_39215 = bits(crc32_wd[7], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39216 = bits(_T_39215, 0, 0) @[Bitwise.scala 72:15] + node _T_39217 = mux(_T_39216, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39218 = and(UInt<32>("h0edb88320"), _T_39217) @[exu_mul_ctl.scala 333:59] + node _T_39219 = xor(_T_39214, _T_39218) @[exu_mul_ctl.scala 333:41] + crc32_wd[8] <= _T_39219 @[exu_mul_ctl.scala 333:17] + node _T_39220 = shr(crc32_wd[8], 1) @[exu_mul_ctl.scala 333:35] + node _T_39221 = bits(crc32_wd[8], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39222 = bits(_T_39221, 0, 0) @[Bitwise.scala 72:15] + node _T_39223 = mux(_T_39222, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39224 = and(UInt<32>("h0edb88320"), _T_39223) @[exu_mul_ctl.scala 333:59] + node _T_39225 = xor(_T_39220, _T_39224) @[exu_mul_ctl.scala 333:41] + crc32_wd[9] <= _T_39225 @[exu_mul_ctl.scala 333:17] + node _T_39226 = shr(crc32_wd[9], 1) @[exu_mul_ctl.scala 333:35] + node _T_39227 = bits(crc32_wd[9], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39228 = bits(_T_39227, 0, 0) @[Bitwise.scala 72:15] + node _T_39229 = mux(_T_39228, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39230 = and(UInt<32>("h0edb88320"), _T_39229) @[exu_mul_ctl.scala 333:59] + node _T_39231 = xor(_T_39226, _T_39230) @[exu_mul_ctl.scala 333:41] + crc32_wd[10] <= _T_39231 @[exu_mul_ctl.scala 333:17] + node _T_39232 = shr(crc32_wd[10], 1) @[exu_mul_ctl.scala 333:35] + node _T_39233 = bits(crc32_wd[10], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39234 = bits(_T_39233, 0, 0) @[Bitwise.scala 72:15] + node _T_39235 = mux(_T_39234, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39236 = and(UInt<32>("h0edb88320"), _T_39235) @[exu_mul_ctl.scala 333:59] + node _T_39237 = xor(_T_39232, _T_39236) @[exu_mul_ctl.scala 333:41] + crc32_wd[11] <= _T_39237 @[exu_mul_ctl.scala 333:17] + node _T_39238 = shr(crc32_wd[11], 1) @[exu_mul_ctl.scala 333:35] + node _T_39239 = bits(crc32_wd[11], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39240 = bits(_T_39239, 0, 0) @[Bitwise.scala 72:15] + node _T_39241 = mux(_T_39240, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39242 = and(UInt<32>("h0edb88320"), _T_39241) @[exu_mul_ctl.scala 333:59] + node _T_39243 = xor(_T_39238, _T_39242) @[exu_mul_ctl.scala 333:41] + crc32_wd[12] <= _T_39243 @[exu_mul_ctl.scala 333:17] + node _T_39244 = shr(crc32_wd[12], 1) @[exu_mul_ctl.scala 333:35] + node _T_39245 = bits(crc32_wd[12], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39246 = bits(_T_39245, 0, 0) @[Bitwise.scala 72:15] + node _T_39247 = mux(_T_39246, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39248 = and(UInt<32>("h0edb88320"), _T_39247) @[exu_mul_ctl.scala 333:59] + node _T_39249 = xor(_T_39244, _T_39248) @[exu_mul_ctl.scala 333:41] + crc32_wd[13] <= _T_39249 @[exu_mul_ctl.scala 333:17] + node _T_39250 = shr(crc32_wd[13], 1) @[exu_mul_ctl.scala 333:35] + node _T_39251 = bits(crc32_wd[13], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39252 = bits(_T_39251, 0, 0) @[Bitwise.scala 72:15] + node _T_39253 = mux(_T_39252, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39254 = and(UInt<32>("h0edb88320"), _T_39253) @[exu_mul_ctl.scala 333:59] + node _T_39255 = xor(_T_39250, _T_39254) @[exu_mul_ctl.scala 333:41] + crc32_wd[14] <= _T_39255 @[exu_mul_ctl.scala 333:17] + node _T_39256 = shr(crc32_wd[14], 1) @[exu_mul_ctl.scala 333:35] + node _T_39257 = bits(crc32_wd[14], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39258 = bits(_T_39257, 0, 0) @[Bitwise.scala 72:15] + node _T_39259 = mux(_T_39258, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39260 = and(UInt<32>("h0edb88320"), _T_39259) @[exu_mul_ctl.scala 333:59] + node _T_39261 = xor(_T_39256, _T_39260) @[exu_mul_ctl.scala 333:41] + crc32_wd[15] <= _T_39261 @[exu_mul_ctl.scala 333:17] + node _T_39262 = shr(crc32_wd[15], 1) @[exu_mul_ctl.scala 333:35] + node _T_39263 = bits(crc32_wd[15], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39264 = bits(_T_39263, 0, 0) @[Bitwise.scala 72:15] + node _T_39265 = mux(_T_39264, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39266 = and(UInt<32>("h0edb88320"), _T_39265) @[exu_mul_ctl.scala 333:59] + node _T_39267 = xor(_T_39262, _T_39266) @[exu_mul_ctl.scala 333:41] + crc32_wd[16] <= _T_39267 @[exu_mul_ctl.scala 333:17] + node _T_39268 = shr(crc32_wd[16], 1) @[exu_mul_ctl.scala 333:35] + node _T_39269 = bits(crc32_wd[16], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39270 = bits(_T_39269, 0, 0) @[Bitwise.scala 72:15] + node _T_39271 = mux(_T_39270, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39272 = and(UInt<32>("h0edb88320"), _T_39271) @[exu_mul_ctl.scala 333:59] + node _T_39273 = xor(_T_39268, _T_39272) @[exu_mul_ctl.scala 333:41] + crc32_wd[17] <= _T_39273 @[exu_mul_ctl.scala 333:17] + node _T_39274 = shr(crc32_wd[17], 1) @[exu_mul_ctl.scala 333:35] + node _T_39275 = bits(crc32_wd[17], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39276 = bits(_T_39275, 0, 0) @[Bitwise.scala 72:15] + node _T_39277 = mux(_T_39276, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39278 = and(UInt<32>("h0edb88320"), _T_39277) @[exu_mul_ctl.scala 333:59] + node _T_39279 = xor(_T_39274, _T_39278) @[exu_mul_ctl.scala 333:41] + crc32_wd[18] <= _T_39279 @[exu_mul_ctl.scala 333:17] + node _T_39280 = shr(crc32_wd[18], 1) @[exu_mul_ctl.scala 333:35] + node _T_39281 = bits(crc32_wd[18], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39282 = bits(_T_39281, 0, 0) @[Bitwise.scala 72:15] + node _T_39283 = mux(_T_39282, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39284 = and(UInt<32>("h0edb88320"), _T_39283) @[exu_mul_ctl.scala 333:59] + node _T_39285 = xor(_T_39280, _T_39284) @[exu_mul_ctl.scala 333:41] + crc32_wd[19] <= _T_39285 @[exu_mul_ctl.scala 333:17] + node _T_39286 = shr(crc32_wd[19], 1) @[exu_mul_ctl.scala 333:35] + node _T_39287 = bits(crc32_wd[19], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39288 = bits(_T_39287, 0, 0) @[Bitwise.scala 72:15] + node _T_39289 = mux(_T_39288, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39290 = and(UInt<32>("h0edb88320"), _T_39289) @[exu_mul_ctl.scala 333:59] + node _T_39291 = xor(_T_39286, _T_39290) @[exu_mul_ctl.scala 333:41] + crc32_wd[20] <= _T_39291 @[exu_mul_ctl.scala 333:17] + node _T_39292 = shr(crc32_wd[20], 1) @[exu_mul_ctl.scala 333:35] + node _T_39293 = bits(crc32_wd[20], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39294 = bits(_T_39293, 0, 0) @[Bitwise.scala 72:15] + node _T_39295 = mux(_T_39294, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39296 = and(UInt<32>("h0edb88320"), _T_39295) @[exu_mul_ctl.scala 333:59] + node _T_39297 = xor(_T_39292, _T_39296) @[exu_mul_ctl.scala 333:41] + crc32_wd[21] <= _T_39297 @[exu_mul_ctl.scala 333:17] + node _T_39298 = shr(crc32_wd[21], 1) @[exu_mul_ctl.scala 333:35] + node _T_39299 = bits(crc32_wd[21], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39300 = bits(_T_39299, 0, 0) @[Bitwise.scala 72:15] + node _T_39301 = mux(_T_39300, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39302 = and(UInt<32>("h0edb88320"), _T_39301) @[exu_mul_ctl.scala 333:59] + node _T_39303 = xor(_T_39298, _T_39302) @[exu_mul_ctl.scala 333:41] + crc32_wd[22] <= _T_39303 @[exu_mul_ctl.scala 333:17] + node _T_39304 = shr(crc32_wd[22], 1) @[exu_mul_ctl.scala 333:35] + node _T_39305 = bits(crc32_wd[22], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39306 = bits(_T_39305, 0, 0) @[Bitwise.scala 72:15] + node _T_39307 = mux(_T_39306, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39308 = and(UInt<32>("h0edb88320"), _T_39307) @[exu_mul_ctl.scala 333:59] + node _T_39309 = xor(_T_39304, _T_39308) @[exu_mul_ctl.scala 333:41] + crc32_wd[23] <= _T_39309 @[exu_mul_ctl.scala 333:17] + node _T_39310 = shr(crc32_wd[23], 1) @[exu_mul_ctl.scala 333:35] + node _T_39311 = bits(crc32_wd[23], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39312 = bits(_T_39311, 0, 0) @[Bitwise.scala 72:15] + node _T_39313 = mux(_T_39312, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39314 = and(UInt<32>("h0edb88320"), _T_39313) @[exu_mul_ctl.scala 333:59] + node _T_39315 = xor(_T_39310, _T_39314) @[exu_mul_ctl.scala 333:41] + crc32_wd[24] <= _T_39315 @[exu_mul_ctl.scala 333:17] + node _T_39316 = shr(crc32_wd[24], 1) @[exu_mul_ctl.scala 333:35] + node _T_39317 = bits(crc32_wd[24], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39318 = bits(_T_39317, 0, 0) @[Bitwise.scala 72:15] + node _T_39319 = mux(_T_39318, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39320 = and(UInt<32>("h0edb88320"), _T_39319) @[exu_mul_ctl.scala 333:59] + node _T_39321 = xor(_T_39316, _T_39320) @[exu_mul_ctl.scala 333:41] + crc32_wd[25] <= _T_39321 @[exu_mul_ctl.scala 333:17] + node _T_39322 = shr(crc32_wd[25], 1) @[exu_mul_ctl.scala 333:35] + node _T_39323 = bits(crc32_wd[25], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39324 = bits(_T_39323, 0, 0) @[Bitwise.scala 72:15] + node _T_39325 = mux(_T_39324, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39326 = and(UInt<32>("h0edb88320"), _T_39325) @[exu_mul_ctl.scala 333:59] + node _T_39327 = xor(_T_39322, _T_39326) @[exu_mul_ctl.scala 333:41] + crc32_wd[26] <= _T_39327 @[exu_mul_ctl.scala 333:17] + node _T_39328 = shr(crc32_wd[26], 1) @[exu_mul_ctl.scala 333:35] + node _T_39329 = bits(crc32_wd[26], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39330 = bits(_T_39329, 0, 0) @[Bitwise.scala 72:15] + node _T_39331 = mux(_T_39330, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39332 = and(UInt<32>("h0edb88320"), _T_39331) @[exu_mul_ctl.scala 333:59] + node _T_39333 = xor(_T_39328, _T_39332) @[exu_mul_ctl.scala 333:41] + crc32_wd[27] <= _T_39333 @[exu_mul_ctl.scala 333:17] + node _T_39334 = shr(crc32_wd[27], 1) @[exu_mul_ctl.scala 333:35] + node _T_39335 = bits(crc32_wd[27], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39336 = bits(_T_39335, 0, 0) @[Bitwise.scala 72:15] + node _T_39337 = mux(_T_39336, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39338 = and(UInt<32>("h0edb88320"), _T_39337) @[exu_mul_ctl.scala 333:59] + node _T_39339 = xor(_T_39334, _T_39338) @[exu_mul_ctl.scala 333:41] + crc32_wd[28] <= _T_39339 @[exu_mul_ctl.scala 333:17] + node _T_39340 = shr(crc32_wd[28], 1) @[exu_mul_ctl.scala 333:35] + node _T_39341 = bits(crc32_wd[28], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39342 = bits(_T_39341, 0, 0) @[Bitwise.scala 72:15] + node _T_39343 = mux(_T_39342, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39344 = and(UInt<32>("h0edb88320"), _T_39343) @[exu_mul_ctl.scala 333:59] + node _T_39345 = xor(_T_39340, _T_39344) @[exu_mul_ctl.scala 333:41] + crc32_wd[29] <= _T_39345 @[exu_mul_ctl.scala 333:17] + node _T_39346 = shr(crc32_wd[29], 1) @[exu_mul_ctl.scala 333:35] + node _T_39347 = bits(crc32_wd[29], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39348 = bits(_T_39347, 0, 0) @[Bitwise.scala 72:15] + node _T_39349 = mux(_T_39348, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39350 = and(UInt<32>("h0edb88320"), _T_39349) @[exu_mul_ctl.scala 333:59] + node _T_39351 = xor(_T_39346, _T_39350) @[exu_mul_ctl.scala 333:41] + crc32_wd[30] <= _T_39351 @[exu_mul_ctl.scala 333:17] + node _T_39352 = shr(crc32_wd[30], 1) @[exu_mul_ctl.scala 333:35] + node _T_39353 = bits(crc32_wd[30], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39354 = bits(_T_39353, 0, 0) @[Bitwise.scala 72:15] + node _T_39355 = mux(_T_39354, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39356 = and(UInt<32>("h0edb88320"), _T_39355) @[exu_mul_ctl.scala 333:59] + node _T_39357 = xor(_T_39352, _T_39356) @[exu_mul_ctl.scala 333:41] + crc32_wd[31] <= _T_39357 @[exu_mul_ctl.scala 333:17] + node _T_39358 = shr(crc32_wd[31], 1) @[exu_mul_ctl.scala 333:35] + node _T_39359 = bits(crc32_wd[31], 0, 0) @[exu_mul_ctl.scala 333:82] + node _T_39360 = bits(_T_39359, 0, 0) @[Bitwise.scala 72:15] + node _T_39361 = mux(_T_39360, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39362 = and(UInt<32>("h0edb88320"), _T_39361) @[exu_mul_ctl.scala 333:59] + node _T_39363 = xor(_T_39358, _T_39362) @[exu_mul_ctl.scala 333:41] + crc32_wd[32] <= _T_39363 @[exu_mul_ctl.scala 333:17] + wire crc32c_bd : UInt<32>[9] @[exu_mul_ctl.scala 337:35] + crc32c_bd[0] <= io.rs1_in @[exu_mul_ctl.scala 338:16] + node _T_39364 = shr(crc32c_bd[0], 1) @[exu_mul_ctl.scala 340:37] + node _T_39365 = bits(crc32c_bd[0], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39366 = bits(_T_39365, 0, 0) @[Bitwise.scala 72:15] + node _T_39367 = mux(_T_39366, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39368 = and(UInt<32>("h082f63b78"), _T_39367) @[exu_mul_ctl.scala 340:62] + node _T_39369 = xor(_T_39364, _T_39368) @[exu_mul_ctl.scala 340:43] + crc32c_bd[1] <= _T_39369 @[exu_mul_ctl.scala 340:18] + node _T_39370 = shr(crc32c_bd[1], 1) @[exu_mul_ctl.scala 340:37] + node _T_39371 = bits(crc32c_bd[1], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39372 = bits(_T_39371, 0, 0) @[Bitwise.scala 72:15] + node _T_39373 = mux(_T_39372, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39374 = and(UInt<32>("h082f63b78"), _T_39373) @[exu_mul_ctl.scala 340:62] + node _T_39375 = xor(_T_39370, _T_39374) @[exu_mul_ctl.scala 340:43] + crc32c_bd[2] <= _T_39375 @[exu_mul_ctl.scala 340:18] + node _T_39376 = shr(crc32c_bd[2], 1) @[exu_mul_ctl.scala 340:37] + node _T_39377 = bits(crc32c_bd[2], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39378 = bits(_T_39377, 0, 0) @[Bitwise.scala 72:15] + node _T_39379 = mux(_T_39378, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39380 = and(UInt<32>("h082f63b78"), _T_39379) @[exu_mul_ctl.scala 340:62] + node _T_39381 = xor(_T_39376, _T_39380) @[exu_mul_ctl.scala 340:43] + crc32c_bd[3] <= _T_39381 @[exu_mul_ctl.scala 340:18] + node _T_39382 = shr(crc32c_bd[3], 1) @[exu_mul_ctl.scala 340:37] + node _T_39383 = bits(crc32c_bd[3], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39384 = bits(_T_39383, 0, 0) @[Bitwise.scala 72:15] + node _T_39385 = mux(_T_39384, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39386 = and(UInt<32>("h082f63b78"), _T_39385) @[exu_mul_ctl.scala 340:62] + node _T_39387 = xor(_T_39382, _T_39386) @[exu_mul_ctl.scala 340:43] + crc32c_bd[4] <= _T_39387 @[exu_mul_ctl.scala 340:18] + node _T_39388 = shr(crc32c_bd[4], 1) @[exu_mul_ctl.scala 340:37] + node _T_39389 = bits(crc32c_bd[4], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39390 = bits(_T_39389, 0, 0) @[Bitwise.scala 72:15] + node _T_39391 = mux(_T_39390, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39392 = and(UInt<32>("h082f63b78"), _T_39391) @[exu_mul_ctl.scala 340:62] + node _T_39393 = xor(_T_39388, _T_39392) @[exu_mul_ctl.scala 340:43] + crc32c_bd[5] <= _T_39393 @[exu_mul_ctl.scala 340:18] + node _T_39394 = shr(crc32c_bd[5], 1) @[exu_mul_ctl.scala 340:37] + node _T_39395 = bits(crc32c_bd[5], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39396 = bits(_T_39395, 0, 0) @[Bitwise.scala 72:15] + node _T_39397 = mux(_T_39396, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39398 = and(UInt<32>("h082f63b78"), _T_39397) @[exu_mul_ctl.scala 340:62] + node _T_39399 = xor(_T_39394, _T_39398) @[exu_mul_ctl.scala 340:43] + crc32c_bd[6] <= _T_39399 @[exu_mul_ctl.scala 340:18] + node _T_39400 = shr(crc32c_bd[6], 1) @[exu_mul_ctl.scala 340:37] + node _T_39401 = bits(crc32c_bd[6], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39402 = bits(_T_39401, 0, 0) @[Bitwise.scala 72:15] + node _T_39403 = mux(_T_39402, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39404 = and(UInt<32>("h082f63b78"), _T_39403) @[exu_mul_ctl.scala 340:62] + node _T_39405 = xor(_T_39400, _T_39404) @[exu_mul_ctl.scala 340:43] + crc32c_bd[7] <= _T_39405 @[exu_mul_ctl.scala 340:18] + node _T_39406 = shr(crc32c_bd[7], 1) @[exu_mul_ctl.scala 340:37] + node _T_39407 = bits(crc32c_bd[7], 0, 0) @[exu_mul_ctl.scala 340:86] + node _T_39408 = bits(_T_39407, 0, 0) @[Bitwise.scala 72:15] + node _T_39409 = mux(_T_39408, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39410 = and(UInt<32>("h082f63b78"), _T_39409) @[exu_mul_ctl.scala 340:62] + node _T_39411 = xor(_T_39406, _T_39410) @[exu_mul_ctl.scala 340:43] + crc32c_bd[8] <= _T_39411 @[exu_mul_ctl.scala 340:18] + wire crc32c_hd : UInt<32>[17] @[exu_mul_ctl.scala 344:35] + crc32c_hd[0] <= io.rs1_in @[exu_mul_ctl.scala 345:16] + node _T_39412 = shr(crc32c_hd[0], 1) @[exu_mul_ctl.scala 347:37] + node _T_39413 = bits(crc32c_hd[0], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39414 = bits(_T_39413, 0, 0) @[Bitwise.scala 72:15] + node _T_39415 = mux(_T_39414, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39416 = and(UInt<32>("h082f63b78"), _T_39415) @[exu_mul_ctl.scala 347:62] + node _T_39417 = xor(_T_39412, _T_39416) @[exu_mul_ctl.scala 347:43] + crc32c_hd[1] <= _T_39417 @[exu_mul_ctl.scala 347:18] + node _T_39418 = shr(crc32c_hd[1], 1) @[exu_mul_ctl.scala 347:37] + node _T_39419 = bits(crc32c_hd[1], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39420 = bits(_T_39419, 0, 0) @[Bitwise.scala 72:15] + node _T_39421 = mux(_T_39420, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39422 = and(UInt<32>("h082f63b78"), _T_39421) @[exu_mul_ctl.scala 347:62] + node _T_39423 = xor(_T_39418, _T_39422) @[exu_mul_ctl.scala 347:43] + crc32c_hd[2] <= _T_39423 @[exu_mul_ctl.scala 347:18] + node _T_39424 = shr(crc32c_hd[2], 1) @[exu_mul_ctl.scala 347:37] + node _T_39425 = bits(crc32c_hd[2], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39426 = bits(_T_39425, 0, 0) @[Bitwise.scala 72:15] + node _T_39427 = mux(_T_39426, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39428 = and(UInt<32>("h082f63b78"), _T_39427) @[exu_mul_ctl.scala 347:62] + node _T_39429 = xor(_T_39424, _T_39428) @[exu_mul_ctl.scala 347:43] + crc32c_hd[3] <= _T_39429 @[exu_mul_ctl.scala 347:18] + node _T_39430 = shr(crc32c_hd[3], 1) @[exu_mul_ctl.scala 347:37] + node _T_39431 = bits(crc32c_hd[3], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39432 = bits(_T_39431, 0, 0) @[Bitwise.scala 72:15] + node _T_39433 = mux(_T_39432, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39434 = and(UInt<32>("h082f63b78"), _T_39433) @[exu_mul_ctl.scala 347:62] + node _T_39435 = xor(_T_39430, _T_39434) @[exu_mul_ctl.scala 347:43] + crc32c_hd[4] <= _T_39435 @[exu_mul_ctl.scala 347:18] + node _T_39436 = shr(crc32c_hd[4], 1) @[exu_mul_ctl.scala 347:37] + node _T_39437 = bits(crc32c_hd[4], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39438 = bits(_T_39437, 0, 0) @[Bitwise.scala 72:15] + node _T_39439 = mux(_T_39438, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39440 = and(UInt<32>("h082f63b78"), _T_39439) @[exu_mul_ctl.scala 347:62] + node _T_39441 = xor(_T_39436, _T_39440) @[exu_mul_ctl.scala 347:43] + crc32c_hd[5] <= _T_39441 @[exu_mul_ctl.scala 347:18] + node _T_39442 = shr(crc32c_hd[5], 1) @[exu_mul_ctl.scala 347:37] + node _T_39443 = bits(crc32c_hd[5], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39444 = bits(_T_39443, 0, 0) @[Bitwise.scala 72:15] + node _T_39445 = mux(_T_39444, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39446 = and(UInt<32>("h082f63b78"), _T_39445) @[exu_mul_ctl.scala 347:62] + node _T_39447 = xor(_T_39442, _T_39446) @[exu_mul_ctl.scala 347:43] + crc32c_hd[6] <= _T_39447 @[exu_mul_ctl.scala 347:18] + node _T_39448 = shr(crc32c_hd[6], 1) @[exu_mul_ctl.scala 347:37] + node _T_39449 = bits(crc32c_hd[6], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39450 = bits(_T_39449, 0, 0) @[Bitwise.scala 72:15] + node _T_39451 = mux(_T_39450, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39452 = and(UInt<32>("h082f63b78"), _T_39451) @[exu_mul_ctl.scala 347:62] + node _T_39453 = xor(_T_39448, _T_39452) @[exu_mul_ctl.scala 347:43] + crc32c_hd[7] <= _T_39453 @[exu_mul_ctl.scala 347:18] + node _T_39454 = shr(crc32c_hd[7], 1) @[exu_mul_ctl.scala 347:37] + node _T_39455 = bits(crc32c_hd[7], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39456 = bits(_T_39455, 0, 0) @[Bitwise.scala 72:15] + node _T_39457 = mux(_T_39456, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39458 = and(UInt<32>("h082f63b78"), _T_39457) @[exu_mul_ctl.scala 347:62] + node _T_39459 = xor(_T_39454, _T_39458) @[exu_mul_ctl.scala 347:43] + crc32c_hd[8] <= _T_39459 @[exu_mul_ctl.scala 347:18] + node _T_39460 = shr(crc32c_hd[8], 1) @[exu_mul_ctl.scala 347:37] + node _T_39461 = bits(crc32c_hd[8], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39462 = bits(_T_39461, 0, 0) @[Bitwise.scala 72:15] + node _T_39463 = mux(_T_39462, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39464 = and(UInt<32>("h082f63b78"), _T_39463) @[exu_mul_ctl.scala 347:62] + node _T_39465 = xor(_T_39460, _T_39464) @[exu_mul_ctl.scala 347:43] + crc32c_hd[9] <= _T_39465 @[exu_mul_ctl.scala 347:18] + node _T_39466 = shr(crc32c_hd[9], 1) @[exu_mul_ctl.scala 347:37] + node _T_39467 = bits(crc32c_hd[9], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39468 = bits(_T_39467, 0, 0) @[Bitwise.scala 72:15] + node _T_39469 = mux(_T_39468, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39470 = and(UInt<32>("h082f63b78"), _T_39469) @[exu_mul_ctl.scala 347:62] + node _T_39471 = xor(_T_39466, _T_39470) @[exu_mul_ctl.scala 347:43] + crc32c_hd[10] <= _T_39471 @[exu_mul_ctl.scala 347:18] + node _T_39472 = shr(crc32c_hd[10], 1) @[exu_mul_ctl.scala 347:37] + node _T_39473 = bits(crc32c_hd[10], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39474 = bits(_T_39473, 0, 0) @[Bitwise.scala 72:15] + node _T_39475 = mux(_T_39474, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39476 = and(UInt<32>("h082f63b78"), _T_39475) @[exu_mul_ctl.scala 347:62] + node _T_39477 = xor(_T_39472, _T_39476) @[exu_mul_ctl.scala 347:43] + crc32c_hd[11] <= _T_39477 @[exu_mul_ctl.scala 347:18] + node _T_39478 = shr(crc32c_hd[11], 1) @[exu_mul_ctl.scala 347:37] + node _T_39479 = bits(crc32c_hd[11], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39480 = bits(_T_39479, 0, 0) @[Bitwise.scala 72:15] + node _T_39481 = mux(_T_39480, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39482 = and(UInt<32>("h082f63b78"), _T_39481) @[exu_mul_ctl.scala 347:62] + node _T_39483 = xor(_T_39478, _T_39482) @[exu_mul_ctl.scala 347:43] + crc32c_hd[12] <= _T_39483 @[exu_mul_ctl.scala 347:18] + node _T_39484 = shr(crc32c_hd[12], 1) @[exu_mul_ctl.scala 347:37] + node _T_39485 = bits(crc32c_hd[12], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39486 = bits(_T_39485, 0, 0) @[Bitwise.scala 72:15] + node _T_39487 = mux(_T_39486, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39488 = and(UInt<32>("h082f63b78"), _T_39487) @[exu_mul_ctl.scala 347:62] + node _T_39489 = xor(_T_39484, _T_39488) @[exu_mul_ctl.scala 347:43] + crc32c_hd[13] <= _T_39489 @[exu_mul_ctl.scala 347:18] + node _T_39490 = shr(crc32c_hd[13], 1) @[exu_mul_ctl.scala 347:37] + node _T_39491 = bits(crc32c_hd[13], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39492 = bits(_T_39491, 0, 0) @[Bitwise.scala 72:15] + node _T_39493 = mux(_T_39492, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39494 = and(UInt<32>("h082f63b78"), _T_39493) @[exu_mul_ctl.scala 347:62] + node _T_39495 = xor(_T_39490, _T_39494) @[exu_mul_ctl.scala 347:43] + crc32c_hd[14] <= _T_39495 @[exu_mul_ctl.scala 347:18] + node _T_39496 = shr(crc32c_hd[14], 1) @[exu_mul_ctl.scala 347:37] + node _T_39497 = bits(crc32c_hd[14], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39498 = bits(_T_39497, 0, 0) @[Bitwise.scala 72:15] + node _T_39499 = mux(_T_39498, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39500 = and(UInt<32>("h082f63b78"), _T_39499) @[exu_mul_ctl.scala 347:62] + node _T_39501 = xor(_T_39496, _T_39500) @[exu_mul_ctl.scala 347:43] + crc32c_hd[15] <= _T_39501 @[exu_mul_ctl.scala 347:18] + node _T_39502 = shr(crc32c_hd[15], 1) @[exu_mul_ctl.scala 347:37] + node _T_39503 = bits(crc32c_hd[15], 0, 0) @[exu_mul_ctl.scala 347:86] + node _T_39504 = bits(_T_39503, 0, 0) @[Bitwise.scala 72:15] + node _T_39505 = mux(_T_39504, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39506 = and(UInt<32>("h082f63b78"), _T_39505) @[exu_mul_ctl.scala 347:62] + node _T_39507 = xor(_T_39502, _T_39506) @[exu_mul_ctl.scala 347:43] + crc32c_hd[16] <= _T_39507 @[exu_mul_ctl.scala 347:18] + wire crc32c_wd : UInt<32>[33] @[exu_mul_ctl.scala 351:35] + crc32c_wd[0] <= io.rs1_in @[exu_mul_ctl.scala 352:16] + node _T_39508 = shr(crc32c_wd[0], 1) @[exu_mul_ctl.scala 354:37] + node _T_39509 = bits(crc32c_wd[0], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39510 = bits(_T_39509, 0, 0) @[Bitwise.scala 72:15] + node _T_39511 = mux(_T_39510, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39512 = and(UInt<32>("h082f63b78"), _T_39511) @[exu_mul_ctl.scala 354:62] + node _T_39513 = xor(_T_39508, _T_39512) @[exu_mul_ctl.scala 354:43] + crc32c_wd[1] <= _T_39513 @[exu_mul_ctl.scala 354:18] + node _T_39514 = shr(crc32c_wd[1], 1) @[exu_mul_ctl.scala 354:37] + node _T_39515 = bits(crc32c_wd[1], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39516 = bits(_T_39515, 0, 0) @[Bitwise.scala 72:15] + node _T_39517 = mux(_T_39516, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39518 = and(UInt<32>("h082f63b78"), _T_39517) @[exu_mul_ctl.scala 354:62] + node _T_39519 = xor(_T_39514, _T_39518) @[exu_mul_ctl.scala 354:43] + crc32c_wd[2] <= _T_39519 @[exu_mul_ctl.scala 354:18] + node _T_39520 = shr(crc32c_wd[2], 1) @[exu_mul_ctl.scala 354:37] + node _T_39521 = bits(crc32c_wd[2], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39522 = bits(_T_39521, 0, 0) @[Bitwise.scala 72:15] + node _T_39523 = mux(_T_39522, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39524 = and(UInt<32>("h082f63b78"), _T_39523) @[exu_mul_ctl.scala 354:62] + node _T_39525 = xor(_T_39520, _T_39524) @[exu_mul_ctl.scala 354:43] + crc32c_wd[3] <= _T_39525 @[exu_mul_ctl.scala 354:18] + node _T_39526 = shr(crc32c_wd[3], 1) @[exu_mul_ctl.scala 354:37] + node _T_39527 = bits(crc32c_wd[3], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39528 = bits(_T_39527, 0, 0) @[Bitwise.scala 72:15] + node _T_39529 = mux(_T_39528, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39530 = and(UInt<32>("h082f63b78"), _T_39529) @[exu_mul_ctl.scala 354:62] + node _T_39531 = xor(_T_39526, _T_39530) @[exu_mul_ctl.scala 354:43] + crc32c_wd[4] <= _T_39531 @[exu_mul_ctl.scala 354:18] + node _T_39532 = shr(crc32c_wd[4], 1) @[exu_mul_ctl.scala 354:37] + node _T_39533 = bits(crc32c_wd[4], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39534 = bits(_T_39533, 0, 0) @[Bitwise.scala 72:15] + node _T_39535 = mux(_T_39534, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39536 = and(UInt<32>("h082f63b78"), _T_39535) @[exu_mul_ctl.scala 354:62] + node _T_39537 = xor(_T_39532, _T_39536) @[exu_mul_ctl.scala 354:43] + crc32c_wd[5] <= _T_39537 @[exu_mul_ctl.scala 354:18] + node _T_39538 = shr(crc32c_wd[5], 1) @[exu_mul_ctl.scala 354:37] + node _T_39539 = bits(crc32c_wd[5], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39540 = bits(_T_39539, 0, 0) @[Bitwise.scala 72:15] + node _T_39541 = mux(_T_39540, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39542 = and(UInt<32>("h082f63b78"), _T_39541) @[exu_mul_ctl.scala 354:62] + node _T_39543 = xor(_T_39538, _T_39542) @[exu_mul_ctl.scala 354:43] + crc32c_wd[6] <= _T_39543 @[exu_mul_ctl.scala 354:18] + node _T_39544 = shr(crc32c_wd[6], 1) @[exu_mul_ctl.scala 354:37] + node _T_39545 = bits(crc32c_wd[6], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39546 = bits(_T_39545, 0, 0) @[Bitwise.scala 72:15] + node _T_39547 = mux(_T_39546, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39548 = and(UInt<32>("h082f63b78"), _T_39547) @[exu_mul_ctl.scala 354:62] + node _T_39549 = xor(_T_39544, _T_39548) @[exu_mul_ctl.scala 354:43] + crc32c_wd[7] <= _T_39549 @[exu_mul_ctl.scala 354:18] + node _T_39550 = shr(crc32c_wd[7], 1) @[exu_mul_ctl.scala 354:37] + node _T_39551 = bits(crc32c_wd[7], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39552 = bits(_T_39551, 0, 0) @[Bitwise.scala 72:15] + node _T_39553 = mux(_T_39552, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39554 = and(UInt<32>("h082f63b78"), _T_39553) @[exu_mul_ctl.scala 354:62] + node _T_39555 = xor(_T_39550, _T_39554) @[exu_mul_ctl.scala 354:43] + crc32c_wd[8] <= _T_39555 @[exu_mul_ctl.scala 354:18] + node _T_39556 = shr(crc32c_wd[8], 1) @[exu_mul_ctl.scala 354:37] + node _T_39557 = bits(crc32c_wd[8], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39558 = bits(_T_39557, 0, 0) @[Bitwise.scala 72:15] + node _T_39559 = mux(_T_39558, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39560 = and(UInt<32>("h082f63b78"), _T_39559) @[exu_mul_ctl.scala 354:62] + node _T_39561 = xor(_T_39556, _T_39560) @[exu_mul_ctl.scala 354:43] + crc32c_wd[9] <= _T_39561 @[exu_mul_ctl.scala 354:18] + node _T_39562 = shr(crc32c_wd[9], 1) @[exu_mul_ctl.scala 354:37] + node _T_39563 = bits(crc32c_wd[9], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39564 = bits(_T_39563, 0, 0) @[Bitwise.scala 72:15] + node _T_39565 = mux(_T_39564, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39566 = and(UInt<32>("h082f63b78"), _T_39565) @[exu_mul_ctl.scala 354:62] + node _T_39567 = xor(_T_39562, _T_39566) @[exu_mul_ctl.scala 354:43] + crc32c_wd[10] <= _T_39567 @[exu_mul_ctl.scala 354:18] + node _T_39568 = shr(crc32c_wd[10], 1) @[exu_mul_ctl.scala 354:37] + node _T_39569 = bits(crc32c_wd[10], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39570 = bits(_T_39569, 0, 0) @[Bitwise.scala 72:15] + node _T_39571 = mux(_T_39570, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39572 = and(UInt<32>("h082f63b78"), _T_39571) @[exu_mul_ctl.scala 354:62] + node _T_39573 = xor(_T_39568, _T_39572) @[exu_mul_ctl.scala 354:43] + crc32c_wd[11] <= _T_39573 @[exu_mul_ctl.scala 354:18] + node _T_39574 = shr(crc32c_wd[11], 1) @[exu_mul_ctl.scala 354:37] + node _T_39575 = bits(crc32c_wd[11], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39576 = bits(_T_39575, 0, 0) @[Bitwise.scala 72:15] + node _T_39577 = mux(_T_39576, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39578 = and(UInt<32>("h082f63b78"), _T_39577) @[exu_mul_ctl.scala 354:62] + node _T_39579 = xor(_T_39574, _T_39578) @[exu_mul_ctl.scala 354:43] + crc32c_wd[12] <= _T_39579 @[exu_mul_ctl.scala 354:18] + node _T_39580 = shr(crc32c_wd[12], 1) @[exu_mul_ctl.scala 354:37] + node _T_39581 = bits(crc32c_wd[12], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39582 = bits(_T_39581, 0, 0) @[Bitwise.scala 72:15] + node _T_39583 = mux(_T_39582, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39584 = and(UInt<32>("h082f63b78"), _T_39583) @[exu_mul_ctl.scala 354:62] + node _T_39585 = xor(_T_39580, _T_39584) @[exu_mul_ctl.scala 354:43] + crc32c_wd[13] <= _T_39585 @[exu_mul_ctl.scala 354:18] + node _T_39586 = shr(crc32c_wd[13], 1) @[exu_mul_ctl.scala 354:37] + node _T_39587 = bits(crc32c_wd[13], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39588 = bits(_T_39587, 0, 0) @[Bitwise.scala 72:15] + node _T_39589 = mux(_T_39588, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39590 = and(UInt<32>("h082f63b78"), _T_39589) @[exu_mul_ctl.scala 354:62] + node _T_39591 = xor(_T_39586, _T_39590) @[exu_mul_ctl.scala 354:43] + crc32c_wd[14] <= _T_39591 @[exu_mul_ctl.scala 354:18] + node _T_39592 = shr(crc32c_wd[14], 1) @[exu_mul_ctl.scala 354:37] + node _T_39593 = bits(crc32c_wd[14], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39594 = bits(_T_39593, 0, 0) @[Bitwise.scala 72:15] + node _T_39595 = mux(_T_39594, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39596 = and(UInt<32>("h082f63b78"), _T_39595) @[exu_mul_ctl.scala 354:62] + node _T_39597 = xor(_T_39592, _T_39596) @[exu_mul_ctl.scala 354:43] + crc32c_wd[15] <= _T_39597 @[exu_mul_ctl.scala 354:18] + node _T_39598 = shr(crc32c_wd[15], 1) @[exu_mul_ctl.scala 354:37] + node _T_39599 = bits(crc32c_wd[15], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39600 = bits(_T_39599, 0, 0) @[Bitwise.scala 72:15] + node _T_39601 = mux(_T_39600, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39602 = and(UInt<32>("h082f63b78"), _T_39601) @[exu_mul_ctl.scala 354:62] + node _T_39603 = xor(_T_39598, _T_39602) @[exu_mul_ctl.scala 354:43] + crc32c_wd[16] <= _T_39603 @[exu_mul_ctl.scala 354:18] + node _T_39604 = shr(crc32c_wd[16], 1) @[exu_mul_ctl.scala 354:37] + node _T_39605 = bits(crc32c_wd[16], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39606 = bits(_T_39605, 0, 0) @[Bitwise.scala 72:15] + node _T_39607 = mux(_T_39606, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39608 = and(UInt<32>("h082f63b78"), _T_39607) @[exu_mul_ctl.scala 354:62] + node _T_39609 = xor(_T_39604, _T_39608) @[exu_mul_ctl.scala 354:43] + crc32c_wd[17] <= _T_39609 @[exu_mul_ctl.scala 354:18] + node _T_39610 = shr(crc32c_wd[17], 1) @[exu_mul_ctl.scala 354:37] + node _T_39611 = bits(crc32c_wd[17], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39612 = bits(_T_39611, 0, 0) @[Bitwise.scala 72:15] + node _T_39613 = mux(_T_39612, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39614 = and(UInt<32>("h082f63b78"), _T_39613) @[exu_mul_ctl.scala 354:62] + node _T_39615 = xor(_T_39610, _T_39614) @[exu_mul_ctl.scala 354:43] + crc32c_wd[18] <= _T_39615 @[exu_mul_ctl.scala 354:18] + node _T_39616 = shr(crc32c_wd[18], 1) @[exu_mul_ctl.scala 354:37] + node _T_39617 = bits(crc32c_wd[18], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39618 = bits(_T_39617, 0, 0) @[Bitwise.scala 72:15] + node _T_39619 = mux(_T_39618, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39620 = and(UInt<32>("h082f63b78"), _T_39619) @[exu_mul_ctl.scala 354:62] + node _T_39621 = xor(_T_39616, _T_39620) @[exu_mul_ctl.scala 354:43] + crc32c_wd[19] <= _T_39621 @[exu_mul_ctl.scala 354:18] + node _T_39622 = shr(crc32c_wd[19], 1) @[exu_mul_ctl.scala 354:37] + node _T_39623 = bits(crc32c_wd[19], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39624 = bits(_T_39623, 0, 0) @[Bitwise.scala 72:15] + node _T_39625 = mux(_T_39624, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39626 = and(UInt<32>("h082f63b78"), _T_39625) @[exu_mul_ctl.scala 354:62] + node _T_39627 = xor(_T_39622, _T_39626) @[exu_mul_ctl.scala 354:43] + crc32c_wd[20] <= _T_39627 @[exu_mul_ctl.scala 354:18] + node _T_39628 = shr(crc32c_wd[20], 1) @[exu_mul_ctl.scala 354:37] + node _T_39629 = bits(crc32c_wd[20], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39630 = bits(_T_39629, 0, 0) @[Bitwise.scala 72:15] + node _T_39631 = mux(_T_39630, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39632 = and(UInt<32>("h082f63b78"), _T_39631) @[exu_mul_ctl.scala 354:62] + node _T_39633 = xor(_T_39628, _T_39632) @[exu_mul_ctl.scala 354:43] + crc32c_wd[21] <= _T_39633 @[exu_mul_ctl.scala 354:18] + node _T_39634 = shr(crc32c_wd[21], 1) @[exu_mul_ctl.scala 354:37] + node _T_39635 = bits(crc32c_wd[21], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39636 = bits(_T_39635, 0, 0) @[Bitwise.scala 72:15] + node _T_39637 = mux(_T_39636, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39638 = and(UInt<32>("h082f63b78"), _T_39637) @[exu_mul_ctl.scala 354:62] + node _T_39639 = xor(_T_39634, _T_39638) @[exu_mul_ctl.scala 354:43] + crc32c_wd[22] <= _T_39639 @[exu_mul_ctl.scala 354:18] + node _T_39640 = shr(crc32c_wd[22], 1) @[exu_mul_ctl.scala 354:37] + node _T_39641 = bits(crc32c_wd[22], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39642 = bits(_T_39641, 0, 0) @[Bitwise.scala 72:15] + node _T_39643 = mux(_T_39642, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39644 = and(UInt<32>("h082f63b78"), _T_39643) @[exu_mul_ctl.scala 354:62] + node _T_39645 = xor(_T_39640, _T_39644) @[exu_mul_ctl.scala 354:43] + crc32c_wd[23] <= _T_39645 @[exu_mul_ctl.scala 354:18] + node _T_39646 = shr(crc32c_wd[23], 1) @[exu_mul_ctl.scala 354:37] + node _T_39647 = bits(crc32c_wd[23], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39648 = bits(_T_39647, 0, 0) @[Bitwise.scala 72:15] + node _T_39649 = mux(_T_39648, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39650 = and(UInt<32>("h082f63b78"), _T_39649) @[exu_mul_ctl.scala 354:62] + node _T_39651 = xor(_T_39646, _T_39650) @[exu_mul_ctl.scala 354:43] + crc32c_wd[24] <= _T_39651 @[exu_mul_ctl.scala 354:18] + node _T_39652 = shr(crc32c_wd[24], 1) @[exu_mul_ctl.scala 354:37] + node _T_39653 = bits(crc32c_wd[24], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39654 = bits(_T_39653, 0, 0) @[Bitwise.scala 72:15] + node _T_39655 = mux(_T_39654, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39656 = and(UInt<32>("h082f63b78"), _T_39655) @[exu_mul_ctl.scala 354:62] + node _T_39657 = xor(_T_39652, _T_39656) @[exu_mul_ctl.scala 354:43] + crc32c_wd[25] <= _T_39657 @[exu_mul_ctl.scala 354:18] + node _T_39658 = shr(crc32c_wd[25], 1) @[exu_mul_ctl.scala 354:37] + node _T_39659 = bits(crc32c_wd[25], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39660 = bits(_T_39659, 0, 0) @[Bitwise.scala 72:15] + node _T_39661 = mux(_T_39660, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39662 = and(UInt<32>("h082f63b78"), _T_39661) @[exu_mul_ctl.scala 354:62] + node _T_39663 = xor(_T_39658, _T_39662) @[exu_mul_ctl.scala 354:43] + crc32c_wd[26] <= _T_39663 @[exu_mul_ctl.scala 354:18] + node _T_39664 = shr(crc32c_wd[26], 1) @[exu_mul_ctl.scala 354:37] + node _T_39665 = bits(crc32c_wd[26], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39666 = bits(_T_39665, 0, 0) @[Bitwise.scala 72:15] + node _T_39667 = mux(_T_39666, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39668 = and(UInt<32>("h082f63b78"), _T_39667) @[exu_mul_ctl.scala 354:62] + node _T_39669 = xor(_T_39664, _T_39668) @[exu_mul_ctl.scala 354:43] + crc32c_wd[27] <= _T_39669 @[exu_mul_ctl.scala 354:18] + node _T_39670 = shr(crc32c_wd[27], 1) @[exu_mul_ctl.scala 354:37] + node _T_39671 = bits(crc32c_wd[27], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39672 = bits(_T_39671, 0, 0) @[Bitwise.scala 72:15] + node _T_39673 = mux(_T_39672, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39674 = and(UInt<32>("h082f63b78"), _T_39673) @[exu_mul_ctl.scala 354:62] + node _T_39675 = xor(_T_39670, _T_39674) @[exu_mul_ctl.scala 354:43] + crc32c_wd[28] <= _T_39675 @[exu_mul_ctl.scala 354:18] + node _T_39676 = shr(crc32c_wd[28], 1) @[exu_mul_ctl.scala 354:37] + node _T_39677 = bits(crc32c_wd[28], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39678 = bits(_T_39677, 0, 0) @[Bitwise.scala 72:15] + node _T_39679 = mux(_T_39678, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39680 = and(UInt<32>("h082f63b78"), _T_39679) @[exu_mul_ctl.scala 354:62] + node _T_39681 = xor(_T_39676, _T_39680) @[exu_mul_ctl.scala 354:43] + crc32c_wd[29] <= _T_39681 @[exu_mul_ctl.scala 354:18] + node _T_39682 = shr(crc32c_wd[29], 1) @[exu_mul_ctl.scala 354:37] + node _T_39683 = bits(crc32c_wd[29], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39684 = bits(_T_39683, 0, 0) @[Bitwise.scala 72:15] + node _T_39685 = mux(_T_39684, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39686 = and(UInt<32>("h082f63b78"), _T_39685) @[exu_mul_ctl.scala 354:62] + node _T_39687 = xor(_T_39682, _T_39686) @[exu_mul_ctl.scala 354:43] + crc32c_wd[30] <= _T_39687 @[exu_mul_ctl.scala 354:18] + node _T_39688 = shr(crc32c_wd[30], 1) @[exu_mul_ctl.scala 354:37] + node _T_39689 = bits(crc32c_wd[30], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39690 = bits(_T_39689, 0, 0) @[Bitwise.scala 72:15] + node _T_39691 = mux(_T_39690, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39692 = and(UInt<32>("h082f63b78"), _T_39691) @[exu_mul_ctl.scala 354:62] + node _T_39693 = xor(_T_39688, _T_39692) @[exu_mul_ctl.scala 354:43] + crc32c_wd[31] <= _T_39693 @[exu_mul_ctl.scala 354:18] + node _T_39694 = shr(crc32c_wd[31], 1) @[exu_mul_ctl.scala 354:37] + node _T_39695 = bits(crc32c_wd[31], 0, 0) @[exu_mul_ctl.scala 354:86] + node _T_39696 = bits(_T_39695, 0, 0) @[Bitwise.scala 72:15] + node _T_39697 = mux(_T_39696, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_39698 = and(UInt<32>("h082f63b78"), _T_39697) @[exu_mul_ctl.scala 354:62] + node _T_39699 = xor(_T_39694, _T_39698) @[exu_mul_ctl.scala 354:43] + crc32c_wd[32] <= _T_39699 @[exu_mul_ctl.scala 354:18] + node _T_39700 = or(ap_bext, ap_bdep) @[exu_mul_ctl.scala 361:41] + node _T_39701 = or(_T_39700, ap_clmul) @[exu_mul_ctl.scala 361:51] + node _T_39702 = or(_T_39701, ap_clmulh) @[exu_mul_ctl.scala 361:62] + node _T_39703 = or(_T_39702, ap_clmulr) @[exu_mul_ctl.scala 361:74] + node _T_39704 = or(_T_39703, ap_grev) @[exu_mul_ctl.scala 361:86] + node _T_39705 = or(_T_39704, ap_gorc) @[exu_mul_ctl.scala 361:96] + node _T_39706 = or(_T_39705, ap_shfl) @[exu_mul_ctl.scala 361:106] + node _T_39707 = or(_T_39706, ap_unshfl) @[exu_mul_ctl.scala 361:116] + node _T_39708 = or(_T_39707, crc32_all) @[exu_mul_ctl.scala 361:128] + node bitmanip_sel_d = or(_T_39708, ap_bfp) @[exu_mul_ctl.scala 361:140] + node _T_39709 = bits(bext_d, 31, 0) @[exu_mul_ctl.scala 364:32] + node _T_39710 = bits(bdep_d, 31, 0) @[exu_mul_ctl.scala 365:32] + node _T_39711 = bits(clmul_raw_d, 31, 0) @[exu_mul_ctl.scala 366:37] + node _T_39712 = bits(clmul_raw_d, 62, 32) @[exu_mul_ctl.scala 367:50] + node _T_39713 = cat(UInt<1>("h00"), _T_39712) @[Cat.scala 29:58] + node _T_39714 = bits(clmul_raw_d, 62, 31) @[exu_mul_ctl.scala 368:37] + node _T_39715 = bits(grev_d, 31, 0) @[exu_mul_ctl.scala 369:32] + node _T_39716 = bits(gorc_d, 31, 0) @[exu_mul_ctl.scala 370:32] + node _T_39717 = bits(shfl_d, 31, 0) @[exu_mul_ctl.scala 371:32] + node _T_39718 = bits(unshfl_d, 31, 0) @[exu_mul_ctl.scala 372:34] + node _T_39719 = bits(crc32_bd[8], 31, 0) @[exu_mul_ctl.scala 373:37] + node _T_39720 = bits(crc32_hd[16], 31, 0) @[exu_mul_ctl.scala 374:38] + node _T_39721 = bits(crc32_wd[32], 31, 0) @[exu_mul_ctl.scala 375:38] + node _T_39722 = bits(crc32c_bd[8], 31, 0) @[exu_mul_ctl.scala 376:38] + node _T_39723 = bits(crc32c_hd[16], 31, 0) @[exu_mul_ctl.scala 377:39] + node _T_39724 = bits(crc32c_wd[32], 31, 0) @[exu_mul_ctl.scala 378:39] + node _T_39725 = bits(bfp_result_d, 31, 0) @[exu_mul_ctl.scala 379:38] + node _T_39726 = mux(ap_bext, _T_39709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39727 = mux(ap_bdep, _T_39710, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39728 = mux(ap_clmul, _T_39711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39729 = mux(ap_clmulh, _T_39713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39730 = mux(ap_clmulr, _T_39714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39731 = mux(ap_grev, _T_39715, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39732 = mux(ap_gorc, _T_39716, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39733 = mux(ap_shfl, _T_39717, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39734 = mux(ap_unshfl, _T_39718, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39735 = mux(ap_crc32_b, _T_39719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39736 = mux(ap_crc32_h, _T_39720, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39737 = mux(ap_crc32_w, _T_39721, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39738 = mux(ap_crc32c_b, _T_39722, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39739 = mux(ap_crc32c_h, _T_39723, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39740 = mux(ap_crc32c_w, _T_39724, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39741 = mux(ap_bfp, _T_39725, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39742 = or(_T_39726, _T_39727) @[Mux.scala 27:72] + node _T_39743 = or(_T_39742, _T_39728) @[Mux.scala 27:72] + node _T_39744 = or(_T_39743, _T_39729) @[Mux.scala 27:72] + node _T_39745 = or(_T_39744, _T_39730) @[Mux.scala 27:72] + node _T_39746 = or(_T_39745, _T_39731) @[Mux.scala 27:72] + node _T_39747 = or(_T_39746, _T_39732) @[Mux.scala 27:72] + node _T_39748 = or(_T_39747, _T_39733) @[Mux.scala 27:72] + node _T_39749 = or(_T_39748, _T_39734) @[Mux.scala 27:72] + node _T_39750 = or(_T_39749, _T_39735) @[Mux.scala 27:72] + node _T_39751 = or(_T_39750, _T_39736) @[Mux.scala 27:72] + node _T_39752 = or(_T_39751, _T_39737) @[Mux.scala 27:72] + node _T_39753 = or(_T_39752, _T_39738) @[Mux.scala 27:72] + node _T_39754 = or(_T_39753, _T_39739) @[Mux.scala 27:72] + node _T_39755 = or(_T_39754, _T_39740) @[Mux.scala 27:72] + node _T_39756 = or(_T_39755, _T_39741) @[Mux.scala 27:72] + wire bitmanip_d : UInt<32> @[Mux.scala 27:72] + bitmanip_d <= _T_39756 @[Mux.scala 27:72] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 399:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_3.io.en <= io.mul_p.valid @[lib.scala 402:17] + rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg bitmanip_sel_x : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.mul_p.valid : @[Reg.scala 28:19] + bitmanip_sel_x <= bitmanip_sel_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 399:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 401:18] + rvclkhdr_4.io.en <= io.mul_p.valid @[lib.scala 402:17] + rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 403:24] + reg bitmanip_x : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.mul_p.valid : @[Reg.scala 28:19] + bitmanip_x <= bitmanip_d @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_39757 = not(bitmanip_sel_x) @[exu_mul_ctl.scala 388:28] + node _T_39758 = not(low_x) @[exu_mul_ctl.scala 388:46] + node _T_39759 = and(_T_39757, _T_39758) @[exu_mul_ctl.scala 388:44] + node _T_39760 = cat(_T_39759, _T_39759) @[Cat.scala 29:58] + node _T_39761 = cat(_T_39760, _T_39760) @[Cat.scala 29:58] + node _T_39762 = cat(_T_39761, _T_39761) @[Cat.scala 29:58] + node _T_39763 = cat(_T_39762, _T_39762) @[Cat.scala 29:58] + node _T_39764 = cat(_T_39763, _T_39763) @[Cat.scala 29:58] + node _T_39765 = bits(prod_x, 63, 32) @[exu_mul_ctl.scala 388:62] + node _T_39766 = and(_T_39764, _T_39765) @[exu_mul_ctl.scala 388:54] + node _T_39767 = not(bitmanip_sel_x) @[exu_mul_ctl.scala 389:14] + node _T_39768 = and(_T_39767, low_x) @[exu_mul_ctl.scala 389:30] + node _T_39769 = cat(_T_39768, _T_39768) @[Cat.scala 29:58] + node _T_39770 = cat(_T_39769, _T_39769) @[Cat.scala 29:58] + node _T_39771 = cat(_T_39770, _T_39770) @[Cat.scala 29:58] + node _T_39772 = cat(_T_39771, _T_39771) @[Cat.scala 29:58] + node _T_39773 = cat(_T_39772, _T_39772) @[Cat.scala 29:58] + node _T_39774 = bits(prod_x, 31, 0) @[exu_mul_ctl.scala 389:48] + node _T_39775 = and(_T_39773, _T_39774) @[exu_mul_ctl.scala 389:40] + node _T_39776 = or(_T_39766, _T_39775) @[exu_mul_ctl.scala 388:75] + node _T_39777 = or(_T_39776, bitmanip_x) @[exu_mul_ctl.scala 389:61] + io.result_x <= _T_39777 @[exu_mul_ctl.scala 388:15] + diff --git a/exu_mul_ctl.v b/exu_mul_ctl.v new file mode 100644 index 00000000..d06bb11e --- /dev/null +++ b/exu_mul_ctl.v @@ -0,0 +1,198 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = 1'h0; // @[lib.scala 338:18] +endmodule +module exu_mul_ctl( + input clock, + input reset, + input io_scan_mode, + input io_mul_p_valid, + input io_mul_p_bits_rs1_sign, + input io_mul_p_bits_rs2_sign, + input io_mul_p_bits_low, + input io_mul_p_bits_bext, + input io_mul_p_bits_bdep, + input io_mul_p_bits_clmul, + input io_mul_p_bits_clmulh, + input io_mul_p_bits_clmulr, + input io_mul_p_bits_grev, + input io_mul_p_bits_gorc, + input io_mul_p_bits_shfl, + input io_mul_p_bits_unshfl, + input io_mul_p_bits_crc32_b, + input io_mul_p_bits_crc32_h, + input io_mul_p_bits_crc32_w, + input io_mul_p_bits_crc32c_b, + input io_mul_p_bits_crc32c_h, + input io_mul_p_bits_crc32c_w, + input io_mul_p_bits_bfp, + input [31:0] io_rs1_in, + input [31:0] io_rs2_in, + output [31:0] io_result_x +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [63:0] _RAND_1; + reg [63:0] _RAND_2; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_io_en; // @[lib.scala 399:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 422:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 422:23] + wire rvclkhdr_1_io_en; // @[lib.scala 422:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 422:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 422:23] + wire rvclkhdr_2_io_en; // @[lib.scala 422:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_3_io_en; // @[lib.scala 399:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 399:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 399:23] + wire rvclkhdr_4_io_en; // @[lib.scala 399:23] + wire _T_1 = io_mul_p_bits_rs1_sign & io_rs1_in[31]; // @[exu_mul_ctl.scala 123:44] + wire _T_5 = io_mul_p_bits_rs2_sign & io_rs2_in[31]; // @[exu_mul_ctl.scala 124:44] + reg low_x; // @[Reg.scala 27:20] + reg [32:0] rs1_x; // @[lib.scala 428:16] + reg [32:0] rs2_x; // @[lib.scala 428:16] + wire [65:0] prod_x = $signed(rs1_x) * $signed(rs2_x); // @[exu_mul_ctl.scala 130:20] + wire _T_39758 = ~low_x; // @[exu_mul_ctl.scala 388:46] + wire [7:0] _T_39762 = {_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758}; // @[Cat.scala 29:58] + wire [15:0] _T_39763 = {_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39762}; // @[Cat.scala 29:58] + wire [31:0] _T_39764 = {_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39758,_T_39762,_T_39763}; // @[Cat.scala 29:58] + wire [31:0] _T_39766 = _T_39764 & prod_x[63:32]; // @[exu_mul_ctl.scala 388:54] + wire [7:0] _T_39771 = {low_x,low_x,low_x,low_x,low_x,low_x,low_x,low_x}; // @[Cat.scala 29:58] + wire [15:0] _T_39772 = {low_x,low_x,low_x,low_x,low_x,low_x,low_x,low_x,_T_39771}; // @[Cat.scala 29:58] + wire [31:0] _T_39773 = {low_x,low_x,low_x,low_x,low_x,low_x,low_x,low_x,_T_39771,_T_39772}; // @[Cat.scala 29:58] + wire [31:0] _T_39775 = _T_39773 & prod_x[31:0]; // @[exu_mul_ctl.scala 389:40] + rvclkhdr rvclkhdr ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 422:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 422:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 399:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en) + ); + assign io_result_x = _T_39766 | _T_39775; // @[exu_mul_ctl.scala 388:15] + assign rvclkhdr_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_io_en = io_mul_p_valid; // @[lib.scala 402:17] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 424:18] + assign rvclkhdr_1_io_en = io_mul_p_valid; // @[lib.scala 425:17] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 424:18] + assign rvclkhdr_2_io_en = io_mul_p_valid; // @[lib.scala 425:17] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_3_io_en = io_mul_p_valid; // @[lib.scala 402:17] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 401:18] + assign rvclkhdr_4_io_en = io_mul_p_valid; // @[lib.scala 402:17] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + low_x = _RAND_0[0:0]; + _RAND_1 = {2{`RANDOM}}; + rs1_x = _RAND_1[32:0]; + _RAND_2 = {2{`RANDOM}}; + rs2_x = _RAND_2[32:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + low_x = 1'h0; + end + if (reset) begin + rs1_x = 33'sh0; + end + if (reset) begin + rs2_x = 33'sh0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + low_x <= 1'h0; + end else if (io_mul_p_valid) begin + low_x <= io_mul_p_bits_low; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + rs1_x <= 33'sh0; + end else begin + rs1_x <= {_T_1,io_rs1_in}; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + rs2_x <= 33'sh0; + end else begin + rs2_x <= {_T_5,io_rs2_in}; + end + end +endmodule diff --git a/src/main/scala/dec/dec.scala b/src/main/scala/dec/dec.scala index e76d0cc2..b3803cd8 100644 --- a/src/main/scala/dec/dec.scala +++ b/src/main/scala/dec/dec.scala @@ -1,301 +1,301 @@ -package dec -import chisel3._ -import chisel3.util._ -import include._ -import lib._ -import lsu._ - -class dec_IO extends Bundle with lib { - val free_clk = Input(Clock()) - val active_clk = Input(Clock()) - val lsu_fastint_stall_any = Input(Bool()) // needed by lsu for 2nd pass of dma with ecc correction, stall next cycle - val dec_pause_state_cg = Output(Bool()) // to top for active state clock gating - val rst_vec = Input(UInt(31.W)) // [31:1] reset vector, from core pins - - val nmi_int = Input(Bool()) // NMI pin - val nmi_vec = Input(UInt(31.W)) // [31:1] NMI vector, from pins - - val i_cpu_halt_req = Input(Bool()) // Asynchronous Halt request to CPU - val i_cpu_run_req = Input(Bool()) // Asynchronous Restart request to CPU - - val o_cpu_halt_status = Output(Bool()) // Halt status of core (pmu/fw) - val o_cpu_halt_ack = Output(Bool()) // Halt request ack - val o_cpu_run_ack = Output(Bool()) // Run request ack - val o_debug_mode_status = Output(Bool()) // Core to the PMU that core is in debug mode. When core is in debug mode, the PMU should refrain from sendng a halt or run request - - val core_id = Input(UInt(28.W)) // [31:4] CORE ID - - val mpc_debug_halt_req = Input(Bool()) // Async halt request - val mpc_debug_run_req = Input(Bool()) // Async run request - val mpc_reset_run_req = Input(Bool()) // Run/halt after reset - val mpc_debug_halt_ack = Output(Bool()) // Halt ack - val mpc_debug_run_ack = Output(Bool()) // Run ack - val debug_brkpt_status = Output(Bool()) // debug breakpoint - val lsu_pmu_misaligned_m = Input(Bool()) // D side load or store misaligned - - - val lsu_fir_addr = Input(UInt(31.W)) //[31:1] Fast int address - val lsu_fir_error = Input(UInt(2.W)) //[1:0] Fast int lookup error - - val lsu_trigger_match_m = Input(UInt(4.W)) - val lsu_idle_any = Input(Bool()) // lsu idle for halting - val lsu_error_pkt_r = Flipped(Valid(new lsu_error_pkt_t)) // LSU exception/error packet - val lsu_single_ecc_error_incr = Input(Bool())// LSU inc SB error counter - val exu_div_result = Input(UInt(32.W)) // final div result - val exu_div_wren = Input(UInt(1.W)) // Divide write enable to GPR - val lsu_result_m = Input(UInt(32.W)) // load result - val lsu_result_corr_r = Input(UInt(32.W)) // load result - corrected load data - - val lsu_load_stall_any = Input(Bool()) // This is for blocking loads - val lsu_store_stall_any = Input(Bool()) // This is for blocking stores - - - val iccm_dma_sb_error = Input(Bool()) // ICCM DMA single bit error - - val exu_flush_final = Input(Bool()) // slot0 flush - val timer_int = Input(Bool()) // Timer interrupt pending (from pin) - val soft_int = Input(Bool()) // Software interrupt pending (from pin) - - - - // Debug start - val dbg_halt_req = Input(Bool()) // DM requests a halt - val dbg_resume_req = Input(Bool()) // DM requests a resume - val dec_tlu_dbg_halted = Output(Bool()) // Core is halted and ready for debug command - val dec_tlu_debug_mode = Output(Bool()) // Core is in debug mode - val dec_tlu_resume_ack = Output(Bool()) // Resume acknowledge - val dec_tlu_mpc_halted_only = Output(Bool()) // Core is halted only due to MPC - val dec_dbg_rddata = Output(UInt(32.W)) // debug command read data - - val dec_dbg_cmd_done = Output(Bool()) // abstract command is done - val dec_dbg_cmd_fail = Output(Bool()) // abstract command failed (illegal reg address) - - val trigger_pkt_any = Output(Vec(4,new trigger_pkt_t)) // info needed by debug trigger blocks - val exu_i0_br_way_r = Input(Bool()) // way hit or repl - val lsu_p = Valid(new lsu_pkt_t) // lsu packet - val dec_lsu_offset_d = Output(UInt(12.W)) // 12b offset for load/store addresses - val dec_tlu_i0_kill_writeb_r = Output(Bool()) // I0 is flushed, don't writeback any results to arch state - val dec_tlu_perfcnt0 = Output(Bool()) // toggles when slot0 perf counter 0 has an event inc - val dec_tlu_perfcnt1 = Output(Bool()) // toggles when slot0 perf counter 1 has an event inc - val dec_tlu_perfcnt2 = Output(Bool()) // toggles when slot0 perf counter 2 has an event inc - val dec_tlu_perfcnt3 = Output(Bool()) // toggles when slot0 perf counter 3 has an event inc - val dec_lsu_valid_raw_d = Output(Bool()) - val rv_trace_pkt = (new trace_pkt_t) // trace packet - - // clock gating overrides from mcgc - val dec_tlu_misc_clk_override = Output(Bool()) // override misc clock domain gating - val dec_tlu_ifu_clk_override = Output(Bool()) // override fetch clock domain gating - val dec_tlu_lsu_clk_override = Output(Bool()) // override load/store clock domain gating - val dec_tlu_bus_clk_override = Output(Bool()) // override bus clock domain gating - val dec_tlu_pic_clk_override = Output(Bool()) // override PIC clock domain gating - val dec_tlu_dccm_clk_override = Output(Bool()) // override DCCM clock domain gating - val dec_tlu_icm_clk_override = Output(Bool()) // override ICCM clock domain gating - - val scan_mode = Input(Bool()) - val ifu_dec = Flipped(new ifu_dec) - val dec_exu = Flipped(new dec_exu) - val lsu_dec = Flipped (new lsu_dec) - val lsu_tlu = Flipped (new lsu_tlu) - val dec_dbg = new dec_dbg - val dec_dma = new dec_dma - val dec_pic = new dec_pic -} -class dec extends Module with param with RequireAsyncReset{ - val io = IO(new dec_IO) - - val dec_i0_inst_wb1 = WireInit(UInt(32.W),0.U) - val dec_i0_pc_wb1 = WireInit(UInt(32.W),0.U) - val dec_tlu_i0_valid_wb1 = WireInit(UInt(1.W),0.U) - val dec_tlu_int_valid_wb1 = WireInit(UInt(1.W),0.U) - - val dec_tlu_exc_cause_wb1 = WireInit(UInt(5.W),0.U) - val dec_tlu_mtval_wb1 = WireInit(UInt(32.W),0.U) - val dec_tlu_i0_exc_valid_wb1 = WireInit(Bool(),0.B) - - - //--------------------------------------------------------------------------// - val instbuff = Module(new dec_ib_ctl) - val decode = Module(new dec_decode_ctl) - val gpr = Module(new dec_gpr_ctl) - val tlu = Module(new dec_tlu_ctl) - val dec_trigger = Module(new dec_trigger) - - //connections for dec_Ib - //inputs - instbuff.io.ifu_ib <> io.ifu_dec.dec_aln.aln_ib - instbuff.io.ib_exu <> io.dec_exu.ib_exu - instbuff.io.dbg_ib <> io.dec_dbg.dbg_ib - dec_trigger.io.dec_i0_pc_d := instbuff.io.ib_exu.dec_i0_pc_d - dec_trigger.io.trigger_pkt_any := tlu.io.trigger_pkt_any - - val dec_i0_trigger_match_d = dec_trigger.io.dec_i0_trigger_match_d - dontTouch(dec_i0_trigger_match_d) - decode.io.dec_aln <> io.ifu_dec.dec_aln.aln_dec - - decode.io.decode_exu<> io.dec_exu.decode_exu - decode.io.dec_alu<> io.dec_exu.dec_alu - decode.io.dec_div<> io.dec_exu.dec_div - decode.io.dctl_dma <> io.dec_dma.dctl_dma - decode.io.dec_tlu_flush_extint := tlu.io.dec_tlu_flush_extint - decode.io.dec_tlu_force_halt := tlu.io.tlu_mem.dec_tlu_force_halt - decode.io.dctl_busbuff <> io.lsu_dec.dctl_busbuff - decode.io.dec_i0_trigger_match_d := dec_i0_trigger_match_d - decode.io.dec_tlu_wr_pause_r := tlu.io.dec_tlu_wr_pause_r - decode.io.dec_tlu_pipelining_disable := tlu.io.dec_tlu_pipelining_disable - decode.io.lsu_trigger_match_m := io.lsu_trigger_match_m - decode.io.lsu_pmu_misaligned_m := io.lsu_pmu_misaligned_m - decode.io.dec_tlu_debug_stall := tlu.io.dec_tlu_debug_stall - decode.io.dec_tlu_flush_leak_one_r := tlu.io.tlu_bp.dec_tlu_flush_leak_one_wb - decode.io.dec_debug_fence_d := instbuff.io.dec_debug_fence_d - decode.io.dbg_dctl <> io.dec_dbg.dbg_dctl - decode.io.dec_i0_icaf_d := instbuff.io.dec_i0_icaf_d - decode.io.dec_i0_icaf_f1_d := instbuff.io.dec_i0_icaf_f1_d - decode.io.dec_i0_icaf_type_d := instbuff.io.dec_i0_icaf_type_d - decode.io.dec_i0_dbecc_d := instbuff.io.dec_i0_dbecc_d - decode.io.dec_i0_brp := instbuff.io.dec_i0_brp - decode.io.dec_i0_bp_index := instbuff.io.dec_i0_bp_index - decode.io.dec_i0_bp_fghr := instbuff.io.dec_i0_bp_fghr - decode.io.dec_i0_bp_btag := instbuff.io.dec_i0_bp_btag - decode.io.dec_i0_pc_d := instbuff.io.ib_exu.dec_i0_pc_d - decode.io.lsu_idle_any := io.lsu_idle_any - decode.io.lsu_load_stall_any := io.lsu_load_stall_any - decode.io.lsu_store_stall_any := io.lsu_store_stall_any - decode.io.exu_div_wren := io.exu_div_wren - decode.io.dec_tlu_i0_kill_writeb_wb := tlu.io.dec_tlu_i0_kill_writeb_wb - decode.io.dec_tlu_flush_lower_wb := tlu.io.dec_tlu_flush_lower_wb - decode.io.dec_tlu_i0_kill_writeb_r := tlu.io.dec_tlu_i0_kill_writeb_r - decode.io.dec_tlu_flush_lower_r := tlu.io.tlu_exu.dec_tlu_flush_lower_r - decode.io.dec_tlu_flush_pause_r := tlu.io.dec_tlu_flush_pause_r - decode.io.dec_tlu_presync_d := tlu.io.dec_tlu_presync_d - decode.io.dec_tlu_postsync_d := tlu.io.dec_tlu_postsync_d - decode.io.dec_i0_pc4_d := instbuff.io.dec_i0_pc4_d - decode.io.dec_csr_rddata_d := tlu.io.dec_csr_rddata_d - decode.io.dec_csr_legal_d := tlu.io.dec_csr_legal_d - decode.io.lsu_result_m := io.lsu_result_m - decode.io.lsu_result_corr_r := io.lsu_result_corr_r - decode.io.exu_flush_final := io.exu_flush_final - decode.io.dec_i0_instr_d := instbuff.io.dec_i0_instr_d - decode.io.dec_ib0_valid_d := instbuff.io.dec_ib0_valid_d - decode.io.free_clk := io.free_clk - decode.io.active_clk := io.active_clk - decode.io.clk_override := tlu.io.dec_tlu_dec_clk_override - decode.io.scan_mode := io.scan_mode - dec_i0_inst_wb1 := decode.io.dec_i0_inst_wb1 //for tracer - dec_i0_pc_wb1 := decode.io.dec_i0_pc_wb1 //for tracer - io.lsu_p := decode.io.lsu_p - io.dec_lsu_valid_raw_d := decode.io.dec_lsu_valid_raw_d - io.dec_lsu_offset_d := decode.io.dec_lsu_offset_d - io.dec_pause_state_cg := decode.io.dec_pause_state_cg - gpr.io.raddr0 := decode.io.dec_i0_rs1_d - gpr.io.raddr1 := decode.io.dec_i0_rs2_d - gpr.io.wen0 := decode.io.dec_i0_wen_r - gpr.io.waddr0 := decode.io.dec_i0_waddr_r - gpr.io.wd0 := decode.io.dec_i0_wdata_r - gpr.io.wen1 := decode.io.dec_nonblock_load_wen - gpr.io.waddr1 := decode.io.dec_nonblock_load_waddr - gpr.io.wd1 := io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data - gpr.io.wen2 := io.exu_div_wren - gpr.io.waddr2 := decode.io.div_waddr_wb - gpr.io.wd2 := io.exu_div_result - gpr.io.scan_mode := io.scan_mode - io.dec_exu.gpr_exu <> gpr.io.gpr_exu - tlu.io.tlu_mem <> io.ifu_dec.dec_mem_ctrl - tlu.io.tlu_ifc <> io.ifu_dec.dec_ifc - tlu.io.tlu_bp <> io.ifu_dec.dec_bp - tlu.io.tlu_exu <> io.dec_exu.tlu_exu - tlu.io.tlu_dma <> io.dec_dma.tlu_dma - tlu.io.active_clk := io.active_clk - tlu.io.free_clk := io.free_clk - tlu.io.scan_mode := io.scan_mode - tlu.io.rst_vec := io.rst_vec - tlu.io.nmi_int := io.nmi_int - tlu.io.nmi_vec := io.nmi_vec - tlu.io.i_cpu_halt_req := io.i_cpu_halt_req - tlu.io.i_cpu_run_req := io.i_cpu_run_req - tlu.io.lsu_fastint_stall_any := io.lsu_fastint_stall_any - tlu.io.ifu_pmu_instr_aligned := io.ifu_dec.dec_aln.ifu_pmu_instr_aligned - tlu.io.dec_pmu_instr_decoded := decode.io.dec_pmu_instr_decoded - tlu.io.dec_pmu_decode_stall := decode.io.dec_pmu_decode_stall - tlu.io.dec_pmu_presync_stall := decode.io.dec_pmu_presync_stall - tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_postsync_stall - tlu.io.lsu_store_stall_any := io.lsu_store_stall_any - io.lsu_dec.tlu_busbuff <> tlu.io.tlu_busbuff - io.lsu_tlu <> tlu.io.lsu_tlu - io.dec_pic <> tlu.io.dec_pic - tlu.io.lsu_fir_addr := io.lsu_fir_addr - tlu.io.lsu_fir_error := io.lsu_fir_error - tlu.io.iccm_dma_sb_error := io.iccm_dma_sb_error - tlu.io.lsu_error_pkt_r := io.lsu_error_pkt_r - tlu.io.lsu_single_ecc_error_incr := io.lsu_single_ecc_error_incr - tlu.io.dec_pause_state := decode.io.dec_pause_state - tlu.io.dec_csr_wen_unq_d := decode.io.dec_csr_wen_unq_d - tlu.io.dec_csr_any_unq_d := decode.io.dec_csr_any_unq_d - tlu.io.dec_csr_rdaddr_d := decode.io.dec_csr_rdaddr_d - tlu.io.dec_csr_wen_r := decode.io.dec_csr_wen_r - tlu.io.dec_csr_wraddr_r := decode.io.dec_csr_wraddr_r - tlu.io.dec_csr_wrdata_r := decode.io.dec_csr_wrdata_r - tlu.io.dec_csr_stall_int_ff := decode.io.dec_csr_stall_int_ff - tlu.io.dec_tlu_i0_valid_r := decode.io.dec_tlu_i0_valid_r - tlu.io.dec_tlu_i0_pc_r := decode.io.dec_tlu_i0_pc_r - tlu.io.dec_tlu_packet_r := decode.io.dec_tlu_packet_r - tlu.io.dec_illegal_inst := decode.io.dec_illegal_inst - tlu.io.dec_i0_decode_d := decode.io.dec_aln.dec_i0_decode_d - tlu.io.exu_i0_br_way_r := io.exu_i0_br_way_r - tlu.io.dbg_halt_req := io.dbg_halt_req - tlu.io.dbg_resume_req := io.dbg_resume_req - tlu.io.lsu_idle_any := io.lsu_idle_any - tlu.io.dec_div_active := decode.io.dec_div_active - tlu.io.timer_int := io.timer_int - tlu.io.soft_int := io.soft_int - tlu.io.core_id := io.core_id - tlu.io.mpc_debug_halt_req := io.mpc_debug_halt_req - tlu.io.mpc_debug_run_req := io.mpc_debug_run_req - tlu.io.mpc_reset_run_req := io.mpc_reset_run_req - io.dec_dbg_cmd_done := tlu.io.dec_dbg_cmd_done - io.dec_dbg_cmd_fail := tlu.io.dec_dbg_cmd_fail - io.dec_tlu_dbg_halted := tlu.io.dec_tlu_dbg_halted - io.dec_tlu_debug_mode := tlu.io.dec_tlu_debug_mode - io.dec_tlu_resume_ack := tlu.io.dec_tlu_resume_ack - io.dec_tlu_mpc_halted_only := tlu.io.dec_tlu_mpc_halted_only - io.trigger_pkt_any := tlu.io.trigger_pkt_any - io.o_cpu_halt_status := tlu.io.o_cpu_halt_status - io.o_cpu_halt_ack := tlu.io.o_cpu_halt_ack - io.o_cpu_run_ack := tlu.io.o_cpu_run_ack - io.o_debug_mode_status := tlu.io.o_debug_mode_status - io.mpc_debug_halt_ack := tlu.io.mpc_debug_halt_ack - io.mpc_debug_run_ack := tlu.io.mpc_debug_run_ack - io.debug_brkpt_status := tlu.io.debug_brkpt_status - io.dec_tlu_i0_kill_writeb_r := tlu.io.dec_tlu_i0_kill_writeb_r - io.dec_tlu_perfcnt0 := tlu.io.dec_tlu_perfcnt0 - io.dec_tlu_perfcnt1 := tlu.io.dec_tlu_perfcnt1 - io.dec_tlu_perfcnt2 := tlu.io.dec_tlu_perfcnt2 - io.dec_tlu_perfcnt3 := tlu.io.dec_tlu_perfcnt3 - dec_tlu_i0_exc_valid_wb1 := tlu.io.dec_tlu_i0_exc_valid_wb1 - dec_tlu_i0_valid_wb1 := tlu.io.dec_tlu_i0_valid_wb1 - dec_tlu_int_valid_wb1 := tlu.io.dec_tlu_int_valid_wb1 - dec_tlu_exc_cause_wb1 := tlu.io.dec_tlu_exc_cause_wb1 - dec_tlu_mtval_wb1 := tlu.io.dec_tlu_mtval_wb1 - io.dec_tlu_misc_clk_override := tlu.io.dec_tlu_misc_clk_override - io.dec_tlu_ifu_clk_override := tlu.io.dec_tlu_ifu_clk_override - io.dec_tlu_lsu_clk_override := tlu.io.dec_tlu_lsu_clk_override - io.dec_tlu_bus_clk_override := tlu.io.dec_tlu_bus_clk_override - io.dec_tlu_pic_clk_override := tlu.io.dec_tlu_pic_clk_override - io.dec_tlu_dccm_clk_override := tlu.io.dec_tlu_dccm_clk_override - io.dec_tlu_icm_clk_override := tlu.io.dec_tlu_icm_clk_override - - //--------------------------------------------------------------------------// - - io.rv_trace_pkt.rv_i_insn_ip := decode.io.dec_i0_inst_wb1 - io.rv_trace_pkt.rv_i_address_ip := Cat(decode.io.dec_i0_pc_wb1, 0.U) - io.rv_trace_pkt.rv_i_valid_ip := Cat(tlu.io.dec_tlu_int_valid_wb1, tlu.io.dec_tlu_i0_valid_wb1 | tlu.io.dec_tlu_i0_exc_valid_wb1) - io.rv_trace_pkt.rv_i_exception_ip := Cat(tlu.io.dec_tlu_int_valid_wb1, tlu.io.dec_tlu_i0_exc_valid_wb1) - io.rv_trace_pkt.rv_i_ecause_ip := tlu.io.dec_tlu_exc_cause_wb1(4,0) - io.rv_trace_pkt.rv_i_interrupt_ip := Cat(tlu.io.dec_tlu_int_valid_wb1, 0.U) - io.rv_trace_pkt.rv_i_tval_ip := tlu.io.dec_tlu_mtval_wb1 - - - // debug command read data - io.dec_dbg_rddata := decode.io.dec_i0_wdata_r -} - - +//package dec +//import chisel3._ +//import chisel3.util._ +//import include._ +//import lib._ +//import lsu._ +// +//class dec_IO extends Bundle with lib { +// val free_clk = Input(Clock()) +// val active_clk = Input(Clock()) +// val lsu_fastint_stall_any = Input(Bool()) // needed by lsu for 2nd pass of dma with ecc correction, stall next cycle +// val dec_pause_state_cg = Output(Bool()) // to top for active state clock gating +// val rst_vec = Input(UInt(31.W)) // [31:1] reset vector, from core pins +// +// val nmi_int = Input(Bool()) // NMI pin +// val nmi_vec = Input(UInt(31.W)) // [31:1] NMI vector, from pins +// +// val i_cpu_halt_req = Input(Bool()) // Asynchronous Halt request to CPU +// val i_cpu_run_req = Input(Bool()) // Asynchronous Restart request to CPU +// +// val o_cpu_halt_status = Output(Bool()) // Halt status of core (pmu/fw) +// val o_cpu_halt_ack = Output(Bool()) // Halt request ack +// val o_cpu_run_ack = Output(Bool()) // Run request ack +// val o_debug_mode_status = Output(Bool()) // Core to the PMU that core is in debug mode. When core is in debug mode, the PMU should refrain from sendng a halt or run request +// +// val core_id = Input(UInt(28.W)) // [31:4] CORE ID +// +// val mpc_debug_halt_req = Input(Bool()) // Async halt request +// val mpc_debug_run_req = Input(Bool()) // Async run request +// val mpc_reset_run_req = Input(Bool()) // Run/halt after reset +// val mpc_debug_halt_ack = Output(Bool()) // Halt ack +// val mpc_debug_run_ack = Output(Bool()) // Run ack +// val debug_brkpt_status = Output(Bool()) // debug breakpoint +// val lsu_pmu_misaligned_m = Input(Bool()) // D side load or store misaligned +// +// +// val lsu_fir_addr = Input(UInt(31.W)) //[31:1] Fast int address +// val lsu_fir_error = Input(UInt(2.W)) //[1:0] Fast int lookup error +// +// val lsu_trigger_match_m = Input(UInt(4.W)) +// val lsu_idle_any = Input(Bool()) // lsu idle for halting +// val lsu_error_pkt_r = Flipped(Valid(new lsu_error_pkt_t)) // LSU exception/error packet +// val lsu_single_ecc_error_incr = Input(Bool())// LSU inc SB error counter +// val exu_div_result = Input(UInt(32.W)) // final div result +// val exu_div_wren = Input(UInt(1.W)) // Divide write enable to GPR +// val lsu_result_m = Input(UInt(32.W)) // load result +// val lsu_result_corr_r = Input(UInt(32.W)) // load result - corrected load data +// +// val lsu_load_stall_any = Input(Bool()) // This is for blocking loads +// val lsu_store_stall_any = Input(Bool()) // This is for blocking stores +// +// +// val iccm_dma_sb_error = Input(Bool()) // ICCM DMA single bit error +// +// val exu_flush_final = Input(Bool()) // slot0 flush +// val timer_int = Input(Bool()) // Timer interrupt pending (from pin) +// val soft_int = Input(Bool()) // Software interrupt pending (from pin) +// +// +// +// // Debug start +// val dbg_halt_req = Input(Bool()) // DM requests a halt +// val dbg_resume_req = Input(Bool()) // DM requests a resume +// val dec_tlu_dbg_halted = Output(Bool()) // Core is halted and ready for debug command +// val dec_tlu_debug_mode = Output(Bool()) // Core is in debug mode +// val dec_tlu_resume_ack = Output(Bool()) // Resume acknowledge +// val dec_tlu_mpc_halted_only = Output(Bool()) // Core is halted only due to MPC +// val dec_dbg_rddata = Output(UInt(32.W)) // debug command read data +// +// val dec_dbg_cmd_done = Output(Bool()) // abstract command is done +// val dec_dbg_cmd_fail = Output(Bool()) // abstract command failed (illegal reg address) +// +// val trigger_pkt_any = Output(Vec(4,new trigger_pkt_t)) // info needed by debug trigger blocks +// val exu_i0_br_way_r = Input(Bool()) // way hit or repl +// val lsu_p = Valid(new lsu_pkt_t) // lsu packet +// val dec_lsu_offset_d = Output(UInt(12.W)) // 12b offset for load/store addresses +// val dec_tlu_i0_kill_writeb_r = Output(Bool()) // I0 is flushed, don't writeback any results to arch state +// val dec_tlu_perfcnt0 = Output(Bool()) // toggles when slot0 perf counter 0 has an event inc +// val dec_tlu_perfcnt1 = Output(Bool()) // toggles when slot0 perf counter 1 has an event inc +// val dec_tlu_perfcnt2 = Output(Bool()) // toggles when slot0 perf counter 2 has an event inc +// val dec_tlu_perfcnt3 = Output(Bool()) // toggles when slot0 perf counter 3 has an event inc +// val dec_lsu_valid_raw_d = Output(Bool()) +// val rv_trace_pkt = (new trace_pkt_t) // trace packet +// +// // clock gating overrides from mcgc +// val dec_tlu_misc_clk_override = Output(Bool()) // override misc clock domain gating +// val dec_tlu_ifu_clk_override = Output(Bool()) // override fetch clock domain gating +// val dec_tlu_lsu_clk_override = Output(Bool()) // override load/store clock domain gating +// val dec_tlu_bus_clk_override = Output(Bool()) // override bus clock domain gating +// val dec_tlu_pic_clk_override = Output(Bool()) // override PIC clock domain gating +// val dec_tlu_dccm_clk_override = Output(Bool()) // override DCCM clock domain gating +// val dec_tlu_icm_clk_override = Output(Bool()) // override ICCM clock domain gating +// +// val scan_mode = Input(Bool()) +// val ifu_dec = Flipped(new ifu_dec) +// val dec_exu = Flipped(new dec_exu) +// val lsu_dec = Flipped (new lsu_dec) +// val lsu_tlu = Flipped (new lsu_tlu) +// val dec_dbg = new dec_dbg +// val dec_dma = new dec_dma +// val dec_pic = new dec_pic +//} +//class dec extends Module with param with RequireAsyncReset{ +// val io = IO(new dec_IO) +// +// val dec_i0_inst_wb1 = WireInit(UInt(32.W),0.U) +// val dec_i0_pc_wb1 = WireInit(UInt(32.W),0.U) +// val dec_tlu_i0_valid_wb1 = WireInit(UInt(1.W),0.U) +// val dec_tlu_int_valid_wb1 = WireInit(UInt(1.W),0.U) +// +// val dec_tlu_exc_cause_wb1 = WireInit(UInt(5.W),0.U) +// val dec_tlu_mtval_wb1 = WireInit(UInt(32.W),0.U) +// val dec_tlu_i0_exc_valid_wb1 = WireInit(Bool(),0.B) +// +// +// //--------------------------------------------------------------------------// +// val instbuff = Module(new dec_ib_ctl) +// val decode = Module(new dec_decode_ctl) +// val gpr = Module(new dec_gpr_ctl) +// val tlu = Module(new dec_tlu_ctl) +// val dec_trigger = Module(new dec_trigger) +// +// //connections for dec_Ib +// //inputs +// instbuff.io.ifu_ib <> io.ifu_dec.dec_aln.aln_ib +// instbuff.io.ib_exu <> io.dec_exu.ib_exu +// instbuff.io.dbg_ib <> io.dec_dbg.dbg_ib +// dec_trigger.io.dec_i0_pc_d := instbuff.io.ib_exu.dec_i0_pc_d +// dec_trigger.io.trigger_pkt_any := tlu.io.trigger_pkt_any +// +// val dec_i0_trigger_match_d = dec_trigger.io.dec_i0_trigger_match_d +// dontTouch(dec_i0_trigger_match_d) +// decode.io.dec_aln <> io.ifu_dec.dec_aln.aln_dec +// +// decode.io.decode_exu<> io.dec_exu.decode_exu +// decode.io.dec_alu<> io.dec_exu.dec_alu +// decode.io.dec_div<> io.dec_exu.dec_div +// decode.io.dctl_dma <> io.dec_dma.dctl_dma +// decode.io.dec_tlu_flush_extint := tlu.io.dec_tlu_flush_extint +// decode.io.dec_tlu_force_halt := tlu.io.tlu_mem.dec_tlu_force_halt +// decode.io.dctl_busbuff <> io.lsu_dec.dctl_busbuff +// decode.io.dec_i0_trigger_match_d := dec_i0_trigger_match_d +// decode.io.dec_tlu_wr_pause_r := tlu.io.dec_tlu_wr_pause_r +// decode.io.dec_tlu_pipelining_disable := tlu.io.dec_tlu_pipelining_disable +// decode.io.lsu_trigger_match_m := io.lsu_trigger_match_m +// decode.io.lsu_pmu_misaligned_m := io.lsu_pmu_misaligned_m +// decode.io.dec_tlu_debug_stall := tlu.io.dec_tlu_debug_stall +// decode.io.dec_tlu_flush_leak_one_r := tlu.io.tlu_bp.dec_tlu_flush_leak_one_wb +// decode.io.dec_debug_fence_d := instbuff.io.dec_debug_fence_d +// decode.io.dbg_dctl <> io.dec_dbg.dbg_dctl +// decode.io.dec_i0_icaf_d := instbuff.io.dec_i0_icaf_d +// decode.io.dec_i0_icaf_f1_d := instbuff.io.dec_i0_icaf_f1_d +// decode.io.dec_i0_icaf_type_d := instbuff.io.dec_i0_icaf_type_d +// decode.io.dec_i0_dbecc_d := instbuff.io.dec_i0_dbecc_d +// decode.io.dec_i0_brp := instbuff.io.dec_i0_brp +// decode.io.dec_i0_bp_index := instbuff.io.dec_i0_bp_index +// decode.io.dec_i0_bp_fghr := instbuff.io.dec_i0_bp_fghr +// decode.io.dec_i0_bp_btag := instbuff.io.dec_i0_bp_btag +// decode.io.dec_i0_pc_d := instbuff.io.ib_exu.dec_i0_pc_d +// decode.io.lsu_idle_any := io.lsu_idle_any +// decode.io.lsu_load_stall_any := io.lsu_load_stall_any +// decode.io.lsu_store_stall_any := io.lsu_store_stall_any +// decode.io.exu_div_wren := io.exu_div_wren +// decode.io.dec_tlu_i0_kill_writeb_wb := tlu.io.dec_tlu_i0_kill_writeb_wb +// decode.io.dec_tlu_flush_lower_wb := tlu.io.dec_tlu_flush_lower_wb +// decode.io.dec_tlu_i0_kill_writeb_r := tlu.io.dec_tlu_i0_kill_writeb_r +// decode.io.dec_tlu_flush_lower_r := tlu.io.tlu_exu.dec_tlu_flush_lower_r +// decode.io.dec_tlu_flush_pause_r := tlu.io.dec_tlu_flush_pause_r +// decode.io.dec_tlu_presync_d := tlu.io.dec_tlu_presync_d +// decode.io.dec_tlu_postsync_d := tlu.io.dec_tlu_postsync_d +// decode.io.dec_i0_pc4_d := instbuff.io.dec_i0_pc4_d +// decode.io.dec_csr_rddata_d := tlu.io.dec_csr_rddata_d +// decode.io.dec_csr_legal_d := tlu.io.dec_csr_legal_d +// decode.io.lsu_result_m := io.lsu_result_m +// decode.io.lsu_result_corr_r := io.lsu_result_corr_r +// decode.io.exu_flush_final := io.exu_flush_final +// decode.io.dec_i0_instr_d := instbuff.io.dec_i0_instr_d +// decode.io.dec_ib0_valid_d := instbuff.io.dec_ib0_valid_d +// decode.io.free_clk := io.free_clk +// decode.io.active_clk := io.active_clk +// decode.io.clk_override := tlu.io.dec_tlu_dec_clk_override +// decode.io.scan_mode := io.scan_mode +// dec_i0_inst_wb1 := decode.io.dec_i0_inst_wb1 //for tracer +// dec_i0_pc_wb1 := decode.io.dec_i0_pc_wb1 //for tracer +// io.lsu_p := decode.io.lsu_p +// io.dec_lsu_valid_raw_d := decode.io.dec_lsu_valid_raw_d +// io.dec_lsu_offset_d := decode.io.dec_lsu_offset_d +// io.dec_pause_state_cg := decode.io.dec_pause_state_cg +// gpr.io.raddr0 := decode.io.dec_i0_rs1_d +// gpr.io.raddr1 := decode.io.dec_i0_rs2_d +// gpr.io.wen0 := decode.io.dec_i0_wen_r +// gpr.io.waddr0 := decode.io.dec_i0_waddr_r +// gpr.io.wd0 := decode.io.dec_i0_wdata_r +// gpr.io.wen1 := decode.io.dec_nonblock_load_wen +// gpr.io.waddr1 := decode.io.dec_nonblock_load_waddr +// gpr.io.wd1 := io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data +// gpr.io.wen2 := io.exu_div_wren +// gpr.io.waddr2 := decode.io.div_waddr_wb +// gpr.io.wd2 := io.exu_div_result +// gpr.io.scan_mode := io.scan_mode +// io.dec_exu.gpr_exu <> gpr.io.gpr_exu +// tlu.io.tlu_mem <> io.ifu_dec.dec_mem_ctrl +// tlu.io.tlu_ifc <> io.ifu_dec.dec_ifc +// tlu.io.tlu_bp <> io.ifu_dec.dec_bp +// tlu.io.tlu_exu <> io.dec_exu.tlu_exu +// tlu.io.tlu_dma <> io.dec_dma.tlu_dma +// tlu.io.active_clk := io.active_clk +// tlu.io.free_clk := io.free_clk +// tlu.io.scan_mode := io.scan_mode +// tlu.io.rst_vec := io.rst_vec +// tlu.io.nmi_int := io.nmi_int +// tlu.io.nmi_vec := io.nmi_vec +// tlu.io.i_cpu_halt_req := io.i_cpu_halt_req +// tlu.io.i_cpu_run_req := io.i_cpu_run_req +// tlu.io.lsu_fastint_stall_any := io.lsu_fastint_stall_any +// tlu.io.ifu_pmu_instr_aligned := io.ifu_dec.dec_aln.ifu_pmu_instr_aligned +// tlu.io.dec_pmu_instr_decoded := decode.io.dec_pmu_instr_decoded +// tlu.io.dec_pmu_decode_stall := decode.io.dec_pmu_decode_stall +// tlu.io.dec_pmu_presync_stall := decode.io.dec_pmu_presync_stall +// tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_postsync_stall +// tlu.io.lsu_store_stall_any := io.lsu_store_stall_any +// io.lsu_dec.tlu_busbuff <> tlu.io.tlu_busbuff +// io.lsu_tlu <> tlu.io.lsu_tlu +// io.dec_pic <> tlu.io.dec_pic +// tlu.io.lsu_fir_addr := io.lsu_fir_addr +// tlu.io.lsu_fir_error := io.lsu_fir_error +// tlu.io.iccm_dma_sb_error := io.iccm_dma_sb_error +// tlu.io.lsu_error_pkt_r := io.lsu_error_pkt_r +// tlu.io.lsu_single_ecc_error_incr := io.lsu_single_ecc_error_incr +// tlu.io.dec_pause_state := decode.io.dec_pause_state +// tlu.io.dec_csr_wen_unq_d := decode.io.dec_csr_wen_unq_d +// tlu.io.dec_csr_any_unq_d := decode.io.dec_csr_any_unq_d +// tlu.io.dec_csr_rdaddr_d := decode.io.dec_csr_rdaddr_d +// tlu.io.dec_csr_wen_r := decode.io.dec_csr_wen_r +// tlu.io.dec_csr_wraddr_r := decode.io.dec_csr_wraddr_r +// tlu.io.dec_csr_wrdata_r := decode.io.dec_csr_wrdata_r +// tlu.io.dec_csr_stall_int_ff := decode.io.dec_csr_stall_int_ff +// tlu.io.dec_tlu_i0_valid_r := decode.io.dec_tlu_i0_valid_r +// tlu.io.dec_tlu_i0_pc_r := decode.io.dec_tlu_i0_pc_r +// tlu.io.dec_tlu_packet_r := decode.io.dec_tlu_packet_r +// tlu.io.dec_illegal_inst := decode.io.dec_illegal_inst +// tlu.io.dec_i0_decode_d := decode.io.dec_aln.dec_i0_decode_d +// tlu.io.exu_i0_br_way_r := io.exu_i0_br_way_r +// tlu.io.dbg_halt_req := io.dbg_halt_req +// tlu.io.dbg_resume_req := io.dbg_resume_req +// tlu.io.lsu_idle_any := io.lsu_idle_any +// tlu.io.dec_div_active := decode.io.dec_div_active +// tlu.io.timer_int := io.timer_int +// tlu.io.soft_int := io.soft_int +// tlu.io.core_id := io.core_id +// tlu.io.mpc_debug_halt_req := io.mpc_debug_halt_req +// tlu.io.mpc_debug_run_req := io.mpc_debug_run_req +// tlu.io.mpc_reset_run_req := io.mpc_reset_run_req +// io.dec_dbg_cmd_done := tlu.io.dec_dbg_cmd_done +// io.dec_dbg_cmd_fail := tlu.io.dec_dbg_cmd_fail +// io.dec_tlu_dbg_halted := tlu.io.dec_tlu_dbg_halted +// io.dec_tlu_debug_mode := tlu.io.dec_tlu_debug_mode +// io.dec_tlu_resume_ack := tlu.io.dec_tlu_resume_ack +// io.dec_tlu_mpc_halted_only := tlu.io.dec_tlu_mpc_halted_only +// io.trigger_pkt_any := tlu.io.trigger_pkt_any +// io.o_cpu_halt_status := tlu.io.o_cpu_halt_status +// io.o_cpu_halt_ack := tlu.io.o_cpu_halt_ack +// io.o_cpu_run_ack := tlu.io.o_cpu_run_ack +// io.o_debug_mode_status := tlu.io.o_debug_mode_status +// io.mpc_debug_halt_ack := tlu.io.mpc_debug_halt_ack +// io.mpc_debug_run_ack := tlu.io.mpc_debug_run_ack +// io.debug_brkpt_status := tlu.io.debug_brkpt_status +// io.dec_tlu_i0_kill_writeb_r := tlu.io.dec_tlu_i0_kill_writeb_r +// io.dec_tlu_perfcnt0 := tlu.io.dec_tlu_perfcnt0 +// io.dec_tlu_perfcnt1 := tlu.io.dec_tlu_perfcnt1 +// io.dec_tlu_perfcnt2 := tlu.io.dec_tlu_perfcnt2 +// io.dec_tlu_perfcnt3 := tlu.io.dec_tlu_perfcnt3 +// dec_tlu_i0_exc_valid_wb1 := tlu.io.dec_tlu_i0_exc_valid_wb1 +// dec_tlu_i0_valid_wb1 := tlu.io.dec_tlu_i0_valid_wb1 +// dec_tlu_int_valid_wb1 := tlu.io.dec_tlu_int_valid_wb1 +// dec_tlu_exc_cause_wb1 := tlu.io.dec_tlu_exc_cause_wb1 +// dec_tlu_mtval_wb1 := tlu.io.dec_tlu_mtval_wb1 +// io.dec_tlu_misc_clk_override := tlu.io.dec_tlu_misc_clk_override +// io.dec_tlu_ifu_clk_override := tlu.io.dec_tlu_ifu_clk_override +// io.dec_tlu_lsu_clk_override := tlu.io.dec_tlu_lsu_clk_override +// io.dec_tlu_bus_clk_override := tlu.io.dec_tlu_bus_clk_override +// io.dec_tlu_pic_clk_override := tlu.io.dec_tlu_pic_clk_override +// io.dec_tlu_dccm_clk_override := tlu.io.dec_tlu_dccm_clk_override +// io.dec_tlu_icm_clk_override := tlu.io.dec_tlu_icm_clk_override +// +// //--------------------------------------------------------------------------// +// +// io.rv_trace_pkt.rv_i_insn_ip := decode.io.dec_i0_inst_wb1 +// io.rv_trace_pkt.rv_i_address_ip := Cat(decode.io.dec_i0_pc_wb1, 0.U) +// io.rv_trace_pkt.rv_i_valid_ip := Cat(tlu.io.dec_tlu_int_valid_wb1, tlu.io.dec_tlu_i0_valid_wb1 | tlu.io.dec_tlu_i0_exc_valid_wb1) +// io.rv_trace_pkt.rv_i_exception_ip := Cat(tlu.io.dec_tlu_int_valid_wb1, tlu.io.dec_tlu_i0_exc_valid_wb1) +// io.rv_trace_pkt.rv_i_ecause_ip := tlu.io.dec_tlu_exc_cause_wb1(4,0) +// io.rv_trace_pkt.rv_i_interrupt_ip := Cat(tlu.io.dec_tlu_int_valid_wb1, 0.U) +// io.rv_trace_pkt.rv_i_tval_ip := tlu.io.dec_tlu_mtval_wb1 +// +// +// // debug command read data +// io.dec_dbg_rddata := decode.io.dec_i0_wdata_r +//} +// +// diff --git a/src/main/scala/dec/dec_decode_ctl.scala b/src/main/scala/dec/dec_decode_ctl.scala index 526f1c24..7ef4e7e5 100644 --- a/src/main/scala/dec/dec_decode_ctl.scala +++ b/src/main/scala/dec/dec_decode_ctl.scala @@ -1,785 +1,785 @@ -package dec -import chisel3._ - -import scala.collection._ -import chisel3.util._ -import include._ -import lib._ -import exu._ -import lsu._ - -class dec_decode_ctl extends Module with lib with RequireAsyncReset{ - val io = IO(new Bundle{ - val decode_exu = Flipped(new decode_exu) //connection with exu top - val dec_alu = Flipped(new dec_alu) //connection with alu - val dec_div = Flipped(new dec_div) //connection with divider - val dctl_busbuff = Flipped(new dctl_busbuff()) //connection with bus buffer - val dctl_dma = new dctl_dma //connection with dma - val dec_aln = Flipped(new aln_dec) //connection with aligner - val dbg_dctl = new dbg_dctl() //connection with dbg - val dec_tlu_flush_extint = Input(Bool()) - val dec_tlu_force_halt = Input(Bool()) // invalidate nonblock load cam on a force halt event - val dec_i0_inst_wb1 = Output(UInt(32.W)) // 32b instruction at wb+1 for trace encoder - val dec_i0_pc_wb1 = Output(UInt(31.W)) // 31b pc at wb+1 for trace encoder - val dec_i0_trigger_match_d = Input(UInt(4.W)) // i0 decode trigger matches - val dec_tlu_wr_pause_r = Input(Bool()) // pause instruction at r - val dec_tlu_pipelining_disable = Input(Bool()) // pipeline disable - presync, i0 decode only - val lsu_trigger_match_m = Input(UInt(4.W)) // lsu trigger matches - val lsu_pmu_misaligned_m = Input(Bool()) // perf mon: load/store misalign - val dec_tlu_debug_stall = Input(Bool()) // debug stall decode - val dec_tlu_flush_leak_one_r = Input(Bool()) // leak1 instruction - val dec_debug_fence_d = Input(Bool()) // debug fence instruction - val dec_i0_icaf_d = Input(Bool()) // icache access fault - val dec_i0_icaf_f1_d = Input(Bool()) // i0 instruction access fault at decode for f1 fetch group - val dec_i0_icaf_type_d = Input(UInt(2.W)) // i0 instruction access fault type - val dec_i0_dbecc_d = Input(Bool()) // icache/iccm double-bit error - val dec_i0_brp = Flipped(Valid(new br_pkt_t)) // branch packet - val dec_i0_bp_index = Input(UInt(((BTB_ADDR_HI-BTB_ADDR_LO)+1).W)) // i0 branch index - val dec_i0_bp_fghr = Input(UInt(BHT_GHR_SIZE.W)) // BP FGHR - val dec_i0_bp_btag = Input(UInt(BTB_BTAG_SIZE.W)) // BP tag - val dec_i0_pc_d = Input(UInt(31.W)) // pc - val lsu_idle_any = Input(Bool()) // lsu idle: if fence instr & !!!!!!!!!!!!!!!!!!!!!!!!!lsu_idle then stall decode - val lsu_load_stall_any = Input(Bool()) // stall any load at decode - val lsu_store_stall_any = Input(Bool()) // stall any store at decode6 - val exu_div_wren = Input(Bool()) // nonblocking divide write enable to GPR. - val dec_tlu_i0_kill_writeb_wb = Input(Bool()) // I0 is flushed, don't writeback any results to arch state - val dec_tlu_flush_lower_wb = Input(Bool()) // trap lower flush - val dec_tlu_i0_kill_writeb_r = Input(Bool()) // I0 is flushed, don't writeback any results to arch state - val dec_tlu_flush_lower_r = Input(Bool()) // trap lower flush - val dec_tlu_flush_pause_r = Input(Bool()) // don't clear pause state on initial lower flush - val dec_tlu_presync_d = Input(Bool()) // CSR read needs to be presync'd - val dec_tlu_postsync_d = Input(Bool()) // CSR ops that need to be postsync'd - val dec_i0_pc4_d = Input(Bool()) // inst is 4B inst else 2B - val dec_csr_rddata_d = Input(UInt(32.W)) // csr read data at wb - val dec_csr_legal_d = Input(Bool()) // csr indicates legal operation - val lsu_result_m = Input(UInt(32.W)) // load result - val lsu_result_corr_r = Input(UInt(32.W)) // load result - corrected data for writing gpr's, not for bypassing - val exu_flush_final = Input(Bool()) // lower flush or i0 flush at X or D - val dec_i0_instr_d = Input(UInt(32.W)) // inst at decode - val dec_ib0_valid_d = Input(Bool()) // inst valid at decode - val free_clk = Input(Clock()) - val active_clk = Input(Clock()) // clk except for halt / pause - val clk_override = Input(Bool()) // test stuff - val dec_i0_rs1_d = Output(UInt(5.W)) // rs1 logical source - val dec_i0_rs2_d = Output(UInt(5.W)) - val dec_i0_waddr_r = Output(UInt(5.W)) // i0 logical source to write to gpr's - val dec_i0_wen_r = Output(Bool()) // i0 write enable - val dec_i0_wdata_r = Output(UInt(32.W)) // i0 write data - val lsu_p = Valid(new lsu_pkt_t) // load/store packet - val div_waddr_wb = Output(UInt(5.W)) // DIV write address to GPR - val dec_lsu_valid_raw_d = Output(Bool()) - val dec_lsu_offset_d = Output(UInt(12.W)) - val dec_csr_wen_unq_d = Output(Bool()) // valid csr with write - for csr legal - val dec_csr_any_unq_d = Output(Bool()) // valid csr - for csr legal - val dec_csr_rdaddr_d = Output(UInt(12.W)) // read address for csr - val dec_csr_wen_r = Output(Bool()) // csr write enable at r - val dec_csr_wraddr_r = Output(UInt(12.W)) // write address for csr - val dec_csr_wrdata_r = Output(UInt(32.W)) // csr write data at r - val dec_csr_stall_int_ff = Output(Bool()) // csr is mie/mstatus - val dec_tlu_i0_valid_r = Output(Bool()) // i0 valid inst at c - val dec_tlu_packet_r = Output(new trap_pkt_t) // trap packet - val dec_tlu_i0_pc_r = Output(UInt(31.W)) // i0 trap pc - val dec_illegal_inst = Output(UInt(32.W)) // illegal inst - val dec_pmu_instr_decoded = Output(Bool()) // number of instructions decode this cycle encoded - val dec_pmu_decode_stall = Output(Bool()) // decode is stalled - val dec_pmu_presync_stall = Output(Bool()) // decode has presync stall - val dec_pmu_postsync_stall = Output(Bool()) // decode has postsync stall - val dec_nonblock_load_wen = Output(Bool()) // write enable for nonblock load - val dec_nonblock_load_waddr = Output(UInt(5.W)) // logical write addr for nonblock load - val dec_pause_state = Output(Bool()) // core in pause state - val dec_pause_state_cg = Output(Bool()) // pause state for clock-gating - val dec_div_active = Output(Bool()) // non-block divide is active - val scan_mode = Input(Bool()) -}) - //packets zero initialization - io.decode_exu.mul_p := 0.U.asTypeOf(io.decode_exu.mul_p) - // Vals defined - val leak1_i1_stall_in = WireInit(UInt(1.W), 0.U) - val leak1_i0_stall_in = WireInit(UInt(1.W), 0.U) - val i0r = Wire(new reg_pkt_t) - val d_t = Wire(new trap_pkt_t) - val x_t = Wire(new trap_pkt_t) - val x_t_in = Wire(new trap_pkt_t) - val r_t = Wire(new trap_pkt_t) - val r_t_in = Wire(new trap_pkt_t) - val d_d = Wire(Valid(new dest_pkt_t)) - val x_d = Wire(Valid(new dest_pkt_t)) - val r_d = Wire(Valid(new dest_pkt_t)) - val r_d_in = Wire(Valid(new dest_pkt_t)) - val wbd = Wire(Valid(new dest_pkt_t)) - val i0_d_c = Wire(new class_pkt_t) - val i0_rs1_class_d = Wire(new class_pkt_t) - val i0_rs2_class_d = Wire(new class_pkt_t) - val i0_rs1_depth_d = WireInit(UInt(2.W),0.U) - val i0_rs2_depth_d = WireInit(UInt(2.W),0.U) - val cam_wen = WireInit(UInt(LSU_NUM_NBLOAD.W), 0.U) - val cam = Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) - val cam_write = WireInit(UInt(1.W), 0.U) - val cam_inv_reset_val = Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) - val cam_data_reset_val = Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) - val nonblock_load_write = Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) - val cam_raw = Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) - val cam_in = Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) - val i0_dp = Wire(new dec_pkt_t) - val i0_dp_raw = Wire(new dec_pkt_t) - val i0_rs1bypass = WireInit(UInt(3.W), 0.U) - val i0_rs2bypass = WireInit(UInt(3.W), 0.U) - val illegal_lockout = WireInit(UInt(1.W), 0.U) - val postsync_stall = WireInit(UInt(1.W), 0.U) - val ps_stall_in = WireInit(UInt(1.W), 0.U) - val i0_pipe_en = WireInit(UInt(4.W), 0.U) - val i0_load_block_d = WireInit(UInt(1.W), 0.U) - val load_ldst_bypass_d = WireInit(UInt(1.W), 0.U) - val store_data_bypass_d = WireInit(UInt(1.W), 0.U) - val store_data_bypass_m = WireInit(UInt(1.W), 0.U) - val tlu_wr_pause_r1 = WireInit(UInt(1.W), 0.U) - val tlu_wr_pause_r2 = WireInit(UInt(1.W), 0.U) - val leak1_i1_stall = WireInit(UInt(1.W), 0.U) - val leak1_i0_stall = WireInit(UInt(1.W), 0.U) - val pause_state = WireInit(Bool(), 0.B) - val flush_final_r = WireInit(UInt(1.W), 0.U) - val illegal_lockout_in = WireInit(UInt(1.W), 0.U) - val lsu_idle = WireInit(Bool(), 0.B) - val pause_state_in = WireInit(Bool(), 0.B) - val leak1_mode = WireInit(UInt(1.W), 0.U) - val i0_pcall = WireInit(UInt(1.W), 0.U) - val i0_pja = WireInit(UInt(1.W), 0.U) - val i0_pret = WireInit(UInt(1.W), 0.U) - val i0_legal_decode_d = WireInit(UInt(1.W), 0.U) - val i0_pcall_raw = WireInit(UInt(1.W), 0.U) - val i0_pja_raw = WireInit(UInt(1.W), 0.U) - val i0_pret_raw = WireInit(UInt(1.W), 0.U) - val i0_br_offset = WireInit(UInt(12.W), 0.U) - val i0_csr_write_only_d = WireInit(UInt(1.W), 0.U) - val i0_jal = WireInit(UInt(1.W), 0.U) - val i0_wen_r = WireInit(UInt(1.W), 0.U) - val i0_x_ctl_en = WireInit(UInt(1.W), 0.U) - val i0_r_ctl_en = WireInit(UInt(1.W), 0.U) - val i0_wb_ctl_en = WireInit(UInt(1.W), 0.U) - val i0_x_data_en = WireInit(UInt(1.W), 0.U) - val i0_r_data_en = WireInit(UInt(1.W), 0.U) - val i0_wb_data_en = WireInit(UInt(1.W), 0.U) - val i0_wb1_data_en = WireInit(UInt(1.W), 0.U) - val i0_nonblock_load_stall = WireInit(UInt(1.W), 0.U) - val csr_ren_qual_d = WireInit(Bool(), 0.B) - val lsu_decode_d = WireInit(UInt(1.W), 0.U) - val mul_decode_d = WireInit(UInt(1.W), 0.U) - val div_decode_d = WireInit(UInt(1.W), 0.U) - val write_csr_data = WireInit(UInt(32.W),0.U) - val i0_result_corr_r = WireInit(UInt(32.W),0.U) - val presync_stall = WireInit(UInt(1.W), 0.U) - val i0_nonblock_div_stall = WireInit(UInt(1.W), 0.U) - val debug_fence = WireInit(Bool(), 0.B) - val i0_immed_d = WireInit(UInt(32.W), 0.U) - val i0_result_x = WireInit(UInt(32.W), 0.U) - val i0_result_r = WireInit(UInt(32.W), 0.U) - ////////////////////////////////////////////////////////////////////// - // Start - Data gating {{ - val data_gate_en = (io.dec_tlu_wr_pause_r ^ tlu_wr_pause_r1 ) | // replaces free_clk - (tlu_wr_pause_r1 ^ tlu_wr_pause_r2 ) | // replaces free_clk - (io.dec_tlu_flush_extint ^ io.decode_exu.dec_extint_stall) | - (leak1_i1_stall_in ^ leak1_i1_stall ) | // replaces free_clk - (leak1_i0_stall_in ^ leak1_i0_stall ) | // replaces free_clk - (pause_state_in ^ pause_state ) | // replaces free_clk - (ps_stall_in ^ postsync_stall ) | // replaces free_clk - (io.exu_flush_final ^ flush_final_r ) | // replaces free_clk - (illegal_lockout_in ^ illegal_lockout ) // replaces active_clk - - - val data_gate_clk = rvclkhdr(clock,data_gate_en.asBool(),io.scan_mode) - // End - Data gating - - val i0_brp_valid = io.dec_i0_brp.valid & !leak1_mode - io.decode_exu.dec_i0_predict_p_d.bits.misp := 0.U - io.decode_exu.dec_i0_predict_p_d.bits.ataken := 0.U - io.decode_exu.dec_i0_predict_p_d.bits.boffset := 0.U - io.decode_exu.dec_i0_predict_p_d.bits.pcall := i0_pcall // don't mark as pcall if branch error - io.decode_exu.dec_i0_predict_p_d.bits.pja := i0_pja - io.decode_exu.dec_i0_predict_p_d.bits.pret := i0_pret - io.decode_exu.dec_i0_predict_p_d.bits.prett := io.dec_i0_brp.bits.prett - io.decode_exu.dec_i0_predict_p_d.bits.pc4 := io.dec_i0_pc4_d - io.decode_exu.dec_i0_predict_p_d.bits.hist := io.dec_i0_brp.bits.hist - io.decode_exu.dec_i0_predict_p_d.valid := i0_brp_valid & i0_legal_decode_d - val i0_notbr_error = i0_brp_valid & !(i0_dp_raw.condbr | i0_pcall_raw | i0_pja_raw | i0_pret_raw) - - // no toffset error for a pret - val i0_br_toffset_error = i0_brp_valid & io.dec_i0_brp.bits.hist(1) & (io.dec_i0_brp.bits.toffset =/= i0_br_offset) & !i0_pret_raw - val i0_ret_error = i0_brp_valid & io.dec_i0_brp.bits.ret & !i0_pret_raw; - val i0_br_error = io.dec_i0_brp.bits.br_error | i0_notbr_error | i0_br_toffset_error | i0_ret_error - io.decode_exu.dec_i0_predict_p_d.bits.br_error := i0_br_error & i0_legal_decode_d & !leak1_mode - io.decode_exu.dec_i0_predict_p_d.bits.br_start_error := io.dec_i0_brp.bits.br_start_error & i0_legal_decode_d & !leak1_mode - io.decode_exu.i0_predict_index_d := io.dec_i0_bp_index - io.decode_exu.i0_predict_btag_d := io.dec_i0_bp_btag - val i0_br_error_all = (i0_br_error | io.dec_i0_brp.bits.br_start_error) & !leak1_mode - io.decode_exu.dec_i0_predict_p_d.bits.toffset := i0_br_offset - io.decode_exu.i0_predict_fghr_d := io.dec_i0_bp_fghr - io.decode_exu.dec_i0_predict_p_d.bits.way := io.dec_i0_brp.bits.way - // end - - // on br error turn anything into a nop - // on i0 instruction fetch access fault turn anything into a nop - // nop => alu rs1 imm12 rd lor - val i0_icaf_d = io.dec_i0_icaf_d | io.dec_i0_dbecc_d - val i0_instr_error = i0_icaf_d; - i0_dp := i0_dp_raw - when((i0_br_error_all | i0_instr_error).asBool){ - i0_dp := 0.U.asTypeOf(i0_dp) - i0_dp.alu := 1.B - i0_dp.rs1 := 1.B - i0_dp.rs2 := 1.B - i0_dp.lor := 1.B - i0_dp.legal := 1.B - i0_dp.postsync := 1.B - } - - val i0 = io.dec_i0_instr_d - io.decode_exu.dec_i0_select_pc_d := i0_dp.pc - - // branches that can be predicted - val i0_predict_br = i0_dp.condbr | i0_pcall | i0_pja | i0_pret; - val i0_predict_nt = !(io.dec_i0_brp.bits.hist(1) & i0_brp_valid) & i0_predict_br - val i0_predict_t = (io.dec_i0_brp.bits.hist(1) & i0_brp_valid) & i0_predict_br - val i0_ap_pc2 = !io.dec_i0_pc4_d - val i0_ap_pc4 = io.dec_i0_pc4_d - io.decode_exu.i0_ap.predict_nt := i0_predict_nt - io.decode_exu.i0_ap.predict_t := i0_predict_t - - io.decode_exu.i0_ap.add := i0_dp.add - io.decode_exu.i0_ap.sub := i0_dp.sub - io.decode_exu.i0_ap.land := i0_dp.land - io.decode_exu.i0_ap.lor := i0_dp.lor - io.decode_exu.i0_ap.lxor := i0_dp.lxor - io.decode_exu.i0_ap.sll := i0_dp.sll - io.decode_exu.i0_ap.srl := i0_dp.srl - io.decode_exu.i0_ap.sra := i0_dp.sra - io.decode_exu.i0_ap.slt := i0_dp.slt - io.decode_exu.i0_ap.unsign := i0_dp.unsign - io.decode_exu.i0_ap.beq := i0_dp.beq - io.decode_exu.i0_ap.bne := i0_dp.bne - io.decode_exu.i0_ap.blt := i0_dp.blt - io.decode_exu.i0_ap.bge := i0_dp.bge - io.decode_exu.i0_ap.csr_write := i0_csr_write_only_d - io.decode_exu.i0_ap.csr_imm := i0_dp.csr_imm - io.decode_exu.i0_ap.jal := i0_jal - - // non block load cam logic - // val found=Wire(UInt(1.W)) - cam_wen := Mux1H((0 until LSU_NUM_NBLOAD).map(i=>(0 to i).map(j=> if(i==j) !cam(j).valid else cam(j).valid).reduce(_.asBool&_.asBool).asBool -> (cam_write << i))) - - cam_write := io.dctl_busbuff.lsu_nonblock_load_valid_m - val cam_write_tag = io.dctl_busbuff.lsu_nonblock_load_tag_m(LSU_NUM_NBLOAD_WIDTH-1,0) - - val cam_inv_reset = io.dctl_busbuff.lsu_nonblock_load_inv_r - val cam_inv_reset_tag = io.dctl_busbuff.lsu_nonblock_load_inv_tag_r - - val cam_data_reset = io.dctl_busbuff.lsu_nonblock_load_data_valid | io.dctl_busbuff.lsu_nonblock_load_data_error - val cam_data_reset_tag = io.dctl_busbuff.lsu_nonblock_load_data_tag - - val nonblock_load_rd = Mux(x_d.bits.i0load.asBool, x_d.bits.i0rd, 0.U(5.W)) // rd data - val load_data_tag = io.dctl_busbuff.lsu_nonblock_load_data_tag - // case of multiple loads to same dest ie. x1 ... you have to invalidate the older one - // don't writeback a nonblock load - val nonblock_load_valid_m_delay=withClock(io.active_clk){RegEnable(io.dctl_busbuff.lsu_nonblock_load_valid_m,0.U, i0_r_ctl_en.asBool)} - val i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d.bits.i0load - for(i <- 0 until LSU_NUM_NBLOAD){ - cam_inv_reset_val(i) := cam_inv_reset & (cam_inv_reset_tag === cam(i).bits.tag) & cam(i).valid - cam_data_reset_val(i) := cam_data_reset & (cam_data_reset_tag === cam(i).bits.tag) & cam_raw(i).valid - cam_in(i):=0.U.asTypeOf(cam(0)) - cam(i):=cam_raw(i) - - when(cam_data_reset_val(i).asBool){ - cam(i).valid := 0.U(1.W) - } - when(cam_wen(i).asBool){ - cam_in(i).valid := 1.U(1.W) - cam_in(i).bits.wb := 0.U(1.W) - cam_in(i).bits.tag := cam_write_tag - cam_in(i).bits.rd := nonblock_load_rd - }.elsewhen(cam_inv_reset_val(i).asBool || (i0_wen_r.asBool && (r_d_in.bits.i0rd === cam(i).bits.rd) && cam(i).bits.wb.asBool)){ - cam_in(i).valid := 0.U - }.otherwise{ - cam_in(i) := cam(i) - } - when(nonblock_load_valid_m_delay===1.U && (io.dctl_busbuff.lsu_nonblock_load_inv_tag_r === cam(i).bits.tag) && cam(i).valid===1.U){ - cam_in(i).bits.wb := 1.U - } - // force debug halt forces cam valids to 0; highest priority - when(io.dec_tlu_force_halt){ - cam_in(i).valid := 0.U - } - - cam_raw(i):=withClock(io.free_clk){RegNext(cam_in(i),0.U.asTypeOf(cam(0)))} - nonblock_load_write(i) := (load_data_tag === cam_raw(i).bits.tag) & cam_raw(i).valid - } - - io.dec_nonblock_load_waddr:=0.U(5.W) - // cancel if any younger inst (including another nonblock) committing this cycle - val nonblock_load_cancel = ((r_d_in.bits.i0rd === io.dec_nonblock_load_waddr) & i0_wen_r) - io.dec_nonblock_load_wen := (io.dctl_busbuff.lsu_nonblock_load_data_valid && nonblock_load_write.reduce(_|_).asBool && !nonblock_load_cancel) - val i0_nonblock_boundary_stall = ((nonblock_load_rd===i0r.rs1) & io.dctl_busbuff.lsu_nonblock_load_valid_m & io.decode_exu.dec_i0_rs1_en_d)|((nonblock_load_rd===i0r.rs2) & io.dctl_busbuff.lsu_nonblock_load_valid_m & io.decode_exu.dec_i0_rs2_en_d) - - i0_nonblock_load_stall := i0_nonblock_boundary_stall - - val cal_temp= for(i <-0 until LSU_NUM_NBLOAD) yield ((Fill(5,nonblock_load_write(i)) & cam(i).bits.rd), io.decode_exu.dec_i0_rs1_en_d & cam(i).valid & (cam(i).bits.rd === i0r.rs1), io.decode_exu.dec_i0_rs2_en_d & cam(i).valid & (cam(i).bits.rd === i0r.rs2)) - val (waddr, ld_stall_1, ld_stall_2) = (cal_temp.map(_._1).reduce(_|_) , cal_temp.map(_._2).reduce(_|_), cal_temp.map(_._3).reduce(_|_) ) - io.dec_nonblock_load_waddr:=waddr - i0_nonblock_load_stall:=ld_stall_1 | ld_stall_2 | i0_nonblock_boundary_stall - //i0_nonblock_load_stall:=ld_stall_2 - - // end non block load cam logic - - // pmu start - - val csr_read = csr_ren_qual_d - val csr_write = io.dec_csr_wen_unq_d - val i0_br_unpred = i0_dp.jal & !i0_predict_br - - // the classes must be mutually exclusive with one another - import inst_pkt_t._ - d_t.pmu_i0_itype :=Fill(4,i0_legal_decode_d) & MuxCase(NULL ,Array( - i0_dp.jal -> JAL, - i0_dp.condbr -> CONDBR, - i0_dp.mret -> MRET, - i0_dp.fence_i -> FENCEI, - i0_dp.fence -> FENCE, - i0_dp.ecall -> ECALL, - i0_dp.ebreak -> EBREAK, - ( csr_read & csr_write).asBool -> CSRRW, - (!csr_read & csr_write).asBool -> CSRWRITE, - ( csr_read & !csr_write).asBool -> CSRREAD, - i0_dp.pm_alu -> ALU, - i0_dp.store -> STORE, - i0_dp.load -> LOAD, - i0_dp.mul -> MUL)) - // end pmu - - val i0_dec =Module(new dec_dec_ctl) - i0_dec.io.ins:= i0 - i0_dp_raw:=i0_dec.io.out - - lsu_idle:=withClock(io.active_clk){RegNext(io.lsu_idle_any,0.U)} - - // can't make this clock active_clock - leak1_i1_stall_in := (io.dec_tlu_flush_leak_one_r | (leak1_i1_stall & !io.dec_tlu_flush_lower_r)) - leak1_i1_stall := withClock(data_gate_clk){RegNext(leak1_i1_stall_in,0.U)} - leak1_mode := leak1_i1_stall - leak1_i0_stall_in := ((io.dec_aln.dec_i0_decode_d & leak1_i1_stall) | (leak1_i0_stall & !io.dec_tlu_flush_lower_r)) - leak1_i0_stall := withClock(data_gate_clk){RegNext(leak1_i0_stall_in,0.U)} - - // 12b jal's can be predicted - these are calls - - val i0_pcall_imm = Cat(i0(31),i0(19,12),i0(20),i0(30,21)) - val i0_pcall_12b_offset = Mux(i0_pcall_imm(11).asBool, i0_pcall_imm(19,12) === 0xff.U , i0_pcall_imm(19,12) === 0.U(8.W)) - val i0_pcall_case = i0_pcall_12b_offset & i0_dp_raw.imm20 & (i0r.rd === 1.U(5.W) | i0r.rd === 5.U(5.W)) - val i0_pja_case = i0_pcall_12b_offset & i0_dp_raw.imm20 & !(i0r.rd === 1.U(5.W) | i0r.rd === 5.U(5.W)) - i0_pcall_raw := i0_dp_raw.jal & i0_pcall_case // this includes ja - i0_pcall := i0_dp.jal & i0_pcall_case - i0_pja_raw := i0_dp_raw.jal & i0_pja_case - i0_pja := i0_dp.jal & i0_pja_case - i0_br_offset := Mux((i0_pcall_raw | i0_pja_raw).asBool, i0_pcall_imm(11,0) , Cat(i0(31),i0(7),i0(30,25),i0(11,8))) - // jalr with rd==0, rs1==1 or rs1==5 is a ret - val i0_pret_case = (i0_dp_raw.jal & i0_dp_raw.imm12 & (i0r.rd === 0.U(5.W)) & (i0r.rs1===1.U(5.W) | i0r.rs1 === 5.U(5.W))) - i0_pret_raw := i0_dp_raw.jal & i0_pret_case - i0_pret := i0_dp.jal & i0_pret_case - i0_jal := i0_dp.jal & !i0_pcall_case & !i0_pja_case & !i0_pret_case - /////////////////////////////////////////////////////////////////////////////////////////////////////////// - - io.dec_div.div_p.valid := div_decode_d - io.dec_div.div_p.bits.unsign := i0_dp.unsign - io.dec_div.div_p.bits.rem := i0_dp.rem - - io.decode_exu.mul_p.valid := mul_decode_d - io.decode_exu.mul_p.bits.rs1_sign := i0_dp.rs1_sign - io.decode_exu.mul_p.bits.rs2_sign := i0_dp.rs2_sign - io.decode_exu.mul_p.bits.low := i0_dp.low - - io.decode_exu.dec_extint_stall := withClock(data_gate_clk){RegNext(io.dec_tlu_flush_extint,0.U)} - - io.lsu_p := 0.U.asTypeOf(io.lsu_p) - when (io.decode_exu.dec_extint_stall){ - io.lsu_p.bits.load := 1.U(1.W) - io.lsu_p.bits.word := 1.U(1.W) - io.lsu_p.bits.fast_int := 1.U(1.W) - io.lsu_p.valid := 1.U(1.W) - }.otherwise { - io.lsu_p.valid := lsu_decode_d - io.lsu_p.bits.load := i0_dp.load - io.lsu_p.bits.store := i0_dp.store - io.lsu_p.bits.by := i0_dp.by - io.lsu_p.bits.half := i0_dp.half - io.lsu_p.bits.word := i0_dp.word - io.lsu_p.bits.load_ldst_bypass_d := load_ldst_bypass_d - io.lsu_p.bits.store_data_bypass_d := store_data_bypass_d - io.lsu_p.bits.store_data_bypass_m := store_data_bypass_m - io.lsu_p.bits.unsign := i0_dp.unsign - } - - ////////////////////////////////////// - io.dec_alu.dec_csr_ren_d := i0_dp.csr_read //H: assigning csr read enable signal decoded from decode_ctl going as input to EXU - csr_ren_qual_d := i0_dp.csr_read & i0_legal_decode_d.asBool //csr_ren_qual_d assigned as csr_read above - - val i0_csr_write = i0_dp.csr_write & !io.dec_debug_fence_d - val csr_clr_d = i0_dp.csr_clr & i0_legal_decode_d.asBool - val csr_set_d = i0_dp.csr_set & i0_legal_decode_d.asBool - val csr_write_d = i0_csr_write & i0_legal_decode_d.asBool - - i0_csr_write_only_d := i0_csr_write & !i0_dp.csr_read - io.dec_csr_wen_unq_d := (i0_dp.csr_clr | i0_dp.csr_set | i0_csr_write) // for csr legal, can't write read-only csr - //dec_csr_wen_unq_d assigned as csr_write above - - io.dec_csr_rdaddr_d := i0(31,20) - io.dec_csr_wraddr_r := r_d.bits.csrwaddr //r_d is a dest_pkt - - // make sure csr doesn't write same cycle as dec_tlu_flush_lower_wb - // also use valid so it's flushable - io.dec_csr_wen_r := r_d.bits.csrwen & r_d.valid & !io.dec_tlu_i0_kill_writeb_r; - - // If we are writing MIE or MSTATUS, hold off the external interrupt for a cycle on the write. - io.dec_csr_stall_int_ff := ((r_d.bits.csrwaddr === "h300".U) | (r_d.bits.csrwaddr === "h304".U)) & r_d.bits.csrwen & r_d.valid & !io.dec_tlu_i0_kill_writeb_wb; - - val csr_read_x = withClock(io.active_clk){RegNext(csr_ren_qual_d,init=0.B)} - val csr_clr_x = withClock(io.active_clk){RegNext(csr_clr_d, init=0.B)} - val csr_set_x = withClock(io.active_clk){RegNext(csr_set_d, init=0.B)} - val csr_write_x = withClock(io.active_clk){RegNext(csr_write_d, init=0.B)} - val csr_imm_x = withClock(io.active_clk){RegNext(i0_dp.csr_imm, init=0.U)} - - // perform the update operation if any - val csrimm_x = rvdffe(i0(19,15),i0_x_data_en.asBool,clock,io.scan_mode) - val csr_rddata_x = rvdffe(io.dec_csr_rddata_d,i0_x_data_en.asBool,clock,io.scan_mode) - - val csr_mask_x = Mux1H(Seq( - csr_imm_x.asBool -> Cat(repl(27,0.U),csrimm_x(4,0)), - !csr_imm_x.asBool -> io.decode_exu.exu_csr_rs1_x)) - - val write_csr_data_x = Mux1H(Seq( - csr_clr_x -> (csr_rddata_x & (~csr_mask_x).asUInt), - csr_set_x -> (csr_rddata_x | csr_mask_x), - csr_write_x -> ( csr_mask_x))) - // pause instruction - val clear_pause = (io.dec_tlu_flush_lower_r & !io.dec_tlu_flush_pause_r) | (pause_state & (write_csr_data === Cat(Fill(31,0.U),write_csr_data(0)))) // if 0 or 1 then exit pause state - 1 cycle pause - pause_state_in := (io.dec_tlu_wr_pause_r | pause_state) & !clear_pause - pause_state := withClock(data_gate_clk){RegNext(pause_state_in, 0.U)} - io.dec_pause_state := pause_state - tlu_wr_pause_r1 := withClock(data_gate_clk){RegNext(io.dec_tlu_wr_pause_r, 0.U)} - tlu_wr_pause_r2 := withClock(data_gate_clk){RegNext(tlu_wr_pause_r1, 0.U)} - //pause for clock gating - io.dec_pause_state_cg := (pause_state & (!tlu_wr_pause_r1 && !tlu_wr_pause_r2)) - // end pause - - val write_csr_data_in = Mux(pause_state,(write_csr_data - 1.U(32.W)), - Mux(io.dec_tlu_wr_pause_r,io.dec_csr_wrdata_r,write_csr_data_x)) - val csr_data_wen = ((csr_clr_x | csr_set_x | csr_write_x) & csr_read_x) | io.dec_tlu_wr_pause_r | pause_state - write_csr_data := rvdffe(write_csr_data_in,csr_data_wen,clock,io.scan_mode) - - // will hold until write-back at which time the CSR will be updated while GPR is possibly written with prior CSR - val pause_stall = pause_state - - // for csr write only data is produced by the alu - io.dec_csr_wrdata_r := Mux(r_d.bits.csrwonly.asBool,i0_result_corr_r,write_csr_data) - - val prior_csr_write = x_d.bits.csrwonly | r_d.bits.csrwonly | wbd.bits.csrwonly; - - val debug_fence_i = io.dec_debug_fence_d & io.dbg_dctl.dbg_cmd_wrdata(0) - val debug_fence_raw = io.dec_debug_fence_d & io.dbg_dctl.dbg_cmd_wrdata(1) - debug_fence := debug_fence_raw | debug_fence_i - - // some CSR reads need to be presync'd - val i0_presync = i0_dp.presync | io.dec_tlu_presync_d | debug_fence_i | debug_fence_raw | io.dec_tlu_pipelining_disable // both fence's presync - - // some CSR writes need to be postsync'd - val i0_postsync = i0_dp.postsync | io.dec_tlu_postsync_d | debug_fence_i | (i0_csr_write_only_d & (i0(31,20) === "h7c2".U)) - - val any_csr_d = i0_dp.csr_read | i0_csr_write - io.dec_csr_any_unq_d := any_csr_d - val i0_legal = i0_dp.legal & (!any_csr_d | io.dec_csr_legal_d) - val i0_inst_d = Mux(io.dec_i0_pc4_d,i0,Cat(repl(16,0.U), io.dec_aln.ifu_i0_cinst)) - // illegal inst handling - - val shift_illegal = io.dec_aln.dec_i0_decode_d & !i0_legal//lm: valid but not legal - val illegal_inst_en = shift_illegal & !illegal_lockout - io.dec_illegal_inst := rvdffe(i0_inst_d,illegal_inst_en,clock,io.scan_mode) - illegal_lockout_in := (shift_illegal | illegal_lockout) & !flush_final_r - illegal_lockout := withClock(data_gate_clk){RegNext(illegal_lockout_in, 0.U)} - val i0_div_prior_div_stall = i0_dp.div & io.dec_div_active - //stalls signals - val i0_block_raw_d = (i0_dp.csr_read & prior_csr_write) | io.decode_exu.dec_extint_stall | pause_stall | - leak1_i0_stall | io.dec_tlu_debug_stall | postsync_stall | presync_stall | - ((i0_dp.fence | debug_fence) & !lsu_idle) | i0_nonblock_load_stall | - i0_load_block_d | i0_nonblock_div_stall | i0_div_prior_div_stall - - val i0_store_stall_d = i0_dp.store & (io.lsu_store_stall_any | io.dctl_dma.dma_dccm_stall_any) - val i0_load_stall_d = i0_dp.load & (io.lsu_load_stall_any | io.dctl_dma.dma_dccm_stall_any) - val i0_block_d = i0_block_raw_d | i0_store_stall_d | i0_load_stall_d - val i0_exublock_d = i0_block_raw_d - - //decode valid - io.dec_aln.dec_i0_decode_d := io.dec_ib0_valid_d & !i0_block_d & !io.dec_tlu_flush_lower_r & !flush_final_r - val i0_exudecode_d = io.dec_ib0_valid_d & !i0_exublock_d & !io.dec_tlu_flush_lower_r & !flush_final_r - val i0_exulegal_decode_d = i0_exudecode_d & i0_legal - - // performance monitor signals - io.dec_pmu_instr_decoded := io.dec_aln.dec_i0_decode_d - io.dec_pmu_decode_stall := io.dec_ib0_valid_d & !io.dec_aln.dec_i0_decode_d - io.dec_pmu_postsync_stall := postsync_stall.asBool - io.dec_pmu_presync_stall := presync_stall.asBool - - val prior_inflight_x = x_d.valid - val prior_inflight_wb = r_d.valid - val prior_inflight = prior_inflight_x | prior_inflight_wb - val prior_inflight_eff = Mux(i0_dp.div,prior_inflight_x,prior_inflight) - - presync_stall := (i0_presync & prior_inflight_eff) - postsync_stall := withClock(data_gate_clk){RegNext(ps_stall_in, 0.U)} - // illegals will postsync - ps_stall_in := (io.dec_aln.dec_i0_decode_d & (i0_postsync | !i0_legal) ) | ( postsync_stall & prior_inflight_x) - - io.dec_alu.dec_i0_alu_decode_d := i0_exulegal_decode_d & i0_dp.alu - - lsu_decode_d := i0_legal_decode_d & i0_dp.lsu - mul_decode_d := i0_exulegal_decode_d & i0_dp.mul - div_decode_d := i0_exulegal_decode_d & i0_dp.div - - io.dec_tlu_i0_valid_r := r_d.valid & !io.dec_tlu_flush_lower_wb - - //traps for TLU (tlu stuff) - d_t.legal := i0_legal_decode_d - d_t.icaf := i0_icaf_d & i0_legal_decode_d // dbecc is icaf exception - d_t.icaf_f1 := io.dec_i0_icaf_f1_d & i0_legal_decode_d // this includes icaf and dbecc - d_t.icaf_type := io.dec_i0_icaf_type_d - - d_t.fence_i := (i0_dp.fence_i | debug_fence_i) & i0_legal_decode_d - - // put pmu info into the trap packet - d_t.pmu_i0_br_unpred := i0_br_unpred - d_t.pmu_divide := 0.U(1.W) - d_t.pmu_lsu_misaligned := 0.U(1.W) - - d_t.i0trigger := io.dec_i0_trigger_match_d & repl(4,io.dec_aln.dec_i0_decode_d) - - - x_t := rvdffe(d_t,i0_x_ctl_en.asBool,clock,io.scan_mode) - - x_t_in := x_t - x_t_in.i0trigger := x_t.i0trigger & ~(repl(4,io.dec_tlu_flush_lower_wb)) - - r_t := rvdffe(x_t_in,i0_x_ctl_en.asBool,clock,io.scan_mode) - val lsu_trigger_match_r = RegNext(io.lsu_trigger_match_m, 0.U) - val lsu_pmu_misaligned_r = RegNext(io.lsu_pmu_misaligned_m, 0.U) - - r_t_in := r_t - - r_t_in.i0trigger := (repl(4,(r_d.bits.i0load | r_d.bits.i0store)) & lsu_trigger_match_r) | r_t.i0trigger - r_t_in.pmu_lsu_misaligned := lsu_pmu_misaligned_r // only valid if a load/store is valid in DC3 stage - - when (io.dec_tlu_flush_lower_wb.asBool) {r_t_in := 0.U.asTypeOf(r_t_in) } - - io.dec_tlu_packet_r := r_t_in - io.dec_tlu_packet_r.pmu_divide := r_d.bits.i0div & r_d.valid - // end tlu stuff - - flush_final_r := withClock(data_gate_clk){RegNext(io.exu_flush_final, 0.U)} - - io.dec_aln.dec_i0_decode_d := io.dec_ib0_valid_d & !i0_block_d & !io.dec_tlu_flush_lower_r & !flush_final_r - - i0r.rs1 := i0(19,15) //H: assigning reg packets the instructions bits - i0r.rs2 := i0(24,20) - i0r.rd := i0(11,7) - - io.decode_exu.dec_i0_rs1_en_d := i0_dp.rs1 & (i0r.rs1 =/= 0.U(5.W)) // if rs1_en=0 then read will be all 0's - io.decode_exu.dec_i0_rs2_en_d := i0_dp.rs2 & (i0r.rs2 =/= 0.U(5.W)) - val i0_rd_en_d = i0_dp.rd & (i0r.rd =/= 0.U(5.W)) - io.dec_i0_rs1_d := i0r.rs1//H:assiging packets to output signals leading to gprfile - io.dec_i0_rs2_d := i0r.rs2 - - val i0_jalimm20 = i0_dp.jal & i0_dp.imm20 // H:jal (used at line 915) - val i0_uiimm20 = !i0_dp.jal & i0_dp.imm20 - - io.decode_exu.dec_i0_immed_d := Mux1H(Seq( - i0_dp.csr_read -> io.dec_csr_rddata_d, - !i0_dp.csr_read -> i0_immed_d)) - - i0_immed_d := Mux1H(Seq( - i0_dp.imm12 -> Cat(repl(20,i0(31)),i0(31,20)), // jalr - i0_dp.shimm5 -> Cat(repl(27,0.U),i0(24,20)), - i0_jalimm20 -> Cat(repl(12,i0(31)),i0(19,12),i0(20),i0(30,21),0.U), - i0_uiimm20 -> Cat(i0(31,12),repl(12,0.U)), - (i0_csr_write_only_d & i0_dp.csr_imm).asBool -> Cat(repl(27,0.U),i0(19,15)))) // for csr's that only write - - i0_legal_decode_d := io.dec_aln.dec_i0_decode_d & i0_legal - - i0_d_c.mul := i0_dp.mul & i0_legal_decode_d - i0_d_c.load := i0_dp.load & i0_legal_decode_d - i0_d_c.alu := i0_dp.alu & i0_legal_decode_d - - val i0_x_c = withClock(io.active_clk){RegEnable(i0_d_c,0.U.asTypeOf(i0_d_c), i0_x_ctl_en.asBool)} - val i0_r_c = withClock(io.active_clk){RegEnable(i0_x_c,0.U.asTypeOf(i0_x_c), i0_r_ctl_en.asBool)} - i0_pipe_en := Cat(io.dec_aln.dec_i0_decode_d,withClock(io.active_clk){RegNext(i0_pipe_en(3,1), init=0.U)}) - - i0_x_ctl_en := (i0_pipe_en(3,2).orR | io.clk_override) - i0_r_ctl_en := (i0_pipe_en(2,1).orR | io.clk_override) - i0_wb_ctl_en := (i0_pipe_en(1,0).orR | io.clk_override) - i0_x_data_en := ( i0_pipe_en(3) | io.clk_override) - i0_r_data_en := ( i0_pipe_en(2) | io.clk_override) - i0_wb_data_en := ( i0_pipe_en(1) | io.clk_override) - i0_wb1_data_en := ( i0_pipe_en(0) | io.clk_override) - - io.decode_exu.dec_data_en := Cat(i0_x_data_en, i0_r_data_en) - io.decode_exu.dec_ctl_en := Cat(i0_x_ctl_en, i0_r_ctl_en) - - d_d.bits.i0rd := i0r.rd - d_d.bits.i0v := i0_rd_en_d & i0_legal_decode_d - d_d.valid := io.dec_aln.dec_i0_decode_d // has flush_final_r - - d_d.bits.i0load := i0_dp.load & i0_legal_decode_d - d_d.bits.i0store := i0_dp.store & i0_legal_decode_d - d_d.bits.i0div := i0_dp.div & i0_legal_decode_d - - d_d.bits.csrwen := io.dec_csr_wen_unq_d & i0_legal_decode_d - d_d.bits.csrwonly := i0_csr_write_only_d & io.dec_aln.dec_i0_decode_d - d_d.bits.csrwaddr := i0(31,20) - - x_d := rvdffe(d_d, i0_x_ctl_en.asBool,clock,io.scan_mode) - val x_d_in = Wire(Valid(new dest_pkt_t)) - x_d_in := x_d - x_d_in.bits.i0v := x_d.bits.i0v & !io.dec_tlu_flush_lower_wb & !io.dec_tlu_flush_lower_r - x_d_in.valid := x_d.valid & !io.dec_tlu_flush_lower_wb & !io.dec_tlu_flush_lower_r - - r_d := rvdffe(x_d_in,i0_r_ctl_en.asBool,clock,io.scan_mode) - r_d_in := r_d - r_d_in.bits.i0rd := r_d.bits.i0rd - - r_d_in.bits.i0v := (r_d.bits.i0v & !io.dec_tlu_flush_lower_wb) - r_d_in.valid := (r_d.valid & !io.dec_tlu_flush_lower_wb) - r_d_in.bits.i0load := r_d.bits.i0load & !io.dec_tlu_flush_lower_wb - r_d_in.bits.i0store := r_d.bits.i0store & !io.dec_tlu_flush_lower_wb - - wbd := rvdffe(r_d_in,i0_wb_ctl_en.asBool,clock,io.scan_mode) - - io.dec_i0_waddr_r := r_d_in.bits.i0rd - i0_wen_r := r_d_in.bits.i0v & !io.dec_tlu_i0_kill_writeb_r - io.dec_i0_wen_r := i0_wen_r & !r_d_in.bits.i0div & !i0_load_kill_wen_r // don't write a nonblock load 1st time down the pipe - io.dec_i0_wdata_r := i0_result_corr_r - - val i0_result_r_raw = rvdffe(i0_result_x,i0_r_data_en.asBool,clock,io.scan_mode) - if ( LOAD_TO_USE_PLUS1) { - i0_result_x := io.decode_exu.exu_i0_result_x - i0_result_r := Mux((r_d.bits.i0v & r_d.bits.i0load).asBool,io.lsu_result_m, i0_result_r_raw) - } - else { - i0_result_x := Mux((x_d.bits.i0v & x_d.bits.i0load).asBool,io.lsu_result_m,io.decode_exu.exu_i0_result_x) - i0_result_r := i0_result_r_raw - } - - // correct lsu load data - don't use for bypass, do pass down the pipe - i0_result_corr_r := Mux((r_d.bits.i0v & r_d.bits.i0load).asBool,io.lsu_result_corr_r,i0_result_r_raw) - io.dec_alu.dec_i0_br_immed_d := Mux((io.decode_exu.i0_ap.predict_nt & !i0_dp.jal).asBool,i0_br_offset,Cat(repl(10,0.U),i0_ap_pc4,i0_ap_pc2)) - val last_br_immed_d = WireInit(UInt(12.W),0.U) - last_br_immed_d := Mux((io.decode_exu.i0_ap.predict_nt).asBool,Cat(repl(10,0.U),i0_ap_pc4,i0_ap_pc2),i0_br_offset) - val last_br_immed_x = WireInit(UInt(12.W),0.U) - last_br_immed_x := rvdffe(last_br_immed_d,i0_x_data_en.asBool,clock,io.scan_mode) - - // divide stuff - - val div_e1_to_r = (x_d.bits.i0div & x_d.valid) | (r_d.bits.i0div & r_d.valid) - - val div_flush = (x_d.bits.i0div & x_d.valid & (x_d.bits.i0rd === 0.U(5.W))) | - (x_d.bits.i0div & x_d.valid & io.dec_tlu_flush_lower_r ) | - (r_d.bits.i0div & r_d.valid & io.dec_tlu_flush_lower_r & io.dec_tlu_i0_kill_writeb_r) - - // cancel if any younger inst committing this cycle to same dest as nonblock divide - - val nonblock_div_cancel = (io.dec_div_active & div_flush) | - (io.dec_div_active & !div_e1_to_r & (r_d.bits.i0rd === io.div_waddr_wb) & i0_wen_r) - - io.dec_div.dec_div_cancel := nonblock_div_cancel.asBool - val i0_div_decode_d = i0_legal_decode_d & i0_dp.div - - val div_active_in = i0_div_decode_d | (io.dec_div_active & !io.exu_div_wren & !nonblock_div_cancel) - - io.dec_div_active := withClock(io.free_clk){RegNext(div_active_in, 0.U)} - - // nonblocking div scheme - i0_nonblock_div_stall := (io.decode_exu.dec_i0_rs1_en_d & io.dec_div_active & (io.div_waddr_wb === i0r.rs1)) | - (io.decode_exu.dec_i0_rs2_en_d & io.dec_div_active & (io.div_waddr_wb === i0r.rs2)) - - io.div_waddr_wb := RegEnable(i0r.rd,0.U,i0_div_decode_d.asBool) - ///div end - - //for tracing instruction - val i0_wb_en = i0_wb_data_en - val i0_wb1_en = i0_wb1_data_en - - val div_inst = rvdffe(i0_inst_d(24,7),i0_div_decode_d.asBool,clock,io.scan_mode) - val i0_inst_x = rvdffe(i0_inst_d,i0_x_data_en.asBool,clock,io.scan_mode) - val i0_inst_r = rvdffe(i0_inst_x,i0_r_data_en.asBool,clock,io.scan_mode) - val i0_inst_wb_in = i0_inst_r - val i0_inst_wb = rvdffe(i0_inst_wb_in,i0_wb_en.asBool,clock,io.scan_mode) - io.dec_i0_inst_wb1 := rvdffe(i0_inst_wb,i0_wb1_en.asBool,clock,io.scan_mode) - val i0_pc_wb = rvdffe(io.dec_tlu_i0_pc_r,i0_wb_en.asBool,clock,io.scan_mode) - - io.dec_i0_pc_wb1 := rvdffe(i0_pc_wb,i0_wb1_en.asBool,clock,io.scan_mode) - val dec_i0_pc_r = rvdffe(io.dec_alu.exu_i0_pc_x,i0_r_data_en.asBool,clock,io.scan_mode) - - io.dec_tlu_i0_pc_r := dec_i0_pc_r - - //end tracing - - val temp_pred_correct_npc_x = rvbradder(Cat(io.dec_alu.exu_i0_pc_x,0.U),Cat(last_br_immed_x,0.U)) - io.decode_exu.pred_correct_npc_x := temp_pred_correct_npc_x(31,1) - - // scheduling logic for primary alu's - - val i0_rs1_depend_i0_x = io.decode_exu.dec_i0_rs1_en_d & x_d.bits.i0v & (x_d.bits.i0rd === i0r.rs1) - val i0_rs1_depend_i0_r = io.decode_exu.dec_i0_rs1_en_d & r_d.bits.i0v & (r_d.bits.i0rd === i0r.rs1) - - val i0_rs2_depend_i0_x = io.decode_exu.dec_i0_rs2_en_d & x_d.bits.i0v & (x_d.bits.i0rd === i0r.rs2) - val i0_rs2_depend_i0_r = io.decode_exu.dec_i0_rs2_en_d & r_d.bits.i0v & (r_d.bits.i0rd === i0r.rs2) - // order the producers as follows: , i0_x, i0_r, i0_wb - i0_rs1_class_d := Mux(i0_rs1_depend_i0_x.asBool,i0_x_c,Mux(i0_rs1_depend_i0_r.asBool, i0_r_c, 0.U.asTypeOf(i0_rs1_class_d))) - i0_rs1_depth_d := Mux(i0_rs1_depend_i0_x.asBool,1.U(2.W),Mux(i0_rs1_depend_i0_r.asBool, 2.U(2.W), 0.U)) - i0_rs2_class_d := Mux(i0_rs2_depend_i0_x.asBool,i0_x_c,Mux(i0_rs2_depend_i0_r.asBool, i0_r_c, 0.U.asTypeOf(i0_rs2_class_d))) - i0_rs2_depth_d := Mux(i0_rs2_depend_i0_x.asBool,1.U(2.W),Mux(i0_rs2_depend_i0_r.asBool, 2.U(2.W), 0.U)) - - // stores will bypass load data in the lsu pipe - if (LOAD_TO_USE_PLUS1) { - i0_load_block_d := (i0_rs1_class_d.load & i0_rs1_depth_d) | (i0_rs2_class_d.load & i0_rs2_depth_d(0) & !i0_dp.store) - load_ldst_bypass_d := (i0_dp.load | i0_dp.store) & i0_rs1_depth_d(1) & i0_rs1_class_d.load - store_data_bypass_d := i0_dp.store & (i0_rs2_depth_d(1) & i0_rs2_class_d.load) - store_data_bypass_m := i0_dp.store & (i0_rs2_depth_d(0) & i0_rs2_class_d.load) - } - else { - i0_load_block_d := 0.B - load_ldst_bypass_d := (i0_dp.load | i0_dp.store) & i0_rs1_depth_d(0) & i0_rs1_class_d.load - store_data_bypass_d := i0_dp.store & i0_rs2_depth_d(0) & i0_rs2_class_d.load - store_data_bypass_m := 0.B - } - // add nonblock load rs1/rs2 bypass cases - - val i0_rs1_nonblock_load_bypass_en_d = io.decode_exu.dec_i0_rs1_en_d & io.dec_nonblock_load_wen & (io.dec_nonblock_load_waddr === i0r.rs1) - - val i0_rs2_nonblock_load_bypass_en_d = io.decode_exu.dec_i0_rs2_en_d & io.dec_nonblock_load_wen & (io.dec_nonblock_load_waddr === i0r.rs2) - - // bit 2 is priority match, bit 0 lowest priority , i0_x, i0_r - i0_rs1bypass := Cat((i0_rs1_depth_d(0) &(i0_rs1_class_d.alu | i0_rs1_class_d.mul)),(i0_rs1_depth_d(0) & (i0_rs1_class_d.load)), (i0_rs1_depth_d(1) & (i0_rs1_class_d.alu | i0_rs1_class_d.mul | i0_rs1_class_d.load))) - - i0_rs2bypass := Cat((i0_rs2_depth_d(0) & (i0_rs2_class_d.alu | i0_rs2_class_d.mul)),(i0_rs2_depth_d(0) & (i0_rs2_class_d.load)),(i0_rs2_depth_d(1) & (i0_rs2_class_d.alu | i0_rs2_class_d.mul | i0_rs2_class_d.load))) - - io.decode_exu.dec_i0_rs1_bypass_en_d := Cat(i0_rs1bypass(2),(i0_rs1bypass(1) | i0_rs1bypass(0) | (!i0_rs1bypass(2) & i0_rs1_nonblock_load_bypass_en_d))) - io.decode_exu.dec_i0_rs2_bypass_en_d := Cat(i0_rs2bypass(2),(i0_rs2bypass(1) | i0_rs2bypass(0) | (!i0_rs2bypass(2) & i0_rs2_nonblock_load_bypass_en_d))) - - - io.decode_exu.dec_i0_rs1_bypass_data_d := Mux1H(Seq( - i0_rs1bypass(1).asBool -> io.lsu_result_m, - i0_rs1bypass(0).asBool -> i0_result_r, - (!i0_rs1bypass(1) & !i0_rs1bypass(0) & i0_rs1_nonblock_load_bypass_en_d).asBool -> io.dctl_busbuff.lsu_nonblock_load_data, - )) - io.decode_exu.dec_i0_rs2_bypass_data_d := Mux1H(Seq( - i0_rs2bypass(1).asBool -> io.lsu_result_m, - i0_rs2bypass(0).asBool -> i0_result_r, - (!i0_rs2bypass(1) & !i0_rs2bypass(0) & i0_rs2_nonblock_load_bypass_en_d).asBool -> io.dctl_busbuff.lsu_nonblock_load_data, - )) - io.dec_lsu_valid_raw_d := ((io.dec_ib0_valid_d & (i0_dp_raw.load | i0_dp_raw.store) & !io.dctl_dma.dma_dccm_stall_any & !i0_block_raw_d) | io.decode_exu.dec_extint_stall) - io.dec_lsu_offset_d := Mux1H(Seq( - (!io.decode_exu.dec_extint_stall & i0_dp.lsu & i0_dp.load).asBool -> i0(31,20), - (!io.decode_exu.dec_extint_stall & i0_dp.lsu & i0_dp.store).asBool -> Cat(i0(31,25),i0(11,7)))) -} \ No newline at end of file +//package dec +//import chisel3._ +// +//import scala.collection._ +//import chisel3.util._ +//import include._ +//import lib._ +//import exu._ +//import lsu._ +// +//class dec_decode_ctl extends Module with lib with RequireAsyncReset{ +// val io = IO(new Bundle{ +// val decode_exu = Flipped(new decode_exu) //connection with exu top +// val dec_alu = Flipped(new dec_alu) //connection with alu +// val dec_div = Flipped(new dec_div) //connection with divider +// val dctl_busbuff = Flipped(new dctl_busbuff()) //connection with bus buffer +// val dctl_dma = new dctl_dma //connection with dma +// val dec_aln = Flipped(new aln_dec) //connection with aligner +// val dbg_dctl = new dbg_dctl() //connection with dbg +// val dec_tlu_flush_extint = Input(Bool()) +// val dec_tlu_force_halt = Input(Bool()) // invalidate nonblock load cam on a force halt event +// val dec_i0_inst_wb1 = Output(UInt(32.W)) // 32b instruction at wb+1 for trace encoder +// val dec_i0_pc_wb1 = Output(UInt(31.W)) // 31b pc at wb+1 for trace encoder +// val dec_i0_trigger_match_d = Input(UInt(4.W)) // i0 decode trigger matches +// val dec_tlu_wr_pause_r = Input(Bool()) // pause instruction at r +// val dec_tlu_pipelining_disable = Input(Bool()) // pipeline disable - presync, i0 decode only +// val lsu_trigger_match_m = Input(UInt(4.W)) // lsu trigger matches +// val lsu_pmu_misaligned_m = Input(Bool()) // perf mon: load/store misalign +// val dec_tlu_debug_stall = Input(Bool()) // debug stall decode +// val dec_tlu_flush_leak_one_r = Input(Bool()) // leak1 instruction +// val dec_debug_fence_d = Input(Bool()) // debug fence instruction +// val dec_i0_icaf_d = Input(Bool()) // icache access fault +// val dec_i0_icaf_f1_d = Input(Bool()) // i0 instruction access fault at decode for f1 fetch group +// val dec_i0_icaf_type_d = Input(UInt(2.W)) // i0 instruction access fault type +// val dec_i0_dbecc_d = Input(Bool()) // icache/iccm double-bit error +// val dec_i0_brp = Flipped(Valid(new br_pkt_t)) // branch packet +// val dec_i0_bp_index = Input(UInt(((BTB_ADDR_HI-BTB_ADDR_LO)+1).W)) // i0 branch index +// val dec_i0_bp_fghr = Input(UInt(BHT_GHR_SIZE.W)) // BP FGHR +// val dec_i0_bp_btag = Input(UInt(BTB_BTAG_SIZE.W)) // BP tag +// val dec_i0_pc_d = Input(UInt(31.W)) // pc +// val lsu_idle_any = Input(Bool()) // lsu idle: if fence instr & !!!!!!!!!!!!!!!!!!!!!!!!!lsu_idle then stall decode +// val lsu_load_stall_any = Input(Bool()) // stall any load at decode +// val lsu_store_stall_any = Input(Bool()) // stall any store at decode6 +// val exu_div_wren = Input(Bool()) // nonblocking divide write enable to GPR. +// val dec_tlu_i0_kill_writeb_wb = Input(Bool()) // I0 is flushed, don't writeback any results to arch state +// val dec_tlu_flush_lower_wb = Input(Bool()) // trap lower flush +// val dec_tlu_i0_kill_writeb_r = Input(Bool()) // I0 is flushed, don't writeback any results to arch state +// val dec_tlu_flush_lower_r = Input(Bool()) // trap lower flush +// val dec_tlu_flush_pause_r = Input(Bool()) // don't clear pause state on initial lower flush +// val dec_tlu_presync_d = Input(Bool()) // CSR read needs to be presync'd +// val dec_tlu_postsync_d = Input(Bool()) // CSR ops that need to be postsync'd +// val dec_i0_pc4_d = Input(Bool()) // inst is 4B inst else 2B +// val dec_csr_rddata_d = Input(UInt(32.W)) // csr read data at wb +// val dec_csr_legal_d = Input(Bool()) // csr indicates legal operation +// val lsu_result_m = Input(UInt(32.W)) // load result +// val lsu_result_corr_r = Input(UInt(32.W)) // load result - corrected data for writing gpr's, not for bypassing +// val exu_flush_final = Input(Bool()) // lower flush or i0 flush at X or D +// val dec_i0_instr_d = Input(UInt(32.W)) // inst at decode +// val dec_ib0_valid_d = Input(Bool()) // inst valid at decode +// val free_clk = Input(Clock()) +// val active_clk = Input(Clock()) // clk except for halt / pause +// val clk_override = Input(Bool()) // test stuff +// val dec_i0_rs1_d = Output(UInt(5.W)) // rs1 logical source +// val dec_i0_rs2_d = Output(UInt(5.W)) +// val dec_i0_waddr_r = Output(UInt(5.W)) // i0 logical source to write to gpr's +// val dec_i0_wen_r = Output(Bool()) // i0 write enable +// val dec_i0_wdata_r = Output(UInt(32.W)) // i0 write data +// val lsu_p = Valid(new lsu_pkt_t) // load/store packet +// val div_waddr_wb = Output(UInt(5.W)) // DIV write address to GPR +// val dec_lsu_valid_raw_d = Output(Bool()) +// val dec_lsu_offset_d = Output(UInt(12.W)) +// val dec_csr_wen_unq_d = Output(Bool()) // valid csr with write - for csr legal +// val dec_csr_any_unq_d = Output(Bool()) // valid csr - for csr legal +// val dec_csr_rdaddr_d = Output(UInt(12.W)) // read address for csr +// val dec_csr_wen_r = Output(Bool()) // csr write enable at r +// val dec_csr_wraddr_r = Output(UInt(12.W)) // write address for csr +// val dec_csr_wrdata_r = Output(UInt(32.W)) // csr write data at r +// val dec_csr_stall_int_ff = Output(Bool()) // csr is mie/mstatus +// val dec_tlu_i0_valid_r = Output(Bool()) // i0 valid inst at c +// val dec_tlu_packet_r = Output(new trap_pkt_t) // trap packet +// val dec_tlu_i0_pc_r = Output(UInt(31.W)) // i0 trap pc +// val dec_illegal_inst = Output(UInt(32.W)) // illegal inst +// val dec_pmu_instr_decoded = Output(Bool()) // number of instructions decode this cycle encoded +// val dec_pmu_decode_stall = Output(Bool()) // decode is stalled +// val dec_pmu_presync_stall = Output(Bool()) // decode has presync stall +// val dec_pmu_postsync_stall = Output(Bool()) // decode has postsync stall +// val dec_nonblock_load_wen = Output(Bool()) // write enable for nonblock load +// val dec_nonblock_load_waddr = Output(UInt(5.W)) // logical write addr for nonblock load +// val dec_pause_state = Output(Bool()) // core in pause state +// val dec_pause_state_cg = Output(Bool()) // pause state for clock-gating +// val dec_div_active = Output(Bool()) // non-block divide is active +// val scan_mode = Input(Bool()) +//}) +// //packets zero initialization +// io.decode_exu.mul_p := 0.U.asTypeOf(io.decode_exu.mul_p) +// // Vals defined +// val leak1_i1_stall_in = WireInit(UInt(1.W), 0.U) +// val leak1_i0_stall_in = WireInit(UInt(1.W), 0.U) +// val i0r = Wire(new reg_pkt_t) +// val d_t = Wire(new trap_pkt_t) +// val x_t = Wire(new trap_pkt_t) +// val x_t_in = Wire(new trap_pkt_t) +// val r_t = Wire(new trap_pkt_t) +// val r_t_in = Wire(new trap_pkt_t) +// val d_d = Wire(Valid(new dest_pkt_t)) +// val x_d = Wire(Valid(new dest_pkt_t)) +// val r_d = Wire(Valid(new dest_pkt_t)) +// val r_d_in = Wire(Valid(new dest_pkt_t)) +// val wbd = Wire(Valid(new dest_pkt_t)) +// val i0_d_c = Wire(new class_pkt_t) +// val i0_rs1_class_d = Wire(new class_pkt_t) +// val i0_rs2_class_d = Wire(new class_pkt_t) +// val i0_rs1_depth_d = WireInit(UInt(2.W),0.U) +// val i0_rs2_depth_d = WireInit(UInt(2.W),0.U) +// val cam_wen = WireInit(UInt(LSU_NUM_NBLOAD.W), 0.U) +// val cam = Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) +// val cam_write = WireInit(UInt(1.W), 0.U) +// val cam_inv_reset_val = Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) +// val cam_data_reset_val = Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) +// val nonblock_load_write = Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) +// val cam_raw = Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) +// val cam_in = Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) +// val i0_dp = Wire(new dec_pkt_t) +// val i0_dp_raw = Wire(new dec_pkt_t) +// val i0_rs1bypass = WireInit(UInt(3.W), 0.U) +// val i0_rs2bypass = WireInit(UInt(3.W), 0.U) +// val illegal_lockout = WireInit(UInt(1.W), 0.U) +// val postsync_stall = WireInit(UInt(1.W), 0.U) +// val ps_stall_in = WireInit(UInt(1.W), 0.U) +// val i0_pipe_en = WireInit(UInt(4.W), 0.U) +// val i0_load_block_d = WireInit(UInt(1.W), 0.U) +// val load_ldst_bypass_d = WireInit(UInt(1.W), 0.U) +// val store_data_bypass_d = WireInit(UInt(1.W), 0.U) +// val store_data_bypass_m = WireInit(UInt(1.W), 0.U) +// val tlu_wr_pause_r1 = WireInit(UInt(1.W), 0.U) +// val tlu_wr_pause_r2 = WireInit(UInt(1.W), 0.U) +// val leak1_i1_stall = WireInit(UInt(1.W), 0.U) +// val leak1_i0_stall = WireInit(UInt(1.W), 0.U) +// val pause_state = WireInit(Bool(), 0.B) +// val flush_final_r = WireInit(UInt(1.W), 0.U) +// val illegal_lockout_in = WireInit(UInt(1.W), 0.U) +// val lsu_idle = WireInit(Bool(), 0.B) +// val pause_state_in = WireInit(Bool(), 0.B) +// val leak1_mode = WireInit(UInt(1.W), 0.U) +// val i0_pcall = WireInit(UInt(1.W), 0.U) +// val i0_pja = WireInit(UInt(1.W), 0.U) +// val i0_pret = WireInit(UInt(1.W), 0.U) +// val i0_legal_decode_d = WireInit(UInt(1.W), 0.U) +// val i0_pcall_raw = WireInit(UInt(1.W), 0.U) +// val i0_pja_raw = WireInit(UInt(1.W), 0.U) +// val i0_pret_raw = WireInit(UInt(1.W), 0.U) +// val i0_br_offset = WireInit(UInt(12.W), 0.U) +// val i0_csr_write_only_d = WireInit(UInt(1.W), 0.U) +// val i0_jal = WireInit(UInt(1.W), 0.U) +// val i0_wen_r = WireInit(UInt(1.W), 0.U) +// val i0_x_ctl_en = WireInit(UInt(1.W), 0.U) +// val i0_r_ctl_en = WireInit(UInt(1.W), 0.U) +// val i0_wb_ctl_en = WireInit(UInt(1.W), 0.U) +// val i0_x_data_en = WireInit(UInt(1.W), 0.U) +// val i0_r_data_en = WireInit(UInt(1.W), 0.U) +// val i0_wb_data_en = WireInit(UInt(1.W), 0.U) +// val i0_wb1_data_en = WireInit(UInt(1.W), 0.U) +// val i0_nonblock_load_stall = WireInit(UInt(1.W), 0.U) +// val csr_ren_qual_d = WireInit(Bool(), 0.B) +// val lsu_decode_d = WireInit(UInt(1.W), 0.U) +// val mul_decode_d = WireInit(UInt(1.W), 0.U) +// val div_decode_d = WireInit(UInt(1.W), 0.U) +// val write_csr_data = WireInit(UInt(32.W),0.U) +// val i0_result_corr_r = WireInit(UInt(32.W),0.U) +// val presync_stall = WireInit(UInt(1.W), 0.U) +// val i0_nonblock_div_stall = WireInit(UInt(1.W), 0.U) +// val debug_fence = WireInit(Bool(), 0.B) +// val i0_immed_d = WireInit(UInt(32.W), 0.U) +// val i0_result_x = WireInit(UInt(32.W), 0.U) +// val i0_result_r = WireInit(UInt(32.W), 0.U) +// ////////////////////////////////////////////////////////////////////// +// // Start - Data gating {{ +// val data_gate_en = (io.dec_tlu_wr_pause_r ^ tlu_wr_pause_r1 ) | // replaces free_clk +// (tlu_wr_pause_r1 ^ tlu_wr_pause_r2 ) | // replaces free_clk +// (io.dec_tlu_flush_extint ^ io.decode_exu.dec_extint_stall) | +// (leak1_i1_stall_in ^ leak1_i1_stall ) | // replaces free_clk +// (leak1_i0_stall_in ^ leak1_i0_stall ) | // replaces free_clk +// (pause_state_in ^ pause_state ) | // replaces free_clk +// (ps_stall_in ^ postsync_stall ) | // replaces free_clk +// (io.exu_flush_final ^ flush_final_r ) | // replaces free_clk +// (illegal_lockout_in ^ illegal_lockout ) // replaces active_clk +// +// +// val data_gate_clk = rvclkhdr(clock,data_gate_en.asBool(),io.scan_mode) +// // End - Data gating +// +// val i0_brp_valid = io.dec_i0_brp.valid & !leak1_mode +// io.decode_exu.dec_i0_predict_p_d.bits.misp := 0.U +// io.decode_exu.dec_i0_predict_p_d.bits.ataken := 0.U +// io.decode_exu.dec_i0_predict_p_d.bits.boffset := 0.U +// io.decode_exu.dec_i0_predict_p_d.bits.pcall := i0_pcall // don't mark as pcall if branch error +// io.decode_exu.dec_i0_predict_p_d.bits.pja := i0_pja +// io.decode_exu.dec_i0_predict_p_d.bits.pret := i0_pret +// io.decode_exu.dec_i0_predict_p_d.bits.prett := io.dec_i0_brp.bits.prett +// io.decode_exu.dec_i0_predict_p_d.bits.pc4 := io.dec_i0_pc4_d +// io.decode_exu.dec_i0_predict_p_d.bits.hist := io.dec_i0_brp.bits.hist +// io.decode_exu.dec_i0_predict_p_d.valid := i0_brp_valid & i0_legal_decode_d +// val i0_notbr_error = i0_brp_valid & !(i0_dp_raw.condbr | i0_pcall_raw | i0_pja_raw | i0_pret_raw) +// +// // no toffset error for a pret +// val i0_br_toffset_error = i0_brp_valid & io.dec_i0_brp.bits.hist(1) & (io.dec_i0_brp.bits.toffset =/= i0_br_offset) & !i0_pret_raw +// val i0_ret_error = i0_brp_valid & io.dec_i0_brp.bits.ret & !i0_pret_raw; +// val i0_br_error = io.dec_i0_brp.bits.br_error | i0_notbr_error | i0_br_toffset_error | i0_ret_error +// io.decode_exu.dec_i0_predict_p_d.bits.br_error := i0_br_error & i0_legal_decode_d & !leak1_mode +// io.decode_exu.dec_i0_predict_p_d.bits.br_start_error := io.dec_i0_brp.bits.br_start_error & i0_legal_decode_d & !leak1_mode +// io.decode_exu.i0_predict_index_d := io.dec_i0_bp_index +// io.decode_exu.i0_predict_btag_d := io.dec_i0_bp_btag +// val i0_br_error_all = (i0_br_error | io.dec_i0_brp.bits.br_start_error) & !leak1_mode +// io.decode_exu.dec_i0_predict_p_d.bits.toffset := i0_br_offset +// io.decode_exu.i0_predict_fghr_d := io.dec_i0_bp_fghr +// io.decode_exu.dec_i0_predict_p_d.bits.way := io.dec_i0_brp.bits.way +// // end +// +// // on br error turn anything into a nop +// // on i0 instruction fetch access fault turn anything into a nop +// // nop => alu rs1 imm12 rd lor +// val i0_icaf_d = io.dec_i0_icaf_d | io.dec_i0_dbecc_d +// val i0_instr_error = i0_icaf_d; +// i0_dp := i0_dp_raw +// when((i0_br_error_all | i0_instr_error).asBool){ +// i0_dp := 0.U.asTypeOf(i0_dp) +// i0_dp.alu := 1.B +// i0_dp.rs1 := 1.B +// i0_dp.rs2 := 1.B +// i0_dp.lor := 1.B +// i0_dp.legal := 1.B +// i0_dp.postsync := 1.B +// } +// +// val i0 = io.dec_i0_instr_d +// io.decode_exu.dec_i0_select_pc_d := i0_dp.pc +// +// // branches that can be predicted +// val i0_predict_br = i0_dp.condbr | i0_pcall | i0_pja | i0_pret; +// val i0_predict_nt = !(io.dec_i0_brp.bits.hist(1) & i0_brp_valid) & i0_predict_br +// val i0_predict_t = (io.dec_i0_brp.bits.hist(1) & i0_brp_valid) & i0_predict_br +// val i0_ap_pc2 = !io.dec_i0_pc4_d +// val i0_ap_pc4 = io.dec_i0_pc4_d +// io.decode_exu.i0_ap.predict_nt := i0_predict_nt +// io.decode_exu.i0_ap.predict_t := i0_predict_t +// +// io.decode_exu.i0_ap.add := i0_dp.add +// io.decode_exu.i0_ap.sub := i0_dp.sub +// io.decode_exu.i0_ap.land := i0_dp.land +// io.decode_exu.i0_ap.lor := i0_dp.lor +// io.decode_exu.i0_ap.lxor := i0_dp.lxor +// io.decode_exu.i0_ap.sll := i0_dp.sll +// io.decode_exu.i0_ap.srl := i0_dp.srl +// io.decode_exu.i0_ap.sra := i0_dp.sra +// io.decode_exu.i0_ap.slt := i0_dp.slt +// io.decode_exu.i0_ap.unsign := i0_dp.unsign +// io.decode_exu.i0_ap.beq := i0_dp.beq +// io.decode_exu.i0_ap.bne := i0_dp.bne +// io.decode_exu.i0_ap.blt := i0_dp.blt +// io.decode_exu.i0_ap.bge := i0_dp.bge +// io.decode_exu.i0_ap.csr_write := i0_csr_write_only_d +// io.decode_exu.i0_ap.csr_imm := i0_dp.csr_imm +// io.decode_exu.i0_ap.jal := i0_jal +// +// // non block load cam logic +// // val found=Wire(UInt(1.W)) +// cam_wen := Mux1H((0 until LSU_NUM_NBLOAD).map(i=>(0 to i).map(j=> if(i==j) !cam(j).valid else cam(j).valid).reduce(_.asBool&_.asBool).asBool -> (cam_write << i))) +// +// cam_write := io.dctl_busbuff.lsu_nonblock_load_valid_m +// val cam_write_tag = io.dctl_busbuff.lsu_nonblock_load_tag_m(LSU_NUM_NBLOAD_WIDTH-1,0) +// +// val cam_inv_reset = io.dctl_busbuff.lsu_nonblock_load_inv_r +// val cam_inv_reset_tag = io.dctl_busbuff.lsu_nonblock_load_inv_tag_r +// +// val cam_data_reset = io.dctl_busbuff.lsu_nonblock_load_data_valid | io.dctl_busbuff.lsu_nonblock_load_data_error +// val cam_data_reset_tag = io.dctl_busbuff.lsu_nonblock_load_data_tag +// +// val nonblock_load_rd = Mux(x_d.bits.i0load.asBool, x_d.bits.i0rd, 0.U(5.W)) // rd data +// val load_data_tag = io.dctl_busbuff.lsu_nonblock_load_data_tag +// // case of multiple loads to same dest ie. x1 ... you have to invalidate the older one +// // don't writeback a nonblock load +// val nonblock_load_valid_m_delay=withClock(io.active_clk){RegEnable(io.dctl_busbuff.lsu_nonblock_load_valid_m,0.U, i0_r_ctl_en.asBool)} +// val i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d.bits.i0load +// for(i <- 0 until LSU_NUM_NBLOAD){ +// cam_inv_reset_val(i) := cam_inv_reset & (cam_inv_reset_tag === cam(i).bits.tag) & cam(i).valid +// cam_data_reset_val(i) := cam_data_reset & (cam_data_reset_tag === cam(i).bits.tag) & cam_raw(i).valid +// cam_in(i):=0.U.asTypeOf(cam(0)) +// cam(i):=cam_raw(i) +// +// when(cam_data_reset_val(i).asBool){ +// cam(i).valid := 0.U(1.W) +// } +// when(cam_wen(i).asBool){ +// cam_in(i).valid := 1.U(1.W) +// cam_in(i).bits.wb := 0.U(1.W) +// cam_in(i).bits.tag := cam_write_tag +// cam_in(i).bits.rd := nonblock_load_rd +// }.elsewhen(cam_inv_reset_val(i).asBool || (i0_wen_r.asBool && (r_d_in.bits.i0rd === cam(i).bits.rd) && cam(i).bits.wb.asBool)){ +// cam_in(i).valid := 0.U +// }.otherwise{ +// cam_in(i) := cam(i) +// } +// when(nonblock_load_valid_m_delay===1.U && (io.dctl_busbuff.lsu_nonblock_load_inv_tag_r === cam(i).bits.tag) && cam(i).valid===1.U){ +// cam_in(i).bits.wb := 1.U +// } +// // force debug halt forces cam valids to 0; highest priority +// when(io.dec_tlu_force_halt){ +// cam_in(i).valid := 0.U +// } +// +// cam_raw(i):=withClock(io.free_clk){RegNext(cam_in(i),0.U.asTypeOf(cam(0)))} +// nonblock_load_write(i) := (load_data_tag === cam_raw(i).bits.tag) & cam_raw(i).valid +// } +// +// io.dec_nonblock_load_waddr:=0.U(5.W) +// // cancel if any younger inst (including another nonblock) committing this cycle +// val nonblock_load_cancel = ((r_d_in.bits.i0rd === io.dec_nonblock_load_waddr) & i0_wen_r) +// io.dec_nonblock_load_wen := (io.dctl_busbuff.lsu_nonblock_load_data_valid && nonblock_load_write.reduce(_|_).asBool && !nonblock_load_cancel) +// val i0_nonblock_boundary_stall = ((nonblock_load_rd===i0r.rs1) & io.dctl_busbuff.lsu_nonblock_load_valid_m & io.decode_exu.dec_i0_rs1_en_d)|((nonblock_load_rd===i0r.rs2) & io.dctl_busbuff.lsu_nonblock_load_valid_m & io.decode_exu.dec_i0_rs2_en_d) +// +// i0_nonblock_load_stall := i0_nonblock_boundary_stall +// +// val cal_temp= for(i <-0 until LSU_NUM_NBLOAD) yield ((Fill(5,nonblock_load_write(i)) & cam(i).bits.rd), io.decode_exu.dec_i0_rs1_en_d & cam(i).valid & (cam(i).bits.rd === i0r.rs1), io.decode_exu.dec_i0_rs2_en_d & cam(i).valid & (cam(i).bits.rd === i0r.rs2)) +// val (waddr, ld_stall_1, ld_stall_2) = (cal_temp.map(_._1).reduce(_|_) , cal_temp.map(_._2).reduce(_|_), cal_temp.map(_._3).reduce(_|_) ) +// io.dec_nonblock_load_waddr:=waddr +// i0_nonblock_load_stall:=ld_stall_1 | ld_stall_2 | i0_nonblock_boundary_stall +// //i0_nonblock_load_stall:=ld_stall_2 +// +// // end non block load cam logic +// +// // pmu start +// +// val csr_read = csr_ren_qual_d +// val csr_write = io.dec_csr_wen_unq_d +// val i0_br_unpred = i0_dp.jal & !i0_predict_br +// +// // the classes must be mutually exclusive with one another +// import inst_pkt_t._ +// d_t.pmu_i0_itype :=Fill(4,i0_legal_decode_d) & MuxCase(NULL ,Array( +// i0_dp.jal -> JAL, +// i0_dp.condbr -> CONDBR, +// i0_dp.mret -> MRET, +// i0_dp.fence_i -> FENCEI, +// i0_dp.fence -> FENCE, +// i0_dp.ecall -> ECALL, +// i0_dp.ebreak -> EBREAK, +// ( csr_read & csr_write).asBool -> CSRRW, +// (!csr_read & csr_write).asBool -> CSRWRITE, +// ( csr_read & !csr_write).asBool -> CSRREAD, +// i0_dp.pm_alu -> ALU, +// i0_dp.store -> STORE, +// i0_dp.load -> LOAD, +// i0_dp.mul -> MUL)) +// // end pmu +// +// val i0_dec =Module(new dec_dec_ctl) +// i0_dec.io.ins:= i0 +// i0_dp_raw:=i0_dec.io.out +// +// lsu_idle:=withClock(io.active_clk){RegNext(io.lsu_idle_any,0.U)} +// +// // can't make this clock active_clock +// leak1_i1_stall_in := (io.dec_tlu_flush_leak_one_r | (leak1_i1_stall & !io.dec_tlu_flush_lower_r)) +// leak1_i1_stall := withClock(data_gate_clk){RegNext(leak1_i1_stall_in,0.U)} +// leak1_mode := leak1_i1_stall +// leak1_i0_stall_in := ((io.dec_aln.dec_i0_decode_d & leak1_i1_stall) | (leak1_i0_stall & !io.dec_tlu_flush_lower_r)) +// leak1_i0_stall := withClock(data_gate_clk){RegNext(leak1_i0_stall_in,0.U)} +// +// // 12b jal's can be predicted - these are calls +// +// val i0_pcall_imm = Cat(i0(31),i0(19,12),i0(20),i0(30,21)) +// val i0_pcall_12b_offset = Mux(i0_pcall_imm(11).asBool, i0_pcall_imm(19,12) === 0xff.U , i0_pcall_imm(19,12) === 0.U(8.W)) +// val i0_pcall_case = i0_pcall_12b_offset & i0_dp_raw.imm20 & (i0r.rd === 1.U(5.W) | i0r.rd === 5.U(5.W)) +// val i0_pja_case = i0_pcall_12b_offset & i0_dp_raw.imm20 & !(i0r.rd === 1.U(5.W) | i0r.rd === 5.U(5.W)) +// i0_pcall_raw := i0_dp_raw.jal & i0_pcall_case // this includes ja +// i0_pcall := i0_dp.jal & i0_pcall_case +// i0_pja_raw := i0_dp_raw.jal & i0_pja_case +// i0_pja := i0_dp.jal & i0_pja_case +// i0_br_offset := Mux((i0_pcall_raw | i0_pja_raw).asBool, i0_pcall_imm(11,0) , Cat(i0(31),i0(7),i0(30,25),i0(11,8))) +// // jalr with rd==0, rs1==1 or rs1==5 is a ret +// val i0_pret_case = (i0_dp_raw.jal & i0_dp_raw.imm12 & (i0r.rd === 0.U(5.W)) & (i0r.rs1===1.U(5.W) | i0r.rs1 === 5.U(5.W))) +// i0_pret_raw := i0_dp_raw.jal & i0_pret_case +// i0_pret := i0_dp.jal & i0_pret_case +// i0_jal := i0_dp.jal & !i0_pcall_case & !i0_pja_case & !i0_pret_case +// /////////////////////////////////////////////////////////////////////////////////////////////////////////// +// +// io.dec_div.div_p.valid := div_decode_d +// io.dec_div.div_p.bits.unsign := i0_dp.unsign +// io.dec_div.div_p.bits.rem := i0_dp.rem +// +// io.decode_exu.mul_p.valid := mul_decode_d +// io.decode_exu.mul_p.bits.rs1_sign := i0_dp.rs1_sign +// io.decode_exu.mul_p.bits.rs2_sign := i0_dp.rs2_sign +// io.decode_exu.mul_p.bits.low := i0_dp.low +// +// io.decode_exu.dec_extint_stall := withClock(data_gate_clk){RegNext(io.dec_tlu_flush_extint,0.U)} +// +// io.lsu_p := 0.U.asTypeOf(io.lsu_p) +// when (io.decode_exu.dec_extint_stall){ +// io.lsu_p.bits.load := 1.U(1.W) +// io.lsu_p.bits.word := 1.U(1.W) +// io.lsu_p.bits.fast_int := 1.U(1.W) +// io.lsu_p.valid := 1.U(1.W) +// }.otherwise { +// io.lsu_p.valid := lsu_decode_d +// io.lsu_p.bits.load := i0_dp.load +// io.lsu_p.bits.store := i0_dp.store +// io.lsu_p.bits.by := i0_dp.by +// io.lsu_p.bits.half := i0_dp.half +// io.lsu_p.bits.word := i0_dp.word +// io.lsu_p.bits.load_ldst_bypass_d := load_ldst_bypass_d +// io.lsu_p.bits.store_data_bypass_d := store_data_bypass_d +// io.lsu_p.bits.store_data_bypass_m := store_data_bypass_m +// io.lsu_p.bits.unsign := i0_dp.unsign +// } +// +// ////////////////////////////////////// +// io.dec_alu.dec_csr_ren_d := i0_dp.csr_read //H: assigning csr read enable signal decoded from decode_ctl going as input to EXU +// csr_ren_qual_d := i0_dp.csr_read & i0_legal_decode_d.asBool //csr_ren_qual_d assigned as csr_read above +// +// val i0_csr_write = i0_dp.csr_write & !io.dec_debug_fence_d +// val csr_clr_d = i0_dp.csr_clr & i0_legal_decode_d.asBool +// val csr_set_d = i0_dp.csr_set & i0_legal_decode_d.asBool +// val csr_write_d = i0_csr_write & i0_legal_decode_d.asBool +// +// i0_csr_write_only_d := i0_csr_write & !i0_dp.csr_read +// io.dec_csr_wen_unq_d := (i0_dp.csr_clr | i0_dp.csr_set | i0_csr_write) // for csr legal, can't write read-only csr +// //dec_csr_wen_unq_d assigned as csr_write above +// +// io.dec_csr_rdaddr_d := i0(31,20) +// io.dec_csr_wraddr_r := r_d.bits.csrwaddr //r_d is a dest_pkt +// +// // make sure csr doesn't write same cycle as dec_tlu_flush_lower_wb +// // also use valid so it's flushable +// io.dec_csr_wen_r := r_d.bits.csrwen & r_d.valid & !io.dec_tlu_i0_kill_writeb_r; +// +// // If we are writing MIE or MSTATUS, hold off the external interrupt for a cycle on the write. +// io.dec_csr_stall_int_ff := ((r_d.bits.csrwaddr === "h300".U) | (r_d.bits.csrwaddr === "h304".U)) & r_d.bits.csrwen & r_d.valid & !io.dec_tlu_i0_kill_writeb_wb; +// +// val csr_read_x = withClock(io.active_clk){RegNext(csr_ren_qual_d,init=0.B)} +// val csr_clr_x = withClock(io.active_clk){RegNext(csr_clr_d, init=0.B)} +// val csr_set_x = withClock(io.active_clk){RegNext(csr_set_d, init=0.B)} +// val csr_write_x = withClock(io.active_clk){RegNext(csr_write_d, init=0.B)} +// val csr_imm_x = withClock(io.active_clk){RegNext(i0_dp.csr_imm, init=0.U)} +// +// // perform the update operation if any +// val csrimm_x = rvdffe(i0(19,15),i0_x_data_en.asBool,clock,io.scan_mode) +// val csr_rddata_x = rvdffe(io.dec_csr_rddata_d,i0_x_data_en.asBool,clock,io.scan_mode) +// +// val csr_mask_x = Mux1H(Seq( +// csr_imm_x.asBool -> Cat(repl(27,0.U),csrimm_x(4,0)), +// !csr_imm_x.asBool -> io.decode_exu.exu_csr_rs1_x)) +// +// val write_csr_data_x = Mux1H(Seq( +// csr_clr_x -> (csr_rddata_x & (~csr_mask_x).asUInt), +// csr_set_x -> (csr_rddata_x | csr_mask_x), +// csr_write_x -> ( csr_mask_x))) +// // pause instruction +// val clear_pause = (io.dec_tlu_flush_lower_r & !io.dec_tlu_flush_pause_r) | (pause_state & (write_csr_data === Cat(Fill(31,0.U),write_csr_data(0)))) // if 0 or 1 then exit pause state - 1 cycle pause +// pause_state_in := (io.dec_tlu_wr_pause_r | pause_state) & !clear_pause +// pause_state := withClock(data_gate_clk){RegNext(pause_state_in, 0.U)} +// io.dec_pause_state := pause_state +// tlu_wr_pause_r1 := withClock(data_gate_clk){RegNext(io.dec_tlu_wr_pause_r, 0.U)} +// tlu_wr_pause_r2 := withClock(data_gate_clk){RegNext(tlu_wr_pause_r1, 0.U)} +// //pause for clock gating +// io.dec_pause_state_cg := (pause_state & (!tlu_wr_pause_r1 && !tlu_wr_pause_r2)) +// // end pause +// +// val write_csr_data_in = Mux(pause_state,(write_csr_data - 1.U(32.W)), +// Mux(io.dec_tlu_wr_pause_r,io.dec_csr_wrdata_r,write_csr_data_x)) +// val csr_data_wen = ((csr_clr_x | csr_set_x | csr_write_x) & csr_read_x) | io.dec_tlu_wr_pause_r | pause_state +// write_csr_data := rvdffe(write_csr_data_in,csr_data_wen,clock,io.scan_mode) +// +// // will hold until write-back at which time the CSR will be updated while GPR is possibly written with prior CSR +// val pause_stall = pause_state +// +// // for csr write only data is produced by the alu +// io.dec_csr_wrdata_r := Mux(r_d.bits.csrwonly.asBool,i0_result_corr_r,write_csr_data) +// +// val prior_csr_write = x_d.bits.csrwonly | r_d.bits.csrwonly | wbd.bits.csrwonly; +// +// val debug_fence_i = io.dec_debug_fence_d & io.dbg_dctl.dbg_cmd_wrdata(0) +// val debug_fence_raw = io.dec_debug_fence_d & io.dbg_dctl.dbg_cmd_wrdata(1) +// debug_fence := debug_fence_raw | debug_fence_i +// +// // some CSR reads need to be presync'd +// val i0_presync = i0_dp.presync | io.dec_tlu_presync_d | debug_fence_i | debug_fence_raw | io.dec_tlu_pipelining_disable // both fence's presync +// +// // some CSR writes need to be postsync'd +// val i0_postsync = i0_dp.postsync | io.dec_tlu_postsync_d | debug_fence_i | (i0_csr_write_only_d & (i0(31,20) === "h7c2".U)) +// +// val any_csr_d = i0_dp.csr_read | i0_csr_write +// io.dec_csr_any_unq_d := any_csr_d +// val i0_legal = i0_dp.legal & (!any_csr_d | io.dec_csr_legal_d) +// val i0_inst_d = Mux(io.dec_i0_pc4_d,i0,Cat(repl(16,0.U), io.dec_aln.ifu_i0_cinst)) +// // illegal inst handling +// +// val shift_illegal = io.dec_aln.dec_i0_decode_d & !i0_legal//lm: valid but not legal +// val illegal_inst_en = shift_illegal & !illegal_lockout +// io.dec_illegal_inst := rvdffe(i0_inst_d,illegal_inst_en,clock,io.scan_mode) +// illegal_lockout_in := (shift_illegal | illegal_lockout) & !flush_final_r +// illegal_lockout := withClock(data_gate_clk){RegNext(illegal_lockout_in, 0.U)} +// val i0_div_prior_div_stall = i0_dp.div & io.dec_div_active +// //stalls signals +// val i0_block_raw_d = (i0_dp.csr_read & prior_csr_write) | io.decode_exu.dec_extint_stall | pause_stall | +// leak1_i0_stall | io.dec_tlu_debug_stall | postsync_stall | presync_stall | +// ((i0_dp.fence | debug_fence) & !lsu_idle) | i0_nonblock_load_stall | +// i0_load_block_d | i0_nonblock_div_stall | i0_div_prior_div_stall +// +// val i0_store_stall_d = i0_dp.store & (io.lsu_store_stall_any | io.dctl_dma.dma_dccm_stall_any) +// val i0_load_stall_d = i0_dp.load & (io.lsu_load_stall_any | io.dctl_dma.dma_dccm_stall_any) +// val i0_block_d = i0_block_raw_d | i0_store_stall_d | i0_load_stall_d +// val i0_exublock_d = i0_block_raw_d +// +// //decode valid +// io.dec_aln.dec_i0_decode_d := io.dec_ib0_valid_d & !i0_block_d & !io.dec_tlu_flush_lower_r & !flush_final_r +// val i0_exudecode_d = io.dec_ib0_valid_d & !i0_exublock_d & !io.dec_tlu_flush_lower_r & !flush_final_r +// val i0_exulegal_decode_d = i0_exudecode_d & i0_legal +// +// // performance monitor signals +// io.dec_pmu_instr_decoded := io.dec_aln.dec_i0_decode_d +// io.dec_pmu_decode_stall := io.dec_ib0_valid_d & !io.dec_aln.dec_i0_decode_d +// io.dec_pmu_postsync_stall := postsync_stall.asBool +// io.dec_pmu_presync_stall := presync_stall.asBool +// +// val prior_inflight_x = x_d.valid +// val prior_inflight_wb = r_d.valid +// val prior_inflight = prior_inflight_x | prior_inflight_wb +// val prior_inflight_eff = Mux(i0_dp.div,prior_inflight_x,prior_inflight) +// +// presync_stall := (i0_presync & prior_inflight_eff) +// postsync_stall := withClock(data_gate_clk){RegNext(ps_stall_in, 0.U)} +// // illegals will postsync +// ps_stall_in := (io.dec_aln.dec_i0_decode_d & (i0_postsync | !i0_legal) ) | ( postsync_stall & prior_inflight_x) +// +// io.dec_alu.dec_i0_alu_decode_d := i0_exulegal_decode_d & i0_dp.alu +// +// lsu_decode_d := i0_legal_decode_d & i0_dp.lsu +// mul_decode_d := i0_exulegal_decode_d & i0_dp.mul +// div_decode_d := i0_exulegal_decode_d & i0_dp.div +// +// io.dec_tlu_i0_valid_r := r_d.valid & !io.dec_tlu_flush_lower_wb +// +// //traps for TLU (tlu stuff) +// d_t.legal := i0_legal_decode_d +// d_t.icaf := i0_icaf_d & i0_legal_decode_d // dbecc is icaf exception +// d_t.icaf_f1 := io.dec_i0_icaf_f1_d & i0_legal_decode_d // this includes icaf and dbecc +// d_t.icaf_type := io.dec_i0_icaf_type_d +// +// d_t.fence_i := (i0_dp.fence_i | debug_fence_i) & i0_legal_decode_d +// +// // put pmu info into the trap packet +// d_t.pmu_i0_br_unpred := i0_br_unpred +// d_t.pmu_divide := 0.U(1.W) +// d_t.pmu_lsu_misaligned := 0.U(1.W) +// +// d_t.i0trigger := io.dec_i0_trigger_match_d & repl(4,io.dec_aln.dec_i0_decode_d) +// +// +// x_t := rvdffe(d_t,i0_x_ctl_en.asBool,clock,io.scan_mode) +// +// x_t_in := x_t +// x_t_in.i0trigger := x_t.i0trigger & ~(repl(4,io.dec_tlu_flush_lower_wb)) +// +// r_t := rvdffe(x_t_in,i0_x_ctl_en.asBool,clock,io.scan_mode) +// val lsu_trigger_match_r = RegNext(io.lsu_trigger_match_m, 0.U) +// val lsu_pmu_misaligned_r = RegNext(io.lsu_pmu_misaligned_m, 0.U) +// +// r_t_in := r_t +// +// r_t_in.i0trigger := (repl(4,(r_d.bits.i0load | r_d.bits.i0store)) & lsu_trigger_match_r) | r_t.i0trigger +// r_t_in.pmu_lsu_misaligned := lsu_pmu_misaligned_r // only valid if a load/store is valid in DC3 stage +// +// when (io.dec_tlu_flush_lower_wb.asBool) {r_t_in := 0.U.asTypeOf(r_t_in) } +// +// io.dec_tlu_packet_r := r_t_in +// io.dec_tlu_packet_r.pmu_divide := r_d.bits.i0div & r_d.valid +// // end tlu stuff +// +// flush_final_r := withClock(data_gate_clk){RegNext(io.exu_flush_final, 0.U)} +// +// io.dec_aln.dec_i0_decode_d := io.dec_ib0_valid_d & !i0_block_d & !io.dec_tlu_flush_lower_r & !flush_final_r +// +// i0r.rs1 := i0(19,15) //H: assigning reg packets the instructions bits +// i0r.rs2 := i0(24,20) +// i0r.rd := i0(11,7) +// +// io.decode_exu.dec_i0_rs1_en_d := i0_dp.rs1 & (i0r.rs1 =/= 0.U(5.W)) // if rs1_en=0 then read will be all 0's +// io.decode_exu.dec_i0_rs2_en_d := i0_dp.rs2 & (i0r.rs2 =/= 0.U(5.W)) +// val i0_rd_en_d = i0_dp.rd & (i0r.rd =/= 0.U(5.W)) +// io.dec_i0_rs1_d := i0r.rs1//H:assiging packets to output signals leading to gprfile +// io.dec_i0_rs2_d := i0r.rs2 +// +// val i0_jalimm20 = i0_dp.jal & i0_dp.imm20 // H:jal (used at line 915) +// val i0_uiimm20 = !i0_dp.jal & i0_dp.imm20 +// +// io.decode_exu.dec_i0_immed_d := Mux1H(Seq( +// i0_dp.csr_read -> io.dec_csr_rddata_d, +// !i0_dp.csr_read -> i0_immed_d)) +// +// i0_immed_d := Mux1H(Seq( +// i0_dp.imm12 -> Cat(repl(20,i0(31)),i0(31,20)), // jalr +// i0_dp.shimm5 -> Cat(repl(27,0.U),i0(24,20)), +// i0_jalimm20 -> Cat(repl(12,i0(31)),i0(19,12),i0(20),i0(30,21),0.U), +// i0_uiimm20 -> Cat(i0(31,12),repl(12,0.U)), +// (i0_csr_write_only_d & i0_dp.csr_imm).asBool -> Cat(repl(27,0.U),i0(19,15)))) // for csr's that only write +// +// i0_legal_decode_d := io.dec_aln.dec_i0_decode_d & i0_legal +// +// i0_d_c.mul := i0_dp.mul & i0_legal_decode_d +// i0_d_c.load := i0_dp.load & i0_legal_decode_d +// i0_d_c.alu := i0_dp.alu & i0_legal_decode_d +// +// val i0_x_c = withClock(io.active_clk){RegEnable(i0_d_c,0.U.asTypeOf(i0_d_c), i0_x_ctl_en.asBool)} +// val i0_r_c = withClock(io.active_clk){RegEnable(i0_x_c,0.U.asTypeOf(i0_x_c), i0_r_ctl_en.asBool)} +// i0_pipe_en := Cat(io.dec_aln.dec_i0_decode_d,withClock(io.active_clk){RegNext(i0_pipe_en(3,1), init=0.U)}) +// +// i0_x_ctl_en := (i0_pipe_en(3,2).orR | io.clk_override) +// i0_r_ctl_en := (i0_pipe_en(2,1).orR | io.clk_override) +// i0_wb_ctl_en := (i0_pipe_en(1,0).orR | io.clk_override) +// i0_x_data_en := ( i0_pipe_en(3) | io.clk_override) +// i0_r_data_en := ( i0_pipe_en(2) | io.clk_override) +// i0_wb_data_en := ( i0_pipe_en(1) | io.clk_override) +// i0_wb1_data_en := ( i0_pipe_en(0) | io.clk_override) +// +// io.decode_exu.dec_data_en := Cat(i0_x_data_en, i0_r_data_en) +// io.decode_exu.dec_ctl_en := Cat(i0_x_ctl_en, i0_r_ctl_en) +// +// d_d.bits.i0rd := i0r.rd +// d_d.bits.i0v := i0_rd_en_d & i0_legal_decode_d +// d_d.valid := io.dec_aln.dec_i0_decode_d // has flush_final_r +// +// d_d.bits.i0load := i0_dp.load & i0_legal_decode_d +// d_d.bits.i0store := i0_dp.store & i0_legal_decode_d +// d_d.bits.i0div := i0_dp.div & i0_legal_decode_d +// +// d_d.bits.csrwen := io.dec_csr_wen_unq_d & i0_legal_decode_d +// d_d.bits.csrwonly := i0_csr_write_only_d & io.dec_aln.dec_i0_decode_d +// d_d.bits.csrwaddr := i0(31,20) +// +// x_d := rvdffe(d_d, i0_x_ctl_en.asBool,clock,io.scan_mode) +// val x_d_in = Wire(Valid(new dest_pkt_t)) +// x_d_in := x_d +// x_d_in.bits.i0v := x_d.bits.i0v & !io.dec_tlu_flush_lower_wb & !io.dec_tlu_flush_lower_r +// x_d_in.valid := x_d.valid & !io.dec_tlu_flush_lower_wb & !io.dec_tlu_flush_lower_r +// +// r_d := rvdffe(x_d_in,i0_r_ctl_en.asBool,clock,io.scan_mode) +// r_d_in := r_d +// r_d_in.bits.i0rd := r_d.bits.i0rd +// +// r_d_in.bits.i0v := (r_d.bits.i0v & !io.dec_tlu_flush_lower_wb) +// r_d_in.valid := (r_d.valid & !io.dec_tlu_flush_lower_wb) +// r_d_in.bits.i0load := r_d.bits.i0load & !io.dec_tlu_flush_lower_wb +// r_d_in.bits.i0store := r_d.bits.i0store & !io.dec_tlu_flush_lower_wb +// +// wbd := rvdffe(r_d_in,i0_wb_ctl_en.asBool,clock,io.scan_mode) +// +// io.dec_i0_waddr_r := r_d_in.bits.i0rd +// i0_wen_r := r_d_in.bits.i0v & !io.dec_tlu_i0_kill_writeb_r +// io.dec_i0_wen_r := i0_wen_r & !r_d_in.bits.i0div & !i0_load_kill_wen_r // don't write a nonblock load 1st time down the pipe +// io.dec_i0_wdata_r := i0_result_corr_r +// +// val i0_result_r_raw = rvdffe(i0_result_x,i0_r_data_en.asBool,clock,io.scan_mode) +// if ( LOAD_TO_USE_PLUS1) { +// i0_result_x := io.decode_exu.exu_i0_result_x +// i0_result_r := Mux((r_d.bits.i0v & r_d.bits.i0load).asBool,io.lsu_result_m, i0_result_r_raw) +// } +// else { +// i0_result_x := Mux((x_d.bits.i0v & x_d.bits.i0load).asBool,io.lsu_result_m,io.decode_exu.exu_i0_result_x) +// i0_result_r := i0_result_r_raw +// } +// +// // correct lsu load data - don't use for bypass, do pass down the pipe +// i0_result_corr_r := Mux((r_d.bits.i0v & r_d.bits.i0load).asBool,io.lsu_result_corr_r,i0_result_r_raw) +// io.dec_alu.dec_i0_br_immed_d := Mux((io.decode_exu.i0_ap.predict_nt & !i0_dp.jal).asBool,i0_br_offset,Cat(repl(10,0.U),i0_ap_pc4,i0_ap_pc2)) +// val last_br_immed_d = WireInit(UInt(12.W),0.U) +// last_br_immed_d := Mux((io.decode_exu.i0_ap.predict_nt).asBool,Cat(repl(10,0.U),i0_ap_pc4,i0_ap_pc2),i0_br_offset) +// val last_br_immed_x = WireInit(UInt(12.W),0.U) +// last_br_immed_x := rvdffe(last_br_immed_d,i0_x_data_en.asBool,clock,io.scan_mode) +// +// // divide stuff +// +// val div_e1_to_r = (x_d.bits.i0div & x_d.valid) | (r_d.bits.i0div & r_d.valid) +// +// val div_flush = (x_d.bits.i0div & x_d.valid & (x_d.bits.i0rd === 0.U(5.W))) | +// (x_d.bits.i0div & x_d.valid & io.dec_tlu_flush_lower_r ) | +// (r_d.bits.i0div & r_d.valid & io.dec_tlu_flush_lower_r & io.dec_tlu_i0_kill_writeb_r) +// +// // cancel if any younger inst committing this cycle to same dest as nonblock divide +// +// val nonblock_div_cancel = (io.dec_div_active & div_flush) | +// (io.dec_div_active & !div_e1_to_r & (r_d.bits.i0rd === io.div_waddr_wb) & i0_wen_r) +// +// io.dec_div.dec_div_cancel := nonblock_div_cancel.asBool +// val i0_div_decode_d = i0_legal_decode_d & i0_dp.div +// +// val div_active_in = i0_div_decode_d | (io.dec_div_active & !io.exu_div_wren & !nonblock_div_cancel) +// +// io.dec_div_active := withClock(io.free_clk){RegNext(div_active_in, 0.U)} +// +// // nonblocking div scheme +// i0_nonblock_div_stall := (io.decode_exu.dec_i0_rs1_en_d & io.dec_div_active & (io.div_waddr_wb === i0r.rs1)) | +// (io.decode_exu.dec_i0_rs2_en_d & io.dec_div_active & (io.div_waddr_wb === i0r.rs2)) +// +// io.div_waddr_wb := RegEnable(i0r.rd,0.U,i0_div_decode_d.asBool) +// ///div end +// +// //for tracing instruction +// val i0_wb_en = i0_wb_data_en +// val i0_wb1_en = i0_wb1_data_en +// +// val div_inst = rvdffe(i0_inst_d(24,7),i0_div_decode_d.asBool,clock,io.scan_mode) +// val i0_inst_x = rvdffe(i0_inst_d,i0_x_data_en.asBool,clock,io.scan_mode) +// val i0_inst_r = rvdffe(i0_inst_x,i0_r_data_en.asBool,clock,io.scan_mode) +// val i0_inst_wb_in = i0_inst_r +// val i0_inst_wb = rvdffe(i0_inst_wb_in,i0_wb_en.asBool,clock,io.scan_mode) +// io.dec_i0_inst_wb1 := rvdffe(i0_inst_wb,i0_wb1_en.asBool,clock,io.scan_mode) +// val i0_pc_wb = rvdffe(io.dec_tlu_i0_pc_r,i0_wb_en.asBool,clock,io.scan_mode) +// +// io.dec_i0_pc_wb1 := rvdffe(i0_pc_wb,i0_wb1_en.asBool,clock,io.scan_mode) +// val dec_i0_pc_r = rvdffe(io.dec_alu.exu_i0_pc_x,i0_r_data_en.asBool,clock,io.scan_mode) +// +// io.dec_tlu_i0_pc_r := dec_i0_pc_r +// +// //end tracing +// +// val temp_pred_correct_npc_x = rvbradder(Cat(io.dec_alu.exu_i0_pc_x,0.U),Cat(last_br_immed_x,0.U)) +// io.decode_exu.pred_correct_npc_x := temp_pred_correct_npc_x(31,1) +// +// // scheduling logic for primary alu's +// +// val i0_rs1_depend_i0_x = io.decode_exu.dec_i0_rs1_en_d & x_d.bits.i0v & (x_d.bits.i0rd === i0r.rs1) +// val i0_rs1_depend_i0_r = io.decode_exu.dec_i0_rs1_en_d & r_d.bits.i0v & (r_d.bits.i0rd === i0r.rs1) +// +// val i0_rs2_depend_i0_x = io.decode_exu.dec_i0_rs2_en_d & x_d.bits.i0v & (x_d.bits.i0rd === i0r.rs2) +// val i0_rs2_depend_i0_r = io.decode_exu.dec_i0_rs2_en_d & r_d.bits.i0v & (r_d.bits.i0rd === i0r.rs2) +// // order the producers as follows: , i0_x, i0_r, i0_wb +// i0_rs1_class_d := Mux(i0_rs1_depend_i0_x.asBool,i0_x_c,Mux(i0_rs1_depend_i0_r.asBool, i0_r_c, 0.U.asTypeOf(i0_rs1_class_d))) +// i0_rs1_depth_d := Mux(i0_rs1_depend_i0_x.asBool,1.U(2.W),Mux(i0_rs1_depend_i0_r.asBool, 2.U(2.W), 0.U)) +// i0_rs2_class_d := Mux(i0_rs2_depend_i0_x.asBool,i0_x_c,Mux(i0_rs2_depend_i0_r.asBool, i0_r_c, 0.U.asTypeOf(i0_rs2_class_d))) +// i0_rs2_depth_d := Mux(i0_rs2_depend_i0_x.asBool,1.U(2.W),Mux(i0_rs2_depend_i0_r.asBool, 2.U(2.W), 0.U)) +// +// // stores will bypass load data in the lsu pipe +// if (LOAD_TO_USE_PLUS1) { +// i0_load_block_d := (i0_rs1_class_d.load & i0_rs1_depth_d) | (i0_rs2_class_d.load & i0_rs2_depth_d(0) & !i0_dp.store) +// load_ldst_bypass_d := (i0_dp.load | i0_dp.store) & i0_rs1_depth_d(1) & i0_rs1_class_d.load +// store_data_bypass_d := i0_dp.store & (i0_rs2_depth_d(1) & i0_rs2_class_d.load) +// store_data_bypass_m := i0_dp.store & (i0_rs2_depth_d(0) & i0_rs2_class_d.load) +// } +// else { +// i0_load_block_d := 0.B +// load_ldst_bypass_d := (i0_dp.load | i0_dp.store) & i0_rs1_depth_d(0) & i0_rs1_class_d.load +// store_data_bypass_d := i0_dp.store & i0_rs2_depth_d(0) & i0_rs2_class_d.load +// store_data_bypass_m := 0.B +// } +// // add nonblock load rs1/rs2 bypass cases +// +// val i0_rs1_nonblock_load_bypass_en_d = io.decode_exu.dec_i0_rs1_en_d & io.dec_nonblock_load_wen & (io.dec_nonblock_load_waddr === i0r.rs1) +// +// val i0_rs2_nonblock_load_bypass_en_d = io.decode_exu.dec_i0_rs2_en_d & io.dec_nonblock_load_wen & (io.dec_nonblock_load_waddr === i0r.rs2) +// +// // bit 2 is priority match, bit 0 lowest priority , i0_x, i0_r +// i0_rs1bypass := Cat((i0_rs1_depth_d(0) &(i0_rs1_class_d.alu | i0_rs1_class_d.mul)),(i0_rs1_depth_d(0) & (i0_rs1_class_d.load)), (i0_rs1_depth_d(1) & (i0_rs1_class_d.alu | i0_rs1_class_d.mul | i0_rs1_class_d.load))) +// +// i0_rs2bypass := Cat((i0_rs2_depth_d(0) & (i0_rs2_class_d.alu | i0_rs2_class_d.mul)),(i0_rs2_depth_d(0) & (i0_rs2_class_d.load)),(i0_rs2_depth_d(1) & (i0_rs2_class_d.alu | i0_rs2_class_d.mul | i0_rs2_class_d.load))) +// +// io.decode_exu.dec_i0_rs1_bypass_en_d := Cat(i0_rs1bypass(2),(i0_rs1bypass(1) | i0_rs1bypass(0) | (!i0_rs1bypass(2) & i0_rs1_nonblock_load_bypass_en_d))) +// io.decode_exu.dec_i0_rs2_bypass_en_d := Cat(i0_rs2bypass(2),(i0_rs2bypass(1) | i0_rs2bypass(0) | (!i0_rs2bypass(2) & i0_rs2_nonblock_load_bypass_en_d))) +// +// +// io.decode_exu.dec_i0_rs1_bypass_data_d := Mux1H(Seq( +// i0_rs1bypass(1).asBool -> io.lsu_result_m, +// i0_rs1bypass(0).asBool -> i0_result_r, +// (!i0_rs1bypass(1) & !i0_rs1bypass(0) & i0_rs1_nonblock_load_bypass_en_d).asBool -> io.dctl_busbuff.lsu_nonblock_load_data, +// )) +// io.decode_exu.dec_i0_rs2_bypass_data_d := Mux1H(Seq( +// i0_rs2bypass(1).asBool -> io.lsu_result_m, +// i0_rs2bypass(0).asBool -> i0_result_r, +// (!i0_rs2bypass(1) & !i0_rs2bypass(0) & i0_rs2_nonblock_load_bypass_en_d).asBool -> io.dctl_busbuff.lsu_nonblock_load_data, +// )) +// io.dec_lsu_valid_raw_d := ((io.dec_ib0_valid_d & (i0_dp_raw.load | i0_dp_raw.store) & !io.dctl_dma.dma_dccm_stall_any & !i0_block_raw_d) | io.decode_exu.dec_extint_stall) +// io.dec_lsu_offset_d := Mux1H(Seq( +// (!io.decode_exu.dec_extint_stall & i0_dp.lsu & i0_dp.load).asBool -> i0(31,20), +// (!io.decode_exu.dec_extint_stall & i0_dp.lsu & i0_dp.store).asBool -> Cat(i0(31,25),i0(11,7)))) +//} \ No newline at end of file diff --git a/src/main/scala/exu/exu.scala b/src/main/scala/exu/exu.scala index 5dda743e..db99708f 100644 --- a/src/main/scala/exu/exu.scala +++ b/src/main/scala/exu/exu.scala @@ -25,6 +25,7 @@ class exu extends Module with lib with RequireAsyncReset{ val lsu_exu = Flipped(new lsu_exu()) //ifu_ifc val exu_flush_path_final = Output(UInt(31.W)) // Target for the oldest flush source + val dec_qual_lsu_d = Input(Bool()) }) @@ -35,9 +36,7 @@ class exu extends Module with lib with RequireAsyncReset{ val i0_taken_d = Wire(UInt(1.W)) val mul_valid_x = Wire(UInt(1.W)) val i0_valid_d = Wire(UInt(1.W)) - val flush_lower_ff = Wire(UInt(1.W)) - val data_gate_en = Wire(UInt(1.W)) - val csr_rs1_in_d = Wire(UInt(32.W)) + val i0_branch_x = Wire(UInt(1.W)) val i0_predict_newp_d = Wire(Valid(new predict_pkt_t())) val i0_flush_path_d = Wire(UInt(31.W)) val i0_predict_p_d = Wire(Valid(new predict_pkt_t())) @@ -52,132 +51,117 @@ class exu extends Module with lib with RequireAsyncReset{ io.exu_bp.exu_mp_pkt.bits.br_error := 0.U io.exu_bp.exu_mp_pkt.valid := 0.U i0_pp_r.bits.toffset := 0.U - val x_data_en = io.dec_exu.decode_exu.dec_data_en(1) + val x_data_en_q1 = io.dec_exu.decode_exu.dec_data_en(1) & io.dec_exu.dec_alu.dec_csr_ren_d + val x_data_en_q2 = io.dec_exu.decode_exu.dec_data_en(1) & io.dec_exu.decode_exu.dec_i0_branch_d val r_data_en = io.dec_exu.decode_exu.dec_data_en(0) + val r_data_en_q2 = io.dec_exu.decode_exu.dec_data_en(0) & i0_branch_x val x_ctl_en = io.dec_exu.decode_exu.dec_ctl_en(1) val r_ctl_en = io.dec_exu.decode_exu.dec_ctl_en(0) val predpipe_d = Cat(io.dec_exu.decode_exu.i0_predict_fghr_d, io.dec_exu.decode_exu.i0_predict_index_d, io.dec_exu.decode_exu.i0_predict_btag_d) - - val i0_flush_path_x =rvdffe(i0_flush_path_d,x_data_en.asBool,clock,io.scan_mode) - io.dec_exu.decode_exu.exu_csr_rs1_x :=rvdffe(csr_rs1_in_d,x_data_en.asBool,clock,io.scan_mode) - i0_predict_p_x :=rvdffe(i0_predict_p_d,x_data_en.asBool,clock,io.scan_mode) - val predpipe_x =rvdffe(predpipe_d,x_data_en.asBool,clock,io.scan_mode) - val predpipe_r =rvdffe(predpipe_x ,r_data_en.asBool,clock,io.scan_mode) - val ghr_x =rvdffe(ghr_x_ns ,x_ctl_en.asBool,clock,io.scan_mode) + val i0_flush_path_x =rvdffpcie(i0_flush_path_d,x_data_en.asBool,reset.asAsyncReset,clock,io.scan_mode) + i0_predict_p_x :=rvdffppe(i0_predict_p_d,clock,reset.asAsyncReset,x_data_en.asBool,io.scan_mode,elements= 13,io.exu_bp.exu_mp_pkt.bits.pret) + val predpipe_x =rvdffe(predpipe_d,x_data_en_q2.asBool,clock,io.scan_mode) + val predpipe_r =rvdffe(predpipe_x ,r_data_en_q2.asBool,clock,io.scan_mode) + val ghr_x =rvdffe(ghr_x_ns ,x_ctl_en.asBool,clock,io.scan_mode) val i0_pred_correct_upper_x =rvdffe(i0_pred_correct_upper_d ,x_ctl_en.asBool,clock,io.scan_mode) - val i0_flush_upper_x =rvdffe(i0_flush_upper_d ,x_ctl_en.asBool,clock,io.scan_mode) - val i0_taken_x =rvdffe(i0_taken_d ,x_ctl_en.asBool,clock,io.scan_mode) - val i0_valid_x =rvdffe(i0_valid_d ,x_ctl_en.asBool,clock,io.scan_mode) - i0_pp_r :=rvdffe(i0_predict_p_x,r_ctl_en.asBool,clock,io.scan_mode) - val pred_temp1 =rvdffe(io.dec_exu.decode_exu.pred_correct_npc_x(5,0) ,r_ctl_en.asBool,clock,io.scan_mode) - val i0_pred_correct_upper_r =rvdffe(i0_pred_correct_upper_x ,r_ctl_en.asBool,clock,io.scan_mode) - val i0_flush_path_upper_r =rvdffe(i0_flush_path_x ,r_data_en.asBool,clock,io.scan_mode) - val pred_temp2 =rvdffe(io.dec_exu.decode_exu.pred_correct_npc_x(30,6) ,r_data_en.asBool,clock,io.scan_mode) - pred_correct_npc_r :=Cat(pred_temp2,pred_temp1) + val i0_flush_upper_x =rvdffe(i0_flush_upper_d ,x_ctl_en.asBool,clock,io.scan_mode) + val i0_taken_x =rvdffe(i0_taken_d ,x_ctl_en.asBool,clock,io.scan_mode) + val i0_valid_x =rvdffe(i0_valid_d ,x_ctl_en.asBool,clock,io.scan_mode) + i0_pp_r :=rvdffppe(i0_predict_p_x,clock,reset.asAsyncReset(),r_ctl_en.asBool,io.scan_mode,elements = 13,io.exu_bp.exu_mp_pkt.bits.pret) + val pred_temp1 =rvdffpcie(io.dec_exu.decode_exu.pred_correct_npc_x(5,0) ,r_data_en.asBool,reset.asAsyncReset(),clock,io.scan_mode) + val i0_pred_correct_upper_r =rvdffppe_UInt(i0_pred_correct_upper_x ,clock,reset.asAsyncReset(),r_ctl_en.asBool,io.scan_mode,WIDTH=1) + val i0_flush_path_upper_r =rvdffpcie(i0_flush_path_x ,r_data_en.asBool,reset.asAsyncReset(),clock,io.scan_mode) + val pred_temp2 =rvdffpcie(io.dec_exu.decode_exu.pred_correct_npc_x(30,6) ,r_data_en.asBool,reset.asAsyncReset(),clock,io.scan_mode) + pred_correct_npc_r :=Cat(pred_temp2,pred_temp1) + ghr_d :=rvdffie(ghr_d_ns,clock,reset.asAsyncReset(),io.scan_mode) + mul_valid_x :=rvdffie(io.dec_exu.decode_exu.mul_p.valid,clock,reset.asAsyncReset(),io.scan_mode) + i0_branch_x :=rvdffie(io.dec_exu.decode_exu.dec_i0_branch_d,clock,reset.asAsyncReset(),io.scan_mode) - when (BHT_SIZE.asUInt===32.U || BHT_SIZE.asUInt===64.U){ - ghr_d :=RegEnable(ghr_d_ns,0.U,data_gate_en.asBool) - mul_valid_x :=RegEnable(io.dec_exu.decode_exu.mul_p.valid,0.U,data_gate_en.asBool) - flush_lower_ff :=RegEnable(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r,0.U,data_gate_en.asBool) - }.otherwise{ - ghr_d :=rvdffe(ghr_d_ns ,data_gate_en.asBool,clock,io.scan_mode) - mul_valid_x :=rvdffe(io.dec_exu.decode_exu.mul_p.valid ,data_gate_en.asBool,clock,io.scan_mode) - flush_lower_ff :=rvdffe(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r ,data_gate_en.asBool,clock,io.scan_mode) - } - - - data_gate_en := (ghr_d_ns =/= ghr_d) | ( io.dec_exu.decode_exu.mul_p.valid =/= mul_valid_x) | ( io.dec_exu.tlu_exu.dec_tlu_flush_lower_r =/= flush_lower_ff) - val i0_rs1_bypass_en_d = io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(0) | io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(1) - val i0_rs2_bypass_en_d = io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(0) | io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(1) + val i0_rs1_bypass_en_d = io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(0) | io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(1) | io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(2) | io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(3) + val i0_rs2_bypass_en_d = io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(0) | io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(1) | io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(2) | io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(3) val i0_rs1_bypass_data_d = Mux1H(Seq( - io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(0).asBool -> io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d, - io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(1).asBool -> io.dec_exu.decode_exu.exu_i0_result_x + io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(0).asBool -> io.dec_exu.decode_exu.dec_i0_result_r, + io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(1).asBool -> io.lsu_exu.lsu_result_m, + io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(2).asBool -> io.dec_exu.decode_exu.exu_i0_result_x, + io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d(3).asBool -> io.lsu_exu.lsu_nonblock_load_data )) - val i0_rs2_bypass_data_d = Mux1H(Seq( - io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(0).asBool -> io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d, - io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(1).asBool -> io.dec_exu.decode_exu.exu_i0_result_x + io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(0).asBool -> io.dec_exu.decode_exu.dec_i0_result_r, + io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(1).asBool -> io.lsu_exu.lsu_result_m, + io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(2).asBool -> io.dec_exu.decode_exu.exu_i0_result_x, + io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d(3).asBool -> io.lsu_exu.lsu_nonblock_load_data )) val i0_rs1_d = Mux1H(Seq( - i0_rs1_bypass_en_d.asBool -> i0_rs1_bypass_data_d, - (!i0_rs1_bypass_en_d & io.dec_exu.decode_exu.dec_i0_select_pc_d).asBool -> Cat(io.dec_exu.ib_exu.dec_i0_pc_d,0.U(1.W)), - (!i0_rs1_bypass_en_d & io.dec_exu.ib_exu.dec_debug_wdata_rs1_d).asBool -> io.dbg_cmd_wrdata, + i0_rs1_bypass_en_d.asBool -> i0_rs1_bypass_data_d, + (!i0_rs1_bypass_en_d & io.dec_exu.decode_exu.dec_i0_select_pc_d).asBool -> Cat(io.dec_exu.ib_exu.dec_i0_pc_d,0.U(1.W)), + (!i0_rs1_bypass_en_d & io.dec_exu.ib_exu.dec_debug_wdata_rs1_d).asBool -> io.dbg_cmd_wrdata, (!i0_rs1_bypass_en_d & !io.dec_exu.ib_exu.dec_debug_wdata_rs1_d & io.dec_exu.decode_exu.dec_i0_rs1_en_d).asBool -> io.dec_exu.gpr_exu.gpr_i0_rs1_d )) + io.dec_exu.decode_exu.exu_csr_rs1_x :=rvdffe(i0_rs1_d,x_data_en_q1.asBool,clock,io.scan_mode) - val i0_rs2_d = Mux1H(Seq( + val i0_rs2_d = Mux1H(Seq( (!i0_rs2_bypass_en_d & io.dec_exu.decode_exu.dec_i0_rs2_en_d).asBool -> io.dec_exu.gpr_exu.gpr_i0_rs2_d, - (!i0_rs2_bypass_en_d).asBool -> io.dec_exu.decode_exu.dec_i0_immed_d, - (i0_rs2_bypass_en_d).asBool -> i0_rs2_bypass_data_d + (!i0_rs2_bypass_en_d).asBool -> io.dec_exu.decode_exu.dec_i0_immed_d, + (i0_rs2_bypass_en_d).asBool -> i0_rs2_bypass_data_d )) dontTouch(i0_rs2_d) io.lsu_exu.exu_lsu_rs1_d:=Mux1H(Seq( - (!i0_rs1_bypass_en_d & !io.dec_exu.decode_exu.dec_extint_stall & io.dec_exu.decode_exu.dec_i0_rs1_en_d).asBool -> io.dec_exu.gpr_exu.gpr_i0_rs1_d, - (i0_rs1_bypass_en_d & !io.dec_exu.decode_exu.dec_extint_stall).asBool -> i0_rs1_bypass_data_d, - (io.dec_exu.decode_exu.dec_extint_stall).asBool -> Cat(io.dec_exu.tlu_exu.dec_tlu_meihap,0.U(2.W)) + (!i0_rs1_bypass_en_d & !io.dec_exu.decode_exu.dec_extint_stall & io.dec_exu.decode_exu.dec_i0_rs1_en_d & io.dec_qual_lsu_d).asBool -> io.dec_exu.gpr_exu.gpr_i0_rs1_d, + (i0_rs1_bypass_en_d & !io.dec_exu.decode_exu.dec_extint_stall & io.dec_qual_lsu_d).asBool -> i0_rs1_bypass_data_d, + (io.dec_exu.decode_exu.dec_extint_stall & io.dec_qual_lsu_d).asBool -> Cat(io.dec_exu.tlu_exu.dec_tlu_meihap,0.U(2.W)) )) io.lsu_exu.exu_lsu_rs2_d:=Mux1H(Seq( - (!i0_rs2_bypass_en_d & !io.dec_exu.decode_exu.dec_extint_stall & io.dec_exu.decode_exu.dec_i0_rs2_en_d).asBool -> io.dec_exu.gpr_exu.gpr_i0_rs2_d, - (i0_rs2_bypass_en_d & !io.dec_exu.decode_exu.dec_extint_stall).asBool -> i0_rs2_bypass_data_d + (!i0_rs2_bypass_en_d & !io.dec_exu.decode_exu.dec_extint_stall & io.dec_exu.decode_exu.dec_i0_rs2_en_d & io.dec_qual_lsu_d).asBool -> io.dec_exu.gpr_exu.gpr_i0_rs2_d, + (i0_rs2_bypass_en_d & !io.dec_exu.decode_exu.dec_extint_stall & io.dec_qual_lsu_d).asBool -> i0_rs2_bypass_data_d )) val muldiv_rs1_d=Mux1H(Seq( (!i0_rs1_bypass_en_d & io.dec_exu.decode_exu.dec_i0_rs1_en_d).asBool -> io.dec_exu.gpr_exu.gpr_i0_rs1_d, - (i0_rs1_bypass_en_d).asBool -> i0_rs1_bypass_data_d + (i0_rs1_bypass_en_d).asBool -> i0_rs1_bypass_data_d )) - val muldiv_rs2_d=Mux1H(Seq( - (!i0_rs2_bypass_en_d & io.dec_exu.decode_exu.dec_i0_rs2_en_d).asBool -> io.dec_exu.gpr_exu.gpr_i0_rs2_d, - (!i0_rs2_bypass_en_d).asBool -> io.dec_exu.decode_exu.dec_i0_immed_d, - (i0_rs2_bypass_en_d).asBool -> i0_rs2_bypass_data_d - )) - - csr_rs1_in_d := Mux(io.dec_exu.dec_alu.dec_csr_ren_d.asBool, i0_rs1_d, io.dec_exu.decode_exu.exu_csr_rs1_x) - - val i_alu=Module(new exu_alu_ctl()) i_alu.io.dec_alu <> io.dec_exu.dec_alu - i_alu.io.scan_mode :=io.scan_mode - i_alu.io.enable :=x_ctl_en - i_alu.io.pp_in :=i0_predict_newp_d + i_alu.io.scan_mode :=io.scan_mode + i_alu.io.enable :=x_data_en + i_alu.io.pp_in :=i0_predict_newp_d i_alu.io.flush_upper_x :=i0_flush_upper_x i_alu.io.dec_tlu_flush_lower_r :=io.dec_exu.tlu_exu.dec_tlu_flush_lower_r - i_alu.io.a_in :=i0_rs1_d.asSInt - i_alu.io.b_in :=i0_rs2_d - i_alu.io.dec_i0_pc_d :=io.dec_exu.ib_exu.dec_i0_pc_d - i_alu.io.i0_ap :=io.dec_exu.decode_exu.i0_ap - val alu_result_x =i_alu.io.result_ff - i0_flush_upper_d :=i_alu.io.flush_upper_out - i0_flush_path_d :=i_alu.io.flush_path_out - io.exu_flush_final := i_alu.io.flush_final_out - i0_predict_p_d :=i_alu.io.predict_p_out + i_alu.io.a_in :=i0_rs1_d.asSInt + i_alu.io.b_in :=i0_rs2_d + i_alu.io.dec_i0_pc_d :=io.dec_exu.ib_exu.dec_i0_pc_d + i_alu.io.i0_ap :=io.dec_exu.decode_exu.i0_ap + val alu_result_x =i_alu.io.result_ff + i0_flush_upper_d :=i_alu.io.flush_upper_out + i0_flush_path_d :=i_alu.io.flush_path_out + io.exu_flush_final := i_alu.io.flush_final_out + i0_predict_p_d :=i_alu.io.predict_p_out i0_pred_correct_upper_d :=i_alu.io.pred_correct_out val i_mul = Module(new exu_mul_ctl()) i_mul.io.scan_mode := io.scan_mode - i_mul.io.mul_p := io.dec_exu.decode_exu.mul_p - i_mul.io.rs1_in := muldiv_rs1_d - i_mul.io.rs2_in := muldiv_rs2_d + i_mul.io.mul_p := io.dec_exu.decode_exu.mul_p // & Fill(io.dec_exu.decode_exu.mul_p.getWidth,io.dec_exu.decode_exu.mul_p.valid) + i_mul.io.rs1_in := muldiv_rs1_d & Fill(32,io.dec_exu.decode_exu.mul_p.valid) + i_mul.io.rs2_in := i0_rs2_d & Fill(32,io.dec_exu.decode_exu.mul_p.valid) val mul_result_x = i_mul.io.result_x val i_div = Module(new exu_div_ctl()) i_div.io.dec_div <> io.dec_exu.dec_div - i_div.io.scan_mode := io.scan_mode - + i_div.io.scan_mode := io.scan_mode i_div.io.dividend := muldiv_rs1_d - i_div.io.divisor := muldiv_rs2_d + i_div.io.divisor := i0_rs2_d io.exu_div_wren := i_div.io.exu_div_wren io.exu_div_result := i_div.io.exu_div_result - io.dec_exu.decode_exu.exu_i0_result_x := Mux(mul_valid_x.asBool, mul_result_x, alu_result_x) - i0_predict_newp_d := io.dec_exu.decode_exu.dec_i0_predict_p_d - i0_predict_newp_d.bits.boffset := io.dec_exu.ib_exu.dec_i0_pc_d(0) // from the start of inst + io.dec_exu.decode_exu.exu_i0_result_x := Mux(mul_valid_x.asBool, mul_result_x, alu_result_x) + i0_predict_newp_d := io.dec_exu.decode_exu.dec_i0_predict_p_d + i0_predict_newp_d.bits.boffset := io.dec_exu.ib_exu.dec_i0_pc_d(0) // from the start of inst io.dec_exu.tlu_exu.exu_pmu_i0_br_misp := i0_pp_r.bits.misp io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken := i0_pp_r.bits.ataken @@ -188,48 +172,73 @@ class exu extends Module with lib with RequireAsyncReset{ i0_taken_d := (i0_predict_p_d.bits.ataken & io.dec_exu.dec_alu.dec_i0_alu_decode_d) + if(BTB_ENABLE) { + // maintain GHR at D + ghr_d_ns := Mux1H(Seq( + (!io.dec_exu.tlu_exu.dec_tlu_flush_lower_r & i0_valid_d).asBool -> Cat(ghr_d(BHT_GHR_SIZE - 2, 0), i0_taken_d), + (!io.dec_exu.tlu_exu.dec_tlu_flush_lower_r & !i0_valid_d).asBool -> ghr_d, + (io.dec_exu.tlu_exu.dec_tlu_flush_lower_r).asBool -> ghr_x + )) - // maintain GHR at D - ghr_d_ns:=Mux1H(Seq( - (!io.dec_exu.tlu_exu.dec_tlu_flush_lower_r & i0_valid_d).asBool -> Cat(ghr_d(BHT_GHR_SIZE-2,0),i0_taken_d), - (!io.dec_exu.tlu_exu.dec_tlu_flush_lower_r & !i0_valid_d).asBool -> ghr_d, - (io.dec_exu.tlu_exu.dec_tlu_flush_lower_r).asBool -> ghr_x - )) + // maintain GHR at X + ghr_x_ns := Mux(i0_valid_x === 1.U, Cat(ghr_x(BHT_GHR_SIZE - 2, 0), i0_taken_x), ghr_x) - // maintain GHR at X - ghr_x_ns:=Mux(i0_valid_x===1.U, Cat(ghr_x(BHT_GHR_SIZE-2,0),i0_taken_x), ghr_x ) + io.dec_exu.tlu_exu.exu_i0_br_valid_r := i0_pp_r.valid + io.dec_exu.tlu_exu.exu_i0_br_mp_r := i0_pp_r.bits.misp + io.exu_bp.exu_i0_br_way_r := i0_pp_r.bits.way + io.dec_exu.tlu_exu.exu_i0_br_hist_r := Fill(2, i0_pp_r.valid) & i0_pp_r.bits.hist + io.dec_exu.tlu_exu.exu_i0_br_error_r := i0_pp_r.bits.br_error + io.dec_exu.tlu_exu.exu_i0_br_middle_r := i0_pp_r.bits.pc4 ^ i0_pp_r.bits.boffset + io.dec_exu.tlu_exu.exu_i0_br_start_error_r := i0_pp_r.bits.br_start_error + io.exu_bp.exu_i0_br_fghr_r := predpipe_r(PREDPIPESIZE - 1, BTB_ADDR_HI + BTB_BTAG_SIZE - BTB_ADDR_LO + 1) + io.dec_exu.tlu_exu.exu_i0_br_index_r := predpipe_r(BTB_ADDR_HI + BTB_BTAG_SIZE - BTB_ADDR_LO, BTB_BTAG_SIZE) + io.exu_bp.exu_i0_br_index_r := io.dec_exu.tlu_exu.exu_i0_br_index_r + final_predict_mp := Mux(i0_flush_upper_x === 1.U, i0_predict_p_x, 0.U.asTypeOf(i0_predict_p_x)) + val final_predpipe_mp = Mux(i0_flush_upper_x === 1.U, predpipe_x, 0.U) - io.dec_exu.tlu_exu.exu_i0_br_valid_r := i0_pp_r.valid - io.dec_exu.tlu_exu.exu_i0_br_mp_r := i0_pp_r.bits.misp - io.exu_bp.exu_i0_br_way_r := i0_pp_r.bits.way - io.dec_exu.tlu_exu.exu_i0_br_hist_r := i0_pp_r.bits.hist - io.dec_exu.tlu_exu.exu_i0_br_error_r := i0_pp_r.bits.br_error - io.dec_exu.tlu_exu.exu_i0_br_middle_r := i0_pp_r.bits.pc4 ^ i0_pp_r.bits.boffset - io.dec_exu.tlu_exu.exu_i0_br_start_error_r := i0_pp_r.bits.br_start_error - io.exu_bp.exu_i0_br_fghr_r := predpipe_r(PREDPIPESIZE-1,BTB_ADDR_HI+BTB_BTAG_SIZE-BTB_ADDR_LO+1) - io.dec_exu.tlu_exu.exu_i0_br_index_r := predpipe_r(BTB_ADDR_HI+BTB_BTAG_SIZE-BTB_ADDR_LO,BTB_BTAG_SIZE) - io.exu_bp.exu_i0_br_index_r := io.dec_exu.tlu_exu.exu_i0_br_index_r - final_predict_mp := Mux(i0_flush_upper_x===1.U,i0_predict_p_x,0.U.asTypeOf(i0_predict_p_x)) - val final_predpipe_mp = Mux(i0_flush_upper_x===1.U,predpipe_x,0.U) + val after_flush_eghr = Mux((i0_flush_upper_x === 1.U & !(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r === 1.U)), ghr_d, ghr_x) - val after_flush_eghr = Mux((i0_flush_upper_x===1.U & !(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r===1.U)), ghr_d, ghr_x) + io.exu_bp.exu_mp_pkt.valid := final_predict_mp.valid + io.exu_bp.exu_mp_pkt.bits.way := final_predict_mp.bits.way + io.exu_bp.exu_mp_pkt.bits.misp := final_predict_mp.bits.misp + io.exu_bp.exu_mp_pkt.bits.pcall := final_predict_mp.bits.pcall + io.exu_bp.exu_mp_pkt.bits.pja := final_predict_mp.bits.pja + io.exu_bp.exu_mp_pkt.bits.pret := final_predict_mp.bits.pret + io.exu_bp.exu_mp_pkt.bits.ataken := final_predict_mp.bits.ataken + io.exu_bp.exu_mp_pkt.bits.boffset := final_predict_mp.bits.boffset + io.exu_bp.exu_mp_pkt.bits.pc4 := final_predict_mp.bits.pc4 + io.exu_bp.exu_mp_pkt.bits.hist := final_predict_mp.bits.hist(1, 0) + io.exu_bp.exu_mp_pkt.bits.toffset := final_predict_mp.bits.toffset(11, 0) + io.exu_bp.exu_mp_fghr := after_flush_eghr + io.exu_bp.exu_mp_index := final_predpipe_mp(PREDPIPESIZE - BHT_GHR_SIZE - 1, BTB_BTAG_SIZE) + io.exu_bp.exu_mp_btag := final_predpipe_mp(BTB_BTAG_SIZE - 1, 0) + io.exu_bp.exu_mp_eghr := final_predpipe_mp(PREDPIPESIZE - 1, BTB_ADDR_HI - BTB_ADDR_LO + BTB_BTAG_SIZE + 1) // mp ghr for bht write + } + else { + ghr_d_ns := 0.U + ghr_x_ns := 0.U + io.exu_bp.exu_mp_pkt := 0.U + io.exu_bp.exu_mp_eghr := 0.U + io.exu_bp.exu_mp_fghr := 0.U + io.exu_bp.exu_mp_index := 0.U + io.exu_bp.exu_mp_btag := 0.U + io.dec_exu.tlu_exu.exu_i0_br_hist_r := 0.U + io.dec_exu.tlu_exu.exu_i0_br_error_r := 0.U + io.dec_exu.tlu_exu.exu_i0_br_start_error_r := 0.U + io.dec_exu.tlu_exu.exu_i0_br_index_r := 0.U + io.dec_exu.tlu_exu.exu_i0_br_valid_r := 0.U + io.dec_exu.tlu_exu.exu_i0_br_mp_r := 0.U + io.dec_exu.tlu_exu.exu_i0_br_middle_r := 0.U + io.exu_bp.exu_i0_br_fghr_r := 0.U + io.exu_bp.exu_i0_br_way_r := 0.U + } + io.exu_flush_path_final := Mux1H(Seq( + io.dec_exu.tlu_exu.dec_tlu_flush_lower_r.asBool -> io.dec_exu.tlu_exu.dec_tlu_flush_path_r, + (~io.dec_exu.tlu_exu.dec_tlu_flush_lower_r & i0_flush_upper_d).asBool -> i0_flush_path_d)) - io.exu_bp.exu_mp_pkt.bits.way := final_predict_mp.bits.way - io.exu_bp.exu_mp_pkt.bits.misp := final_predict_mp.bits.misp - io.exu_bp.exu_mp_pkt.bits.pcall := final_predict_mp.bits.pcall - io.exu_bp.exu_mp_pkt.bits.pja := final_predict_mp.bits.pja - io.exu_bp.exu_mp_pkt.bits.pret := final_predict_mp.bits.pret - io.exu_bp.exu_mp_pkt.bits.ataken := final_predict_mp.bits.ataken - io.exu_bp.exu_mp_pkt.bits.boffset := final_predict_mp.bits.boffset - io.exu_bp.exu_mp_pkt.bits.pc4 := final_predict_mp.bits.pc4 - io.exu_bp.exu_mp_pkt.bits.hist := final_predict_mp.bits.hist(1,0) - io.exu_bp.exu_mp_pkt.bits.toffset := final_predict_mp.bits.toffset(11,0) - io.exu_bp.exu_mp_fghr := after_flush_eghr - io.exu_bp.exu_mp_index := final_predpipe_mp(PREDPIPESIZE-BHT_GHR_SIZE-1,BTB_BTAG_SIZE) - io.exu_bp.exu_mp_btag := final_predpipe_mp(BTB_BTAG_SIZE-1,0) - io.exu_bp.exu_mp_eghr := final_predpipe_mp(PREDPIPESIZE-1,BTB_ADDR_HI-BTB_ADDR_LO+BTB_BTAG_SIZE+1) // mp ghr for bht write - io.exu_flush_path_final := Mux(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r.asBool, io.dec_exu.tlu_exu.dec_tlu_flush_path_r, i0_flush_path_d) io.dec_exu.tlu_exu.exu_npc_r := Mux(i0_pred_correct_upper_r===1.U, pred_correct_npc_r, i0_flush_path_upper_r) } - +object exu_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new exu())) +} diff --git a/src/main/scala/exu/exu_alu_ctl.scala b/src/main/scala/exu/exu_alu_ctl.scala index da24a9ac..d27ebf4b 100644 --- a/src/main/scala/exu/exu_alu_ctl.scala +++ b/src/main/scala/exu/exu_alu_ctl.scala @@ -9,6 +9,7 @@ class exu_alu_ctl extends Module with lib with RequireAsyncReset{ val io = IO(new Bundle{ val dec_alu = new dec_alu() + //val csr_rddata_in = Input(UInt(32.W)) // CSR data val dec_i0_pc_d = Input(UInt(31.W)) // for pc=pc+2,4 calculations val scan_mode = Input(UInt(1.W)) // Scan control val flush_upper_x = Input(UInt(1.W)) // Branch flush from previous cycle @@ -26,15 +27,123 @@ class exu_alu_ctl extends Module with lib with RequireAsyncReset{ val pred_correct_out = Output(UInt(1.W)) // NPC control val predict_p_out = Valid(new predict_pkt_t) // Predicted branch structure }) + //zbb + val ap_clz = WireInit(Bool(),0.B) + val ap_ctz = WireInit(Bool(),0.B) + val ap_pcnt = WireInit(Bool(),0.B) + val ap_sext_b = WireInit(Bool(),0.B) + val ap_sext_h = WireInit(Bool(),0.B) + val ap_min = WireInit(Bool(),0.B) + val ap_max = WireInit(Bool(),0.B) + val ap_pack = WireInit(Bool(),0.B) + val ap_packu = WireInit(Bool(),0.B) + val ap_packh = WireInit(Bool(),0.B) + val ap_rol = WireInit(Bool(),0.B) + val ap_ror = WireInit(Bool(),0.B) + val ap_rev = WireInit(Bool(),0.B) + val ap_rev8 = WireInit(Bool(),0.B) + val ap_orc_b = WireInit(Bool(),0.B) + val ap_orc16 = WireInit(Bool(),0.B) + val ap_zbb = WireInit(Bool(),0.B) + // Zbs + val ap_sbset = WireInit(Bool(),0.B) + val ap_sbclr = WireInit(Bool(),0.B) + val ap_sbinv = WireInit(Bool(),0.B) + val ap_sbext = WireInit(Bool(),0.B) - io.dec_alu.exu_i0_pc_x := rvdffe(io.dec_i0_pc_d,io.enable,clock,io.scan_mode.asBool) // any PC is run through here - doesn't have to be alu + // Zbr + val ap_slo = WireInit(Bool(),0.B) + val ap_sro = WireInit(Bool(),0.B) + + // Zba + val ap_sh1add = WireInit(Bool(),0.B) + val ap_sh2add = WireInit(Bool(),0.B) + val ap_sh3add = WireInit(Bool(),0.B) + val ap_zba = WireInit(Bool(),0.B) + + if (BITMANIP_ZBB) { + ap_clz := io.i0_ap.clz + ap_ctz := io.i0_ap.ctz + ap_pcnt := io.i0_ap.pcnt + ap_sext_b := io.i0_ap.sext_b + ap_sext_h := io.i0_ap.sext_h + ap_min := io.i0_ap.min + ap_max := io.i0_ap.max + } else{ + ap_clz := 0.U + ap_ctz := 0.U + ap_pcnt := 0.U + ap_sext_b := 0.U + ap_sext_h := 0.U + ap_min := 0.U + ap_max := 0.U + } + if ( (BITMANIP_ZBB) | (BITMANIP_ZBP) ) { + ap_pack := io.i0_ap.pack + ap_packu := io.i0_ap.packu + ap_packh := io.i0_ap.packh + ap_rol := io.i0_ap.rol + ap_ror := io.i0_ap.ror + ap_rev := io.i0_ap.grev & (io.b_in(4,0) === "b11111".U) + ap_rev8 := io.i0_ap.grev & (io.b_in(4,0) === "b11000".U) + ap_orc_b := io.i0_ap.gorc & (io.b_in(4,0) === "b00111".U) + ap_orc16 := io.i0_ap.gorc & (io.b_in(4,0) === "b10000".U) + ap_zbb := io.i0_ap.zbb + } else{ + ap_pack := 0.U + ap_packu := 0.U + ap_packh := 0.U + ap_rol := 0.U + ap_ror := 0.U + ap_rev := 0.U + ap_rev8 := 0.U + ap_orc_b := 0.U + ap_orc16 := 0.U + ap_zbb := 0.U + } + if (BITMANIP_ZBS) { + ap_sbset := io.i0_ap.sbset + ap_sbclr := io.i0_ap.sbclr + ap_sbinv := io.i0_ap.sbinv + ap_sbext := io.i0_ap.sbext + }else { + ap_sbset := 0.U + ap_sbclr := 0.U + ap_sbinv := 0.U + ap_sbext := 0.U + } + if (BITMANIP_ZBP) { + ap_slo := io.i0_ap.slo + ap_sro := io.i0_ap.sro + } else { + ap_slo := 0.U + ap_sro := 0.U + } + if (BITMANIP_ZBA) { + ap_sh1add := io.i0_ap.sh1add + ap_sh2add := io.i0_ap.sh2add + ap_sh3add := io.i0_ap.sh3add + ap_zba := io.i0_ap.zba + } else { + ap_sh1add := 0.U + ap_sh2add := 0.U + ap_sh3add := 0.U + ap_zba := 0.U + } + io.dec_alu.exu_i0_pc_x := rvdffpcie(io.dec_i0_pc_d,io.enable,reset.asAsyncReset(),clock,io.scan_mode.asBool) // any PC is run through here - doesn't have to be alu val result = WireInit(UInt(32.W),0.U) - io.result_ff := rvdffe(result,io.enable,clock,io.scan_mode.asBool) + io.result_ff := rvdffe(result,io.enable & io.dec_alu.dec_i0_alu_decode_d,clock,io.scan_mode.asBool) + + val zba_a_in = Mux1H(Seq( + ap_sh1add -> Cat(io.a_in(30,0),0.U(1.W)).asSInt , + ap_sh2add -> Cat(io.a_in(29,0),0.U(2.W)).asSInt , + ap_sh3add -> Cat(io.a_in(28,0),0.U(3.W)).asSInt , + ~ap_zba -> io.a_in )) val bm = Mux( io.i0_ap.sub.asBool, ~io.b_in, io.b_in) //H:b modified val aout = WireInit(UInt(33.W),0.U) - aout := Mux(io.i0_ap.sub.asBool,(Cat(0.U(1.W),io.a_in) + Cat(0.U(1.W),~io.b_in) + Cat(0.U(32.W),io.i0_ap.sub)), (Cat(0.U(1.W),io.a_in) + Cat(0.U(1.W), io.b_in) + Cat(0.U(32.W),io.i0_ap.sub))) + aout := Mux(io.i0_ap.sub.asBool,(Cat(0.U(1.W),zba_a_in) + Cat(0.U(1.W),~io.b_in) + Cat(0.U(32.W),io.i0_ap.sub)), (Cat(0.U(1.W),io.a_in) + Cat(0.U(1.W), io.b_in) + Cat(0.U(32.W),io.i0_ap.sub))) val cout = aout(32) val ov = (!io.a_in(31) & !bm(31) & aout(31)) | ( io.a_in(31) & bm(31) & !aout(31) ) //overflow check from last bits @@ -46,31 +155,143 @@ class exu_alu_ctl extends Module with lib with RequireAsyncReset{ val ge = !lt // if not less then - val lout = Mux1H(Seq( - io.dec_alu.dec_csr_ren_d.asBool -> io.b_in.asSInt, //read enable read rs2 - io.i0_ap.land.asBool -> (io.a_in & io.b_in.asSInt), //and rs1 and 2 - io.i0_ap.lor.asBool -> (io.a_in | io.b_in.asSInt), - io.i0_ap.lxor.asBool -> (io.a_in ^ io.b_in.asSInt))) + val lout = Mux1H(Seq( + io.dec_alu.dec_csr_ren_d -> io.dec_alu.dec_csr_rddata_d.asSInt , + (io.i0_ap.land & !ap_zbb).asBool -> (Cat(0.U(1.W),io.a_in).asSInt & io.b_in.asSInt) , + (io.i0_ap.lor & !ap_zbb).asBool -> (Cat(0.U(1.W),io.a_in).asSInt | io.b_in.asSInt) , + (io.i0_ap.lxor & !ap_zbb).asBool -> (Cat(0.U(1.W),io.a_in).asSInt ^ io.b_in.asSInt) , + (io.i0_ap.land & ap_zbb).asBool -> (Cat(0.U(1.W),io.a_in).asSInt & ~io.b_in.asSInt) , + (io.i0_ap.lor & ap_zbb).asBool -> (Cat(0.U(1.W),io.a_in).asSInt | ~io.b_in.asSInt) , + (io.i0_ap.lxor & ap_zbb).asBool -> (Cat(0.U(1.W),io.a_in).asSInt ^ ~io.b_in.asSInt) )) + + + // val lout = Mux1H(Seq( + // io.dec_alu.dec_csr_ren_d.asBool -> io.b_in.asSInt, //read enable read rs2 + // io.i0_ap.land.asBool -> (io.a_in & io.b_in.asSInt), //and rs1 and 2 + // io.i0_ap.lor.asBool -> (io.a_in | io.b_in.asSInt), + // io.i0_ap.lxor.asBool -> (io.a_in ^ io.b_in.asSInt))) + + // * * * * * * * * * * * * * * * * * * BitManip : SLO,SRO * * * * * * * * * * * * * * * * * * + // * * * * * * * * * * * * * * * * * * BitManip : ROL,ROR * * * * * * * * * * * * * * * * * * + // * * * * * * * * * * * * * * * * * * BitManip : ZBEXT * * * * * * * * * * * * * * * * * * val shift_amount = Mux1H(Seq ( io.i0_ap.sll.asBool -> (32.U(6.W) - Cat(0.U(1.W),io.b_in(4,0))), // [5] unused io.i0_ap.srl.asBool -> Cat(0.U(1.W),io.b_in(4,0)) , - io.i0_ap.sra.asBool -> Cat(0.U(1.W),io.b_in(4,0)) )) + io.i0_ap.sra.asBool -> Cat(0.U(1.W),io.b_in(4,0)) , + ap_rol -> (32.U(6.W) - Cat(0.U(1.W),io.b_in(4,0)) ) , + ap_ror -> Cat(0.U(1.W),io.b_in(4,0)) , + ap_slo -> (32.U(6.W) - Cat(0.U(1.W),io.b_in(4,0)) ) , + ap_sro -> Cat(0.U(1.W),io.b_in(4,0)) , + ap_sbext -> Cat(0.U(1.W),io.b_in(4,0)) )) val shift_mask = WireInit(UInt(32.W),0.U) - shift_mask := ( "hffffffff".U(32.W) << (repl(5,io.i0_ap.sll) & io.b_in(4,0)) ) + shift_mask := ( "hffffffff".U(32.W) << (repl(5,io.i0_ap.sll | ap_slo) & io.b_in(4,0)) ) val shift_extend = WireInit(UInt(63.W),0.U) - shift_extend := Cat((repl(31,io.i0_ap.sra) & repl(31,io.a_in(31))) | (repl(31,io.i0_ap.sll) & io.a_in(30,0)),io.a_in) + shift_extend := Cat((repl(31,io.i0_ap.sra) & repl(31,io.a_in(31))) | (repl(31,io.i0_ap.sll) & io.a_in(30,0)), io.a_in) + + shift_extend := Cat( Mux1H(Seq(io.i0_ap.sra.asBool() -> Fill(31,io.a_in(31)) , + io.i0_ap.sll.asBool() -> io.a_in(30,0) , + ap_rol -> io.a_in(30,0) , + ap_ror -> io.a_in(30,0) , + ap_slo -> io.a_in(30,0) , + ap_sro -> Fill(31,1.U) )),io.a_in) val shift_long = WireInit(UInt(63.W),0.U) - shift_long := ( shift_extend >> shift_amount(4,0) ); // 62-32 unused + shift_long := ( shift_extend >> shift_amount(4,0) ) // 62-32 unused - val sout = ( shift_long(31,0) & shift_mask(31,0) ); //incase of sra shift_mask is 1 + val sout = ( shift_long(31,0) & shift_mask(31,0) ) | ( Fill(32,ap_slo) & ~shift_mask(31,0) ) //incase of sra shift_mask is 1 + + // * * * * * * * * * * * * * * * * * * BitManip : CLZ,CTZ * * * * * * * * * * * * * * * * * * + + val bitmanip_a_reverse_ff = (0 until io.a_in.getWidth).map(i=> io.a_in(i).asUInt).reduce(Cat(_,_)) + // {a_in[0], a_in[1], a_in[2], a_in[3], a_in[4], a_in[5], a_in[6], a_in[7], + // a_in[8], a_in[9], a_in[10], a_in[11], a_in[12], a_in[13], a_in[14], a_in[15], + // a_in[16], a_in[17], a_in[18], a_in[19], a_in[20], a_in[21], a_in[22], a_in[23], + // a_in[24], a_in[25], a_in[26], a_in[27], a_in[28], a_in[29], a_in[30], a_in[31]}; + + val bitmanip_lzd_in = Mux1H(Seq(ap_clz -> io.a_in, ap_ctz -> bitmanip_a_reverse_ff.asSInt)) + ///////////////////// + val bitmanip_lzd_os = bitmanip_lzd_in + val bitmanip_dw_lzd_enc = WireInit(UInt(6.W),0.U) + + bitmanip_dw_lzd_enc := MuxCase(0.U,(0 until 32).map(i=> (bitmanip_lzd_os(31,i)===0.U)->(32-i).U))//return leading zeros + + val bitmanip_clz_ctz_result = Cat(Fill(6, ap_clz | ap_ctz) & bitmanip_dw_lzd_enc(5), Fill(5,!bitmanip_dw_lzd_enc(5)) & bitmanip_dw_lzd_enc(4,0) ) + // * * * * * * * * * * * * * * * * * * BitManip : PCNT * * * * * * * * * * * * * * * * * * + + val bitmanip_pcnt_result = Fill(6,ap_pcnt) & PopCount(io.a_in) + // * * * * * * * * * * * * * * * * * * BitManip : SEXT_B,SEXT_H * * * * * * * * * * * * * * * * * - val sel_shift = io.i0_ap.sll | io.i0_ap.srl | io.i0_ap.sra - val sel_adder = (io.i0_ap.add | io.i0_ap.sub) & !io.i0_ap.slt + val bitmanip_sext_result = Mux1H(Seq(ap_sext_b -> Cat( Fill(24,io.a_in(7)) ,io.a_in(7,0)), + ap_sext_h -> Cat( Fill(16,io.a_in(15)),io.a_in(15,0))) ) + + // * * * * * * * * * * * * * * * * * * BitManip : MIN,MAX,MINU,MAXU * * * * * * * * * * * * * * * + + val bitmanip_minmax_sel = ap_min | ap_max; + + val bitmanip_minmax_sel_a = ge ^ ap_min; + + val bitmanip_minmax_result = Mux1H(Seq( + (bitmanip_minmax_sel & bitmanip_minmax_sel_a) -> io.a_in, + (bitmanip_minmax_sel & !bitmanip_minmax_sel_a) -> io.b_in.asSInt )) + + // * * * * * * * * * * * * * * * * * * BitManip : PACK, PACKU, PACKH * * * * * * * * * * * * * * * + + + val bitmanip_pack_result = Fill(32,ap_pack) & Cat(io.b_in(15,0), io.a_in(15,0)) + val bitmanip_packu_result = Fill(32,ap_packu) & Cat(io.b_in(31,16),io.a_in(31,16)) + val bitmanip_packh_result = Fill(32,ap_packh) & Cat(0.U(16.W),io.b_in(7,0),io.a_in(7,0)) + + + + // * * * * * * * * * * * * * * * * * * BitManip : REV, REV8, ORC_B * * * * * * * * * * * * * * * * + + val bitmanip_rev_result = Fill(32,ap_rev) & (0 until io.a_in.getWidth).map(i=> io.a_in(i).asUInt).reduce(Cat(_,_)) + + val bitmanip_rev8_result = Fill(32,ap_rev8) & (0 until io.a_in.getWidth/8).map(i=> io.a_in(7+i*8,0+i*8).asUInt).reduce(Cat(_,_)) //{a_in[7:0],a_in[15:8],a_in[23:16],a_in[31:24]}; + + + // uint32_t gorc32(uint32_t rs1, uint32_t rs2) + // { + // uint32_t x = rs1; + // int shamt = rs2 & 31; ORC.B ORC16 + // if (shamt & 1) x |= ((x & 0x55555555) << 1) | ((x & 0xAAAAAAAA) >> 1); 1 0 + // if (shamt & 2) x |= ((x & 0x33333333) << 2) | ((x & 0xCCCCCCCC) >> 2); 1 0 + // if (shamt & 4) x |= ((x & 0x0F0F0F0F) << 4) | ((x & 0xF0F0F0F0) >> 4); 1 0 + // if (shamt & 8) x |= ((x & 0x00FF00FF) << 8) | ((x & 0xFF00FF00) >> 8); 0 0 + // if (shamt & 16) x |= ((x & 0x0000FFFF) << 16) | ((x & 0xFFFF0000) >> 16); 0 1 + // return x; + // } + + + // BEFORE 31 , 30 , 29 , 28 , 27 , 26, 25, 24 + // shamt[0] b = a31|a30,a31|a30,a29|a28,a29|a28, a27|a26,a27|a26,a25|a24,a25|a24 + // shamt[1] c = b31|b29,b30|b28,b31|b29,b30|b28, b27|b25,b26|b24,b27|b25,b26|b24 + // shamt[2] d = c31|c27,c30|c26,c29|c25,c28|c24, c31|c27,c30|c26,c29|c25,c28|c24 + // + // Expand d31 = c31 | c27; + // = b31 | b29 | b27 | b25; + // = a31|a30 | a29|a28 | a27|a26 | a25|a24 + + val bitmanip_orc_b_result = Fill(32,ap_orc_b) & (0 until io.a_in.getWidth/8).map(i=> Fill(8,io.a_in(7+i*8,0+i*8).orR).asUInt).reverse.reduce(Cat(_,_)) //{ {8{| a_in[31:24]}}, {8{| a_in[23:16]}}, {8{| a_in[15:8]}}, {8{| a_in[7:0]}} }; + + val bitmanip_orc16_result = Fill(32,ap_orc16) & Cat(io.a_in(31,16) | io.a_in(15,0), io.a_in(31,16) | io.a_in(15,0)) + + // * * * * * * * * * * * * * * * * * * BitManip : ZBSET, ZBCLR, ZBINV * * * * * * * * * * * * * * + + val bitmanip_sb_1hot = "h00000001".U(32.W) << io.b_in(4,0) + + val bitmanip_sb_data = Mux1H(Seq( + ap_sbset -> ( io.a_in | bitmanip_sb_1hot(31,0).asSInt), + ap_sbclr -> ( io.a_in & ~bitmanip_sb_1hot(31,0).asSInt), + ap_sbinv -> ( io.a_in ^ bitmanip_sb_1hot(31,0).asSInt) )) + + + val sel_shift = io.i0_ap.sll | io.i0_ap.srl | io.i0_ap.sra | ap_slo | ap_sro | ap_rol | ap_ror + val sel_adder = (io.i0_ap.add | io.i0_ap.sub | ap_zba) & !io.i0_ap.slt & !ap_min & !ap_max val sel_pc = io.i0_ap.jal | io.pp_in.bits.pcall | io.pp_in.bits.pja | io.pp_in.bits.pret val csr_write_data = Mux(io.i0_ap.csr_imm.asBool, io.b_in.asSInt, io.a_in) @@ -80,11 +301,29 @@ class exu_alu_ctl extends Module with lib with RequireAsyncReset{ // for jal or pcall, it will be the link address pc+2 or pc+4 val pcout = rvbradder(Cat(io.dec_i0_pc_d,0.U),Cat(io.dec_alu.dec_i0_br_immed_d,0.U)) - result := lout(31,0) | Cat(0.U(31.W),slt_one) | (Mux1H(Seq( - sel_shift.asBool -> sout(31,0), - sel_adder.asBool -> aout(31,0), - sel_pc.asBool -> pcout, - io.i0_ap.csr_write.asBool -> csr_write_data(31,0)))) + result := lout(31,0) | (Fill(32,sel_shift) & sout(31,0)) | + (Fill(32,sel_adder) & aout(31,0)) | + (Fill(32,sel_pc) & pcout ) | + (Fill(32,io.i0_ap.csr_write) & csr_write_data(31,0)) | + Cat(0.U(31.W), slt_one) | + (Fill(32,ap_sbext) & Cat(0.U(31.W), sout(0))) | + (Cat(0.U(26.W), bitmanip_clz_ctz_result(5,0))) | + (Cat(0.U(26.W), bitmanip_pcnt_result(5,0)) ) | + bitmanip_sext_result(31,0) | + bitmanip_minmax_result(31,0) | + bitmanip_pack_result(31,0) | + bitmanip_packu_result(31,0) | + bitmanip_packh_result(31,0) | + bitmanip_rev_result(31,0) | + bitmanip_rev8_result(31,0) | + bitmanip_orc_b_result(31,0) | + bitmanip_orc16_result(31,0) | + bitmanip_sb_data(31,0) + // lout(31,0) | Cat(0.U(31.W),slt_one) | (Mux1H(Seq( + // sel_shift.asBool -> sout(31,0), + // sel_adder.asBool -> aout(31,0), + // sel_pc.asBool -> pcout, + // io.i0_ap.csr_write.asBool -> csr_write_data(31,0)))) // *** branch handling *** @@ -121,5 +360,3 @@ class exu_alu_ctl extends Module with lib with RequireAsyncReset{ io.predict_p_out.bits.ataken := actual_taken; // send a control signal telling it branch taken or not io.predict_p_out.bits.hist := newhist } - - diff --git a/src/main/scala/exu/exu_mul_ctl.scala b/src/main/scala/exu/exu_mul_ctl.scala index ac6febfe..7b259933 100644 --- a/src/main/scala/exu/exu_mul_ctl.scala +++ b/src/main/scala/exu/exu_mul_ctl.scala @@ -4,7 +4,7 @@ import chisel3._ import chisel3.util._ import include._ import lib._ - +import chisel3.stage.ChiselStage class exu_mul_ctl extends Module with RequireAsyncReset with lib { val io = IO(new Bundle{ @@ -22,7 +22,104 @@ class exu_mul_ctl extends Module with RequireAsyncReset with lib { val prod_x = WireInit(SInt(66.W), 0.S) val low_x = WireInit(0.U(1.W)) + // *** Start - BitManip *** + + // val bitmanip_sel_d = WireInit(Bool(),0.B) + // val bitmanip_sel_x = WireInit(Bool(),0.B) + // val bitmanip_d = WireInit(UInt(32.W),0.U) + // val bitmanip_x = WireInit(UInt(32.W),0.U) + + + + // ZBE + val ap_bext = WireInit(Bool(),0.B) + val ap_bdep = WireInit(Bool(),0.B) + + // ZBC + val ap_clmul = WireInit(Bool(),0.B) + val ap_clmulh = WireInit(Bool(),0.B) + val ap_clmulr = WireInit(Bool(),0.B) + + // ZBP + val ap_grev = WireInit(Bool(),0.B) + val ap_gorc = WireInit(Bool(),0.B) + val ap_shfl = WireInit(Bool(),0.B) + val ap_unshfl = WireInit(Bool(),0.B) + + // ZBR + val ap_crc32_b = WireInit(Bool(),0.B) + val ap_crc32_h = WireInit(Bool(),0.B) + val ap_crc32_w = WireInit(Bool(),0.B) + val ap_crc32c_b = WireInit(Bool(),0.B) + val ap_crc32c_h = WireInit(Bool(),0.B) + val ap_crc32c_w = WireInit(Bool(),0.B) + + // ZBF + val ap_bfp = WireInit(Bool(),0.B) + + + if (BITMANIP_ZBE == 1) { + ap_bext := io.mul_p.bits.bext + ap_bdep := io.mul_p.bits.bdep + + } + else{ + ap_bext := 0.U + ap_bdep := 0.U + } + + if (BITMANIP_ZBC == 1) { + ap_clmul := io.mul_p.bits.clmul + ap_clmulh := io.mul_p.bits.clmulh + ap_clmulr := io.mul_p.bits.clmulr + } + else{ + ap_clmul := 0.U + ap_clmulh := 0.U + ap_clmulr := 0.U + } + + if (BITMANIP_ZBP == 1) { + ap_grev := io.mul_p.bits.grev + ap_gorc := io.mul_p.bits.gorc + ap_shfl := io.mul_p.bits.shfl + ap_unshfl := io.mul_p.bits.unshfl + } + else{ + ap_grev := 0.U + ap_gorc := 0.U + ap_shfl := 0.U + ap_unshfl := 0.U + } + + if (BITMANIP_ZBR == 1) { + ap_crc32_b := io.mul_p.bits.crc32_b + ap_crc32_h := io.mul_p.bits.crc32_h + ap_crc32_w := io.mul_p.bits.crc32_w + ap_crc32c_b := io.mul_p.bits.crc32c_b + ap_crc32c_h := io.mul_p.bits.crc32c_h + ap_crc32c_w := io.mul_p.bits.crc32c_w + } + else{ + ap_crc32_b := 0.U + ap_crc32_h := 0.U + ap_crc32_w := 0.U + ap_crc32c_b := 0.U + ap_crc32c_h := 0.U + ap_crc32c_w := 0.U + } + + if (BITMANIP_ZBF == 1) { + ap_bfp := io.mul_p.bits.bfp + } + else{ + ap_bfp := 0.U + } + + // *** End - BitManip *** + val mul_x_enable = io.mul_p.valid + val bit_x_enable = io.mul_p.valid rs1_ext_in := Cat(io.mul_p.bits.rs1_sign & io.rs1_in(31),io.rs1_in).asSInt rs2_ext_in := Cat(io.mul_p.bits.rs2_sign & io.rs2_in(31),io.rs2_in).asSInt @@ -31,5 +128,269 @@ class exu_mul_ctl extends Module with RequireAsyncReset with lib { rs2_x := rvdffe (rs2_ext_in, mul_x_enable.asBool,clock,io.scan_mode) prod_x := rs1_x * rs2_x - io.result_x := Mux1H (Seq(!low_x.asBool -> prod_x(63,32), low_x.asBool -> prod_x(31,0))) + + // * * * * * * * * * * * * * * * * * * BitManip : BEXT, BDEP * * * * * * * * * * * * * * * * * * + + + // *** BEXT == "gather" *** + + def one_cal (ind:Int) : UInt = if (ind == 0) io.rs2_in(ind) else (0 to ind).map(io.rs2_in(_).asUInt).reduce(_+&_) + val bext_d = (0 until 32).map(i=> MuxCase(false.B, (0 until 32).map(j=> (one_cal(j) === (i+1).U).asBool -> io.rs1_in(j).asUInt))).reverse.reduce(Cat(_,_)) + + // *** BDEP == "scatter" *** + val bdep_d =(0 until 32).map(j => Mux((io.rs2_in(j) === 1.U), io.rs1_in(one_cal(j)-1.U),0.U)).reverse.reduce(Cat(_,_)) + // * * * * * * * * * * * * * * * * * * BitManip : CLMUL, CLMULH, CLMULR * * * * * * * * * * * * * + + val clmul_raw_d = WireInit(UInt(63.W),0.U) + clmul_raw_d := (1 until 31).map(i => Fill(63,io.rs2_in(i)) & Cat(Fill(31-i,0.U),io.rs1_in(31,0),Fill(i,0.U))).reduce(_^_) ^ ( Fill(63,io.rs2_in(0)) & Cat(Fill(31,0.U),io.rs1_in) ) ^ ( Fill(63,io.rs2_in(31)) & Cat(io.rs1_in,Fill(31,0.U)) ) + + + // * * * * * * * * * * * * * * * * * * BitManip : GREV * * * * * * * * * * * * * * * * * * + + // uint32_t grev32(uint32_t rs1, uint32_t rs2) + // { + // uint32_t x = rs1; + // int shamt = rs2 & 31; + // + // if (shamt & 1) x = ( (x & 0x55555555) << 1) | ( (x & 0xAAAAAAAA) >> 1); + // if (shamt & 2) x = ( (x & 0x33333333) << 2) | ( (x & 0xCCCCCCCC) >> 2); + // if (shamt & 4) x = ( (x & 0x0F0F0F0F) << 4) | ( (x & 0xF0F0F0F0) >> 4); + // if (shamt & 8) x = ( (x & 0x00FF00FF) << 8) | ( (x & 0xFF00FF00) >> 8); + // if (shamt & 16) x = ( (x & 0x0000FFFF) << 16) | ( (x & 0xFFFF0000) >> 16); + // + // return x; + // } + + + val grev1_d = Mux(io.rs2_in(0), Range(0, 31, 2).map(i=> Cat(io.rs1_in(i),io.rs1_in(i+1))).reverse.reduce(Cat(_,_)), io.rs1_in) + + val grev2_d = Mux(io.rs2_in(1), Range(0, 31, 4).map(i=> Cat(grev1_d(i+1,i),grev1_d(i+1+2,i+2))).reverse.reduce(Cat(_,_)) , grev1_d(31,0)) + + val grev4_d = Mux(io.rs2_in(2), Range(0, 31, 8).map(i=> Cat(grev2_d(i+3,i),grev2_d(i+3+4,i+4))).reverse.reduce(Cat(_,_)) , grev2_d(31,0)) + + val grev8_d = Mux(io.rs2_in(3), Range(0, 31, 16).map(i=> Cat(grev4_d(i+7,i),grev4_d(i+7+8,i+8))).reverse.reduce(Cat(_,_)), grev4_d(31,0)) + + val grev_d = Mux(io.rs2_in(4), Cat(grev8_d(15,0),grev8_d(31,16)), grev8_d(31,0) ) + + // * * * * * * * * * * * * * * * * * * BitManip : GORC * * * * * * * * * * * * * * * * * * + + // uint32_t gorc32(uint32_t rs1, uint32_t rs2) + // { + // uint32_t x = rs1; + // int shamt = rs2 & 31; + // + // if (shamt & 1) x |= ( (x & 0x55555555) << 1) | ( (x & 0xAAAAAAAA) >> 1); + // if (shamt & 2) x |= ( (x & 0x33333333) << 2) | ( (x & 0xCCCCCCCC) >> 2); + // if (shamt & 4) x |= ( (x & 0x0F0F0F0F) << 4) | ( (x & 0xF0F0F0F0) >> 4); + // if (shamt & 8) x |= ( (x & 0x00FF00FF) << 8) | ( (x & 0xFF00FF00) >> 8); + // if (shamt & 16) x |= ( (x & 0x0000FFFF) << 16) | ( (x & 0xFFFF0000) >> 16); + // + // return x; + // } + + + // logic [31:0] gorc1_d; + // logic [31:0] gorc2_d; + // logic [31:0] gorc4_d; + // logic [31:0] gorc8_d; + // logic [31:0] gorc_d; + // + + val gorc1_d = ( Fill(32,io.rs2_in(0)) & Range(0, 31, 2).map(i=> Cat(io.rs1_in(i),io.rs1_in(i+1))).reverse.reduce(Cat(_,_)) ) | io.rs1_in + + val gorc2_d = ( Fill(32,io.rs2_in(1)) & Range(0, 31, 4).map(i=> Cat(gorc1_d(i+1,i),gorc1_d(i+1+2,i+2))).reverse.reduce(Cat(_,_)) ) | gorc1_d + + val gorc4_d = ( Fill(32,io.rs2_in(2)) & Range(0, 31, 8).map(i=> Cat(gorc2_d(i+3,i),gorc2_d(i+3+4,i+4))).reverse.reduce(Cat(_,_)) ) | gorc2_d + + val gorc8_d = ( Fill(32,io.rs2_in(3)) & Range(0, 31, 16).map(i=> Cat(gorc4_d(i+7,i),gorc4_d(i+7+8,i+8))).reverse.reduce(Cat(_,_)) ) | gorc4_d + + val gorc_d = ( Fill(32,io.rs2_in(4)) & Cat(gorc8_d(15,0),gorc8_d(31,16)) ) | gorc8_d + + + // * * * * * * * * * * * * * * * * * * BitManip : SHFL, UNSHLF * * * * * * * * * * * * * * * * * * + + // uint32_t shuffle32_stage (uint32_t src, uint32_t maskL, uint32_t maskR, int N) + // { + // uint32_t x = src & ~(maskL | maskR); + // x |= ((src << N) & maskL) | ((src >> N) & maskR); + // return x; + // } + // + // + // + // uint32_t shfl32(uint32_t rs1, uint32_t rs2) + // { + // uint32_t x = rs1; + // int shamt = rs2 & 15 + // + // if (shamt & 8) x = shuffle32_stage(x, 0x00ff0000, 0x0000ff00, 8); + // if (shamt & 4) x = shuffle32_stage(x, 0x0f000f00, 0x00f000f0, 4); + // if (shamt & 2) x = shuffle32_stage(x, 0x30303030, 0xc0c0c0c0, 2); + // if (shamt & 1) x = shuffle32_stage(x, 0x44444444, 0x22222222, 1); + // + // return x; + // } + + + + val shfl8_d = Mux(io.rs2_in(3),Range(0, 9,8).map(i=> Cat(io.rs1_in(i+7+16,i+16),io.rs1_in(i+7,i))).reverse.reduce(Cat(_,_)) ,io.rs1_in) + + val shfl4_d = Mux(io.rs2_in(2),Range(0, 13,4).map(i=> if(i<8) Cat(shfl8_d(i+3+8,i+8),shfl8_d(i+3,i))else Cat(shfl8_d(i+3+8+8,i+8+8),shfl8_d(i+3+8,i+8))).reverse.reduce(Cat(_,_)), shfl8_d) + + val shfl2_d = Mux(io.rs2_in(1), Range(0, 15,2).map(i=> if(i<4)Cat(shfl4_d(i+1+4,i+4),shfl4_d(i+1,i))else if(i<8)Cat(shfl4_d(i+9,i+8),shfl4_d(i+5,i+4))else if(i<12)Cat(shfl4_d(i+13,i+12),shfl4_d(i+9,i+8))else Cat(shfl4_d(i+17,i+16),shfl4_d(i+13,i+12))).reverse.reduce(Cat(_,_)), shfl4_d) + + val shfl_d = Mux(io.rs2_in(0), Range(0, 16,1).map(i=> if(i<2) Cat(shfl2_d(i+2),shfl2_d(i))else if(i<4) Cat(shfl2_d(i+4),shfl2_d(i+2))else if(i<6) Cat(shfl2_d(i+6),shfl2_d(i+4))else if(i<8) Cat(shfl2_d(i+8),shfl2_d(i+6))else if(i<10) Cat(shfl2_d(i+10),shfl2_d(i+8))else if(i<12) Cat(shfl2_d(i+12),shfl2_d(i+10))else if(i<14) Cat(shfl2_d(i+14),shfl2_d(i+12))else Cat(shfl2_d(i+16),shfl2_d(i+14))).reverse.reduce(Cat(_,_)), shfl2_d) + + + + + // // uint32_t unshfl32(uint32_t rs1, uint32_t rs2) + // // { + // // uint32_t x = rs1; + // // int shamt = rs2 & 15 + // // + // // if (shamt & 1) x = shuffle32_stage(x, 0x44444444, 0x22222222, 1); + // // if (shamt & 2) x = shuffle32_stage(x, 0x30303030, 0xc0c0c0c0, 2); + // // if (shamt & 4) x = shuffle32_stage(x, 0x0f000f00, 0x00f000f0, 4); + // // if (shamt & 8) x = shuffle32_stage(x, 0x00ff0000, 0x0000ff00, 8); + // // + // // return x; + // // } + // + // + val unshfl1_d = Mux(io.rs2_in(0) , Range(0, 16,1).map(i=> if(i<2) Cat(io.rs1_in(i+2),io.rs1_in(i))else if(i<4) Cat(io.rs1_in(i+4),io.rs1_in(i+2))else if(i<6) Cat(io.rs1_in(i+6),io.rs1_in(i+4))else if(i<8) Cat(io.rs1_in(i+8),io.rs1_in(i+6))else if(i<10) Cat(io.rs1_in(i+10),io.rs1_in(i+8))else if(i<12) Cat(io.rs1_in(i+12),io.rs1_in(i+10))else if(i<14) Cat(io.rs1_in(i+14),io.rs1_in(i+12))else Cat(io.rs1_in(i+16),io.rs1_in(i+14))).reverse.reduce(Cat(_,_)) , io.rs1_in) + + val unshfl2_d =Mux(io.rs2_in(1) , Range(0, 15,2).map(i=> if(i<4)Cat(unshfl1_d(i+1+4,i+4),unshfl1_d(i+1,i))else if(i<8)Cat(unshfl1_d(i+9,i+8),unshfl1_d(i+5,i+4))else if(i<12)Cat(unshfl1_d(i+13,i+12),unshfl1_d(i+9,i+8))else Cat(unshfl1_d(i+17,i+16),unshfl1_d(i+13,i+12))).reverse.reduce(Cat(_,_)) , unshfl1_d) + + val unshfl4_d = Mux(io.rs2_in(2) , Range(0, 13,4).map(i=> if(i<8) Cat(unshfl2_d(i+3+8,i+8),unshfl2_d(i+3,i))else Cat(unshfl2_d(i+3+8+8,i+8+8),unshfl2_d(i+3+8,i+8))).reverse.reduce(Cat(_,_)) , unshfl2_d) + + val unshfl_d = Mux(io.rs2_in(3) , Range(0, 9,8).map(i=> Cat(unshfl4_d(i+7+16,i+16),unshfl4_d(i+7,i))).reverse.reduce(Cat(_,_)) , unshfl4_d) + + // * * * * * * * * * * * * * * * * * * BitManip : BFP * * * * * * * * * * * * * * * * * * + + + + val bfp_len = Cat(io.rs2_in(27,24) === 0.U,io.rs2_in(27,24)) // If LEN field is zero, then LEN=16 + val bfp_off = io.rs2_in(20,16) + + val bfp_len_mask_ = "hffff_ffff".U(32.W) << bfp_len + val bfp_preshift_data = io.rs2_in(15,0) & ~bfp_len_mask_(15,0) + + val bfp_shift_data = Cat(Fill(16,0.U),bfp_preshift_data(15,0), Fill(16,0.U),bfp_preshift_data(15,0)) << bfp_off + val bfp_shift_mask = Cat(bfp_len_mask_(31,0), bfp_len_mask_(31,0)) << bfp_off + + val bfp_result_d = bfp_shift_data(63,32) | (io.rs1_in & bfp_shift_mask(63,32)) + + // * * * * * * * * * * * * * * * * * * BitManip : CRC32, CRC32c * * * * * * * * * * * * * * * * * + + // *** computed from https: //crccalc.com *** + // + // "a" is 8'h61 = 8'b0110_0001 (8'h61 ^ 8'hff = 8'h9e) + // + // Input must first be XORed with 32'hffff_ffff + // + // + // CRC32 + // + // Input Output Input Output + // ----- -------- -------- -------- + // "a" e8b7be43 ffffff9e 174841bc + // "aa" 078a19d7 ffff9e9e f875e628 + // "aaaa" ad98e545 9e9e9e9e 5267a1ba + // + // + // + // CRC32c + // + // Input Output Input Output + // ----- -------- -------- -------- + // "a" c1d04330 ffffff9e 3e2fbccf + // "aa" f1f2dac2 ffff9e9e 0e0d253d + // "aaaa" 6a52eeb0 9e9e9e9e 95ad114f + + + val crc32_all = ap_crc32_b | ap_crc32_h | ap_crc32_w | ap_crc32c_b | ap_crc32c_h | ap_crc32c_w + + val crc32_poly_rev = "hEDB88320".U(32.W) // bit reverse of 32'h04C11DB7 + val crc32c_poly_rev = "h82F63B78".U(32.W) // bit reverse of 32'h1EDC6F41 + + + val crc32_bd = Wire(Vec(9,UInt(32.W))) + crc32_bd(0) := io.rs1_in + for(i <- 1 to 8) { + crc32_bd(i) := (crc32_bd(i-1) >> 1) ^ (crc32_poly_rev & Fill(32,crc32_bd(i-1)(0)))//io.rs1_in + } + + val crc32_hd = Wire(Vec(17,UInt(32.W))) + crc32_hd(0) := io.rs1_in + for(i <- 1 to 16) { + crc32_hd(i) := (crc32_hd(i-1) >> 1) ^ (crc32_poly_rev & Fill(32,crc32_hd(i-1)(0)))//io.rs1_in + } + + val crc32_wd = Wire(Vec(33,UInt(32.W))) + crc32_wd(0) := io.rs1_in + for(i <- 1 to 32) { + crc32_wd(i) := (crc32_wd(i-1) >> 1) ^ (crc32_poly_rev & Fill(32,crc32_wd(i-1)(0)))//io.rs1_in + } + ///////////////////////////////////////////////////////////////////////////////////////// + + val crc32c_bd = Wire(Vec(9,UInt(32.W))) + crc32c_bd(0) := io.rs1_in + for(i <- 1 to 8) { + crc32c_bd(i) := (crc32c_bd(i-1) >> 1) ^ (crc32c_poly_rev & Fill(32,crc32c_bd(i-1)(0)))//io.rs1_in + } + + + val crc32c_hd = Wire(Vec(17,UInt(32.W))) + crc32c_hd(0) := io.rs1_in + for(i <- 1 to 16) { + crc32c_hd(i) := (crc32c_hd(i-1) >> 1) ^ (crc32c_poly_rev & Fill(32,crc32c_hd(i-1)(0)))//io.rs1_in + } + + + val crc32c_wd = Wire(Vec(33,UInt(32.W))) + crc32c_wd(0) := io.rs1_in + for(i <- 1 to 32) { + crc32c_wd(i) := (crc32c_wd(i-1) >> 1) ^ (crc32c_poly_rev & Fill(32,crc32c_wd(i-1)(0)))//io.rs1_in + } + + + // * * * * * * * * * * * * * * * * * * BitManip : Common logic * * * * * * * * * * * * * * * * * * + + + val bitmanip_sel_d = ap_bext | ap_bdep | ap_clmul | ap_clmulh | ap_clmulr | ap_grev | ap_gorc | ap_shfl | ap_unshfl | crc32_all | ap_bfp + + val bitmanip_d = Mux1H(Seq( + ap_bext -> bext_d(31,0) , + ap_bdep -> bdep_d(31,0) , + ap_clmul -> clmul_raw_d(31,0) , + ap_clmulh -> Cat(0.U(1.W),clmul_raw_d(62,32)) , + ap_clmulr -> clmul_raw_d(62,31) , + ap_grev -> grev_d(31,0) , + ap_gorc -> gorc_d(31,0) , + ap_shfl -> shfl_d(31,0) , + ap_unshfl -> unshfl_d(31,0) , + ap_crc32_b -> crc32_bd(8)(31,0) , + ap_crc32_h -> crc32_hd(16)(31,0) , + ap_crc32_w -> crc32_wd(32)(31,0) , + ap_crc32c_b -> crc32c_bd(8)(31,0) , + ap_crc32c_h -> crc32c_hd(16)(31,0) , + ap_crc32c_w -> crc32c_wd(32)(31,0) , + ap_bfp -> bfp_result_d(31,0) )) + + + + //rvdffe #(33) i_bitmanip_ff (.*, .clk(clk), .din({bitmanip_sel_d,bitmanip_d[31:0]}), .dout({bitmanip_sel_x,bitmanip_x[31:0]}), .en(bit_x_enable)); + val bitmanip_sel_x = rvdffe(bitmanip_sel_d,bit_x_enable,clock,io.scan_mode) + val bitmanip_x = rvdffe(bitmanip_d,bit_x_enable,clock,io.scan_mode) + + + io.result_x := (Fill(32,~bitmanip_sel_x & ~low_x) & prod_x(63,32) ) | + (Fill(32,~bitmanip_sel_x & low_x) & prod_x(31,0) ) | + bitmanip_x + } +object mul extends App { + println((new ChiselStage).emitVerilog(new exu_mul_ctl))} + + diff --git a/src/main/scala/include/bundle.scala b/src/main/scala/include/bundle.scala index 167d812d..76896d8c 100644 --- a/src/main/scala/include/bundle.scala +++ b/src/main/scala/include/bundle.scala @@ -175,6 +175,9 @@ class dma_dccm_ctl extends Bundle{ class lsu_exu extends Bundle{ val exu_lsu_rs1_d = Input(UInt(32.W)) val exu_lsu_rs2_d = Input(UInt(32.W)) + val lsu_result_m = Output(UInt(32.W)) + val lsu_nonblock_load_data = Output(UInt(32.W)) + } class lsu_dec extends Bundle { val tlu_busbuff = new tlu_busbuff @@ -323,6 +326,7 @@ class dbg_dctl extends Bundle{ class dec_alu extends Bundle { val dec_i0_alu_decode_d = Input(UInt(1.W)) // Valid val dec_csr_ren_d = Input(Bool()) // extra decode + val dec_csr_rddata_d = Input(UInt(32.W)) val dec_i0_br_immed_d = Input(UInt(12.W)) // Branch offset val exu_i0_pc_x = Output(UInt(31.W)) // flopped PC } @@ -364,13 +368,13 @@ class decode_exu extends Bundle with lib{ val i0_predict_index_d =Input(UInt(((BTB_ADDR_HI-BTB_ADDR_LO)+1).W)) // DEC predict index val i0_predict_btag_d =Input(UInt(BTB_BTAG_SIZE.W)) // DEC predict branch tag val dec_i0_rs1_en_d =Input(UInt(1.W)) // Qualify GPR RS1 data + val dec_i0_branch_d =Input(UInt(1.W)) // Qualify GPR RS1 data val dec_i0_rs2_en_d =Input(UInt(1.W)) // Qualify GPR RS2 data val dec_i0_immed_d =Input(UInt(32.W)) // DEC data immediate - val dec_i0_rs1_bypass_data_d=Input(UInt(32.W)) // DEC bypass data - val dec_i0_rs2_bypass_data_d=Input(UInt(32.W)) // DEC bypass data + val dec_i0_result_r =Input(UInt(32.W)) // DEC result in R-stage val dec_i0_select_pc_d =Input(UInt(1.W)) // PC select to RS1 - val dec_i0_rs1_bypass_en_d =Input(UInt(2.W)) // DEC bypass select 1 - X-stage, 0 - dec bypass data - val dec_i0_rs2_bypass_en_d =Input(UInt(2.W)) // DEC bypass select 1 - X-stage, 0 - dec bypass data + val dec_i0_rs1_bypass_en_d =Input(UInt(4.W)) // DEC bypass select 1 - X-stage, 0 - dec bypass data + val dec_i0_rs2_bypass_en_d =Input(UInt(4.W)) // DEC bypass select 1 - X-stage, 0 - dec bypass data val mul_p =Flipped(Valid(new mul_pkt_t)) // DEC {valid, operand signs, low, operand bypass} val pred_correct_npc_x =Input(UInt(31.W)) // DEC NPC for correctly predicted branch val dec_extint_stall =Input(Bool()) // External stall mux select @@ -456,14 +460,12 @@ class predict_pkt_t extends Bundle { val toffset = UInt(12.W) val br_error = UInt(1.W) val br_start_error = UInt(1.W) - val prett = UInt(31.W) val pcall = UInt(1.W) - val pret = UInt(1.W) val pja = UInt(1.W) val way = UInt(1.W) + val pret = UInt(1.W) + val prett = UInt(31.W) } - - class trap_pkt_t extends Bundle { val legal = UInt(1.W) val icaf = UInt(1.W) @@ -502,20 +504,45 @@ class reg_pkt_t extends Bundle { class alu_pkt_t extends Bundle { - val land = UInt(1.W) - val lor = UInt(1.W) - val lxor = UInt(1.W) - val sll = UInt(1.W) - val srl = UInt(1.W) - val sra = UInt(1.W) - val beq = UInt(1.W) - val bne = UInt(1.W) - val blt = UInt(1.W) - val bge = UInt(1.W) - val add = UInt(1.W) - val sub = UInt(1.W) - val slt = UInt(1.W) - val unsign = UInt(1.W) + val clz = UInt(1.W) + val ctz = UInt(1.W) + val pcnt = UInt(1.W) + val sext_b = UInt(1.W) + val sext_h = UInt(1.W) + val slo = UInt(1.W) + val sro = UInt(1.W) + val min = UInt(1.W) + val max = UInt(1.W) + val pack = UInt(1.W) + val packu = UInt(1.W) + val packh = UInt(1.W) + val rol = UInt(1.W) + val ror = UInt(1.W) + val grev = UInt(1.W) + val gorc = UInt(1.W) + val zbb = UInt(1.W) + val sbset = UInt(1.W) + val sbclr = UInt(1.W) + val sbinv = UInt(1.W) + val sbext = UInt(1.W) + val sh1add = UInt(1.W) + val sh2add = UInt(1.W) + val sh3add = UInt(1.W) + val zba = UInt(1.W) + val land = UInt(1.W) + val lor = UInt(1.W) + val lxor = UInt(1.W) + val sll = UInt(1.W) + val srl = UInt(1.W) + val sra = UInt(1.W) + val beq = UInt(1.W) + val bne = UInt(1.W) + val blt = UInt(1.W) + val bge = UInt(1.W) + val add = UInt(1.W) + val sub = UInt(1.W) + val slt = UInt(1.W) + val unsign = UInt(1.W) val jal = UInt(1.W) val predict_t = UInt(1.W) val predict_nt = UInt(1.W) @@ -547,59 +574,105 @@ class lsu_error_pkt_t extends Bundle { val addr = UInt(32.W) } class dec_pkt_t extends Bundle { - val alu = Bool() - val rs1 = Bool() - val rs2 = Bool() - val imm12 = Bool() - val rd = Bool() - val shimm5 = Bool() - val imm20 = Bool() - val pc = Bool() - val load = Bool() - val store = Bool() - val lsu = Bool() - val add = Bool() - val sub = Bool() - val land = Bool() - val lor = Bool() - val lxor = Bool() - val sll = Bool() - val sra = Bool() - val srl = Bool() - val slt = Bool() - val unsign = Bool() - val condbr = Bool() - val beq = Bool() - val bne = Bool() - val bge = Bool() - val blt = Bool() - val jal = Bool() - val by = Bool() - val half = Bool() - val word = Bool() - val csr_read = Bool() - val csr_clr = Bool() - val csr_set = Bool() - val csr_write = Bool() - val csr_imm = Bool() - val presync = Bool() - val postsync = Bool() - val ebreak = Bool() - val ecall = Bool() - val mret = Bool() - val mul = Bool() - val rs1_sign = Bool() - val rs2_sign = Bool() - val low = Bool() - val div = Bool() - val rem = Bool() - val fence = Bool() - val fence_i = Bool() - val pm_alu = Bool() - val legal = Bool() + val clz = Bool() + val ctz = Bool() + val pcnt = Bool() + val sext_b = Bool() + val sext_h = Bool() + val slo = Bool() + val sro = Bool() + val min = Bool() + val max = Bool() + val pack = Bool() + val packu = Bool() + val packh = Bool() + val rol = Bool() + val ror = Bool() + val grev = Bool() + val gorc = Bool() + val zbb = Bool() + val sbset = Bool() + val sbclr = Bool() + val sbinv = Bool() + val sbext = Bool() + val zbs = Bool() + val bext = Bool() + val bdep = Bool() + val zbe = Bool() + val clmul = Bool() + val clmulh = Bool() + val clmulr = Bool() + val zbc = Bool() + val shfl = Bool() + val unshfl = Bool() + val zbp = Bool() + val crc32_b = Bool() + val crc32_h = Bool() + val crc32_w = Bool() + val crc32c_b = Bool() + val crc32c_h = Bool() + val crc32c_w = Bool() + val zbr = Bool() + val bfp = Bool() + val zbf = Bool() + val sh1add = Bool() + val sh2add = Bool() + val sh3add = Bool() + val zba = Bool() + val alu = Bool() + val rs1 = Bool() + val rs2 = Bool() + val imm12 = Bool() + val rd = Bool() + val shimm5 = Bool() + val imm20 = Bool() + val pc = Bool() + val load = Bool() + val store = Bool() + val lsu = Bool() + val add = Bool() + val sub = Bool() + val land = Bool() + val lor = Bool() + val lxor = Bool() + val sll = Bool() + val sra = Bool() + val srl = Bool() + val slt = Bool() + val unsign = Bool() + val condbr = Bool() + val beq = Bool() + val bne = Bool() + val bge = Bool() + val blt = Bool() + val jal = Bool() + val by = Bool() + val half = Bool() + val word = Bool() + val csr_read = Bool() + val csr_clr = Bool() + val csr_set = Bool() + val csr_write = Bool() + val csr_imm = Bool() + val presync = Bool() + val postsync = Bool() + val ebreak = Bool() + val ecall = Bool() + val mret = Bool() + val mul = Bool() + val rs1_sign = Bool() + val rs2_sign = Bool() + val low = Bool() + val div = Bool() + val rem = Bool() + val fence = Bool() + val fence_i = Bool() + val pm_alu = Bool() + val legal = Bool() } class mul_pkt_t extends Bundle { + // val valid = UInt(1.W) val rs1_sign = UInt(1.W) val rs2_sign = UInt(1.W) val low = UInt(1.W) @@ -609,6 +682,7 @@ class mul_pkt_t extends Bundle { val clmulh = UInt(1.W) val clmulr = UInt(1.W) val grev = UInt(1.W) + val gorc = UInt(1.W) val shfl = UInt(1.W) val unshfl = UInt(1.W) val crc32_b = UInt(1.W) diff --git a/src/main/scala/lib/lib.scala b/src/main/scala/lib/lib.scala index 3a6ecec0..16300443 100644 --- a/src/main/scala/lib/lib.scala +++ b/src/main/scala/lib/lib.scala @@ -558,6 +558,44 @@ trait lib extends param{ } } } + //////////////////////////////////////////////////////////////////////////////////////////////////// + // special power flop for predict packet + // format: { LEFT, RIGHT==31 } + // LEFT # of bits will be done with rvdffe; RIGHT is enabled by LEFT[LSB] & en + + //////////////////////////////////////////////////////////////////////////////////////////////////////// + def rvdffppe_UInt(din: UInt, clk: Clock, rst_l: AsyncReset, en : Bool, scan_mode: Bool, WIDTH: Int=32) = { + val RIGHT = 31 + val LEFT = WIDTH - RIGHT + val LMSB = WIDTH-1 + val LLSB = LMSB-LEFT+1 + val RMSB = LLSB-1 + val RLSB = LLSB-RIGHT + if(RV_FPGA_OPTIMIZE){ + withClock(clk){ + RegEnable(din,0.U.asTypeOf(din),en) + } + }else + Cat(rvdffe(din(LMSB,LLSB),en,clk,scan_mode),rvdffe(din(RMSB,RLSB),(en&din(LLSB)).asBool,clk,scan_mode)) + + } + object rvdffppe { + def apply(din: Bundle, clk: Clock, rst_l: AsyncReset, en : Bool, scan_mode: Bool, elements: Int,en_bit :Bool) = { + if(RV_FPGA_OPTIMIZE){ + withClock(clk){ + RegEnable(din,0.U.asTypeOf(din),en) + } + } + else{ + val vec = MixedVecInit((0 until din.getElements.length).map(i=> + if(i<=elements) rvdffe(din.getElements(i).asUInt(),en,clk,scan_mode) + else rvdffe(din.getElements(i).asUInt(),(en& en_bit).asBool,clk,scan_mode))) + + vec.asTypeOf(din) + } + } + } + //////////////////////////////////////////////////////////////////////////////////////////////////////// def rvdfflie_UInt(din: UInt, clk: Clock, rst_l: AsyncReset, en : Bool, scan_mode: Bool, WIDTH: Int=16, LEFT: Int=8) = { val EXTRA = WIDTH-LEFT diff --git a/src/main/scala/lib/param.scala b/src/main/scala/lib/param.scala index 4d90617c..38d95657 100644 --- a/src/main/scala/lib/param.scala +++ b/src/main/scala/lib/param.scala @@ -161,4 +161,12 @@ trait param { val BTB_ENABLE = 0x1 val BTB_TOFFSET_SIZE = 0x00C val BTB_FULLYA = 0x00 + val BITMANIP_ZBA = 0x00 + val BITMANIP_ZBB = 0x01 + val BITMANIP_ZBC = 0x00 + val BITMANIP_ZBE = 0x00 + val BITMANIP_ZBF = 0x00 + val BITMANIP_ZBP = 0x00 + val BITMANIP_ZBR = 0x00 + val BITMANIP_ZBS = 0x01 } diff --git a/target/scala-2.12/classes/dbg/dbg.class b/target/scala-2.12/classes/dbg/dbg.class index 2e597da6..01126d07 100644 Binary files a/target/scala-2.12/classes/dbg/dbg.class and b/target/scala-2.12/classes/dbg/dbg.class differ diff --git a/target/scala-2.12/classes/dec/CSR_IO.class b/target/scala-2.12/classes/dec/CSR_IO.class index 13efe1ae..d1281f45 100644 Binary files a/target/scala-2.12/classes/dec/CSR_IO.class and b/target/scala-2.12/classes/dec/CSR_IO.class differ diff --git a/target/scala-2.12/classes/dec/csr_tlu.class b/target/scala-2.12/classes/dec/csr_tlu.class index 357fb2c0..c1388ae0 100644 Binary files a/target/scala-2.12/classes/dec/csr_tlu.class and b/target/scala-2.12/classes/dec/csr_tlu.class differ diff --git a/target/scala-2.12/classes/dec/dec.class b/target/scala-2.12/classes/dec/dec.class deleted file mode 100644 index 2e080402..00000000 Binary files a/target/scala-2.12/classes/dec/dec.class and /dev/null differ diff --git a/target/scala-2.12/classes/dec/dec_IO.class b/target/scala-2.12/classes/dec/dec_IO.class deleted file mode 100644 index 669b2c00..00000000 Binary files a/target/scala-2.12/classes/dec/dec_IO.class and /dev/null differ diff --git a/target/scala-2.12/classes/dec/dec_dec_ctl.class b/target/scala-2.12/classes/dec/dec_dec_ctl.class index 6718e3ff..16e7dbe5 100644 Binary files a/target/scala-2.12/classes/dec/dec_dec_ctl.class and b/target/scala-2.12/classes/dec/dec_dec_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class b/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class deleted file mode 100644 index 2d1e1dbb..00000000 Binary files a/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class and /dev/null differ diff --git a/target/scala-2.12/classes/dec/dec_decode_ctl.class b/target/scala-2.12/classes/dec/dec_decode_ctl.class deleted file mode 100644 index 1682a846..00000000 Binary files a/target/scala-2.12/classes/dec/dec_decode_ctl.class and /dev/null differ diff --git a/target/scala-2.12/classes/dec/dec_gpr_ctl.class b/target/scala-2.12/classes/dec/dec_gpr_ctl.class index 237d3978..e923b883 100644 Binary files a/target/scala-2.12/classes/dec/dec_gpr_ctl.class and b/target/scala-2.12/classes/dec/dec_gpr_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_ib_ctl.class b/target/scala-2.12/classes/dec/dec_ib_ctl.class index b71e2e5a..0e7db855 100644 Binary files a/target/scala-2.12/classes/dec/dec_ib_ctl.class and b/target/scala-2.12/classes/dec/dec_ib_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class b/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class index 1137f35b..a6e06f39 100644 Binary files a/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class and b/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class differ diff --git a/target/scala-2.12/classes/dec/dec_timer_ctl.class b/target/scala-2.12/classes/dec/dec_timer_ctl.class index 88bc6fb6..32ebba03 100644 Binary files a/target/scala-2.12/classes/dec/dec_timer_ctl.class and b/target/scala-2.12/classes/dec/dec_timer_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_tlu_ctl.class b/target/scala-2.12/classes/dec/dec_tlu_ctl.class index 62daeb3b..13f05f69 100644 Binary files a/target/scala-2.12/classes/dec/dec_tlu_ctl.class and b/target/scala-2.12/classes/dec/dec_tlu_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class b/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class index df87f81b..a8163222 100644 Binary files a/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class and b/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class differ diff --git a/target/scala-2.12/classes/dec/dec_trigger.class b/target/scala-2.12/classes/dec/dec_trigger.class index bb30eb97..198ce22f 100644 Binary files a/target/scala-2.12/classes/dec/dec_trigger.class and b/target/scala-2.12/classes/dec/dec_trigger.class differ diff --git a/target/scala-2.12/classes/dma_ctrl.class b/target/scala-2.12/classes/dma_ctrl.class index dc81e9b3..f371efaf 100644 Binary files a/target/scala-2.12/classes/dma_ctrl.class and b/target/scala-2.12/classes/dma_ctrl.class differ diff --git a/target/scala-2.12/classes/exu/exu$$anon$1.class b/target/scala-2.12/classes/exu/exu$$anon$1.class index a1244804..8c495a86 100644 Binary files a/target/scala-2.12/classes/exu/exu$$anon$1.class and b/target/scala-2.12/classes/exu/exu$$anon$1.class differ diff --git a/target/scala-2.12/classes/exu/exu.class b/target/scala-2.12/classes/exu/exu.class index 66f0fb83..cefeb749 100644 Binary files a/target/scala-2.12/classes/exu/exu.class and b/target/scala-2.12/classes/exu/exu.class differ diff --git a/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class b/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class index 1eada6dd..c1e927b7 100644 Binary files a/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class and b/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class differ diff --git a/target/scala-2.12/classes/exu/exu_alu_ctl.class b/target/scala-2.12/classes/exu/exu_alu_ctl.class index 3f303992..c994ed82 100644 Binary files a/target/scala-2.12/classes/exu/exu_alu_ctl.class and b/target/scala-2.12/classes/exu/exu_alu_ctl.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_ctl.class b/target/scala-2.12/classes/exu/exu_div_ctl.class index a8b504c3..ad8f38df 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_ctl.class and b/target/scala-2.12/classes/exu/exu_div_ctl.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq.class b/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq.class index b38d869a..39e5de9e 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq.class and b/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq.class index 9f2d8168..3b7cd5e8 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq.class and b/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class index 5a39f4a9..6363ef97 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class and b/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class index d4427521..60e71ff2 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class and b/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class index 68de85fb..95983640 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class and b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_main$.class b/target/scala-2.12/classes/exu/exu_main$.class new file mode 100644 index 00000000..bfd86bef Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_main$.class differ diff --git a/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class b/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class new file mode 100644 index 00000000..0b9ee1bc Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/exu/exu_main.class b/target/scala-2.12/classes/exu/exu_main.class new file mode 100644 index 00000000..739d0fb7 Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_main.class differ diff --git a/target/scala-2.12/classes/exu/exu_mul_ctl.class b/target/scala-2.12/classes/exu/exu_mul_ctl.class index 35b6a738..6e305f6e 100644 Binary files a/target/scala-2.12/classes/exu/exu_mul_ctl.class and b/target/scala-2.12/classes/exu/exu_mul_ctl.class differ diff --git a/target/scala-2.12/classes/exu/mul$.class b/target/scala-2.12/classes/exu/mul$.class new file mode 100644 index 00000000..8f094bf1 Binary files /dev/null and b/target/scala-2.12/classes/exu/mul$.class differ diff --git a/target/scala-2.12/classes/exu/mul$delayedInit$body.class b/target/scala-2.12/classes/exu/mul$delayedInit$body.class new file mode 100644 index 00000000..1b75349f Binary files /dev/null and b/target/scala-2.12/classes/exu/mul$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/exu/mul.class b/target/scala-2.12/classes/exu/mul.class new file mode 100644 index 00000000..5058f5bc Binary files /dev/null and b/target/scala-2.12/classes/exu/mul.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_aln_ctl.class b/target/scala-2.12/classes/ifu/ifu_aln_ctl.class index 5aec8eb7..861a1a46 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_aln_ctl.class and b/target/scala-2.12/classes/ifu/ifu_aln_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_bp_ctl.class b/target/scala-2.12/classes/ifu/ifu_bp_ctl.class index 81b03619..fa30d70d 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_bp_ctl.class and b/target/scala-2.12/classes/ifu/ifu_bp_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_compress_ctl.class b/target/scala-2.12/classes/ifu/ifu_compress_ctl.class index cbed8e8d..26a49586 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_compress_ctl.class and b/target/scala-2.12/classes/ifu/ifu_compress_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class b/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class index 4aac8497..0fb765c4 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class and b/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_mem_ctl.class b/target/scala-2.12/classes/ifu/ifu_mem_ctl.class index cd283fa9..119f2e1a 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_mem_ctl.class and b/target/scala-2.12/classes/ifu/ifu_mem_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/mem_ctl_io.class b/target/scala-2.12/classes/ifu/mem_ctl_io.class index 94cd17bf..38d67631 100644 Binary files a/target/scala-2.12/classes/ifu/mem_ctl_io.class and b/target/scala-2.12/classes/ifu/mem_ctl_io.class differ diff --git a/target/scala-2.12/classes/include/aln_dec.class b/target/scala-2.12/classes/include/aln_dec.class index 0363a5cd..d14f6804 100644 Binary files a/target/scala-2.12/classes/include/aln_dec.class and b/target/scala-2.12/classes/include/aln_dec.class differ diff --git a/target/scala-2.12/classes/include/aln_ib.class b/target/scala-2.12/classes/include/aln_ib.class index d6109c06..24df76d8 100644 Binary files a/target/scala-2.12/classes/include/aln_ib.class and b/target/scala-2.12/classes/include/aln_ib.class differ diff --git a/target/scala-2.12/classes/include/alu_pkt_t.class b/target/scala-2.12/classes/include/alu_pkt_t.class index 63a8a4d8..7600d5b8 100644 Binary files a/target/scala-2.12/classes/include/alu_pkt_t.class and b/target/scala-2.12/classes/include/alu_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/axi_channels.class b/target/scala-2.12/classes/include/axi_channels.class index 8c955429..05a1bbd5 100644 Binary files a/target/scala-2.12/classes/include/axi_channels.class and b/target/scala-2.12/classes/include/axi_channels.class differ diff --git a/target/scala-2.12/classes/include/br_pkt_t.class b/target/scala-2.12/classes/include/br_pkt_t.class index 4855b61a..a7019a81 100644 Binary files a/target/scala-2.12/classes/include/br_pkt_t.class and b/target/scala-2.12/classes/include/br_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/br_tlu_pkt_t.class b/target/scala-2.12/classes/include/br_tlu_pkt_t.class index ecaf9556..689d19c2 100644 Binary files a/target/scala-2.12/classes/include/br_tlu_pkt_t.class and b/target/scala-2.12/classes/include/br_tlu_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/cache_debug_pkt_t.class b/target/scala-2.12/classes/include/cache_debug_pkt_t.class index e98dc733..37f96395 100644 Binary files a/target/scala-2.12/classes/include/cache_debug_pkt_t.class and b/target/scala-2.12/classes/include/cache_debug_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class b/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class index 65d13fb0..9da1bde0 100644 Binary files a/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class and b/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/class_pkt_t.class b/target/scala-2.12/classes/include/class_pkt_t.class index 7e14e0a1..d907f53b 100644 Binary files a/target/scala-2.12/classes/include/class_pkt_t.class and b/target/scala-2.12/classes/include/class_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/dbg_dctl.class b/target/scala-2.12/classes/include/dbg_dctl.class index 4b089a5e..df1897ff 100644 Binary files a/target/scala-2.12/classes/include/dbg_dctl.class and b/target/scala-2.12/classes/include/dbg_dctl.class differ diff --git a/target/scala-2.12/classes/include/dbg_ib.class b/target/scala-2.12/classes/include/dbg_ib.class index b6ba484e..d1662743 100644 Binary files a/target/scala-2.12/classes/include/dbg_ib.class and b/target/scala-2.12/classes/include/dbg_ib.class differ diff --git a/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class b/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class index 88513b08..c9a0dd62 100644 Binary files a/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class and b/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/dctl_busbuff.class b/target/scala-2.12/classes/include/dctl_busbuff.class index 892f40a2..397cece6 100644 Binary files a/target/scala-2.12/classes/include/dctl_busbuff.class and b/target/scala-2.12/classes/include/dctl_busbuff.class differ diff --git a/target/scala-2.12/classes/include/dec_aln.class b/target/scala-2.12/classes/include/dec_aln.class index d3490f85..1cb839a3 100644 Binary files a/target/scala-2.12/classes/include/dec_aln.class and b/target/scala-2.12/classes/include/dec_aln.class differ diff --git a/target/scala-2.12/classes/include/dec_alu.class b/target/scala-2.12/classes/include/dec_alu.class index d9d54684..a195d4d2 100644 Binary files a/target/scala-2.12/classes/include/dec_alu.class and b/target/scala-2.12/classes/include/dec_alu.class differ diff --git a/target/scala-2.12/classes/include/dec_dbg.class b/target/scala-2.12/classes/include/dec_dbg.class index c04fcf16..0cfe2874 100644 Binary files a/target/scala-2.12/classes/include/dec_dbg.class and b/target/scala-2.12/classes/include/dec_dbg.class differ diff --git a/target/scala-2.12/classes/include/dec_div.class b/target/scala-2.12/classes/include/dec_div.class index 3c2c5762..bc705c79 100644 Binary files a/target/scala-2.12/classes/include/dec_div.class and b/target/scala-2.12/classes/include/dec_div.class differ diff --git a/target/scala-2.12/classes/include/dec_exu.class b/target/scala-2.12/classes/include/dec_exu.class index 7cab5241..1cb60fb8 100644 Binary files a/target/scala-2.12/classes/include/dec_exu.class and b/target/scala-2.12/classes/include/dec_exu.class differ diff --git a/target/scala-2.12/classes/include/dec_mem_ctrl.class b/target/scala-2.12/classes/include/dec_mem_ctrl.class index a50e174b..e973336e 100644 Binary files a/target/scala-2.12/classes/include/dec_mem_ctrl.class and b/target/scala-2.12/classes/include/dec_mem_ctrl.class differ diff --git a/target/scala-2.12/classes/include/dec_pkt_t.class b/target/scala-2.12/classes/include/dec_pkt_t.class index 48656d57..c4d695b4 100644 Binary files a/target/scala-2.12/classes/include/dec_pkt_t.class and b/target/scala-2.12/classes/include/dec_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class b/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class index d38cb6bd..22ba053a 100644 Binary files a/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class and b/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class differ diff --git a/target/scala-2.12/classes/include/decode_exu.class b/target/scala-2.12/classes/include/decode_exu.class index 0c8b3f85..5ceff8bf 100644 Binary files a/target/scala-2.12/classes/include/decode_exu.class and b/target/scala-2.12/classes/include/decode_exu.class differ diff --git a/target/scala-2.12/classes/include/dest_pkt_t.class b/target/scala-2.12/classes/include/dest_pkt_t.class index 8fecb659..9f6de137 100644 Binary files a/target/scala-2.12/classes/include/dest_pkt_t.class and b/target/scala-2.12/classes/include/dest_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/div_pkt_t.class b/target/scala-2.12/classes/include/div_pkt_t.class index 025e4dfd..341d0e3b 100644 Binary files a/target/scala-2.12/classes/include/div_pkt_t.class and b/target/scala-2.12/classes/include/div_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/dma_ifc.class b/target/scala-2.12/classes/include/dma_ifc.class index 49261f34..8568518c 100644 Binary files a/target/scala-2.12/classes/include/dma_ifc.class and b/target/scala-2.12/classes/include/dma_ifc.class differ diff --git a/target/scala-2.12/classes/include/dma_mem_ctl.class b/target/scala-2.12/classes/include/dma_mem_ctl.class index 8182a6e8..3b568633 100644 Binary files a/target/scala-2.12/classes/include/dma_mem_ctl.class and b/target/scala-2.12/classes/include/dma_mem_ctl.class differ diff --git a/target/scala-2.12/classes/include/exu_bp.class b/target/scala-2.12/classes/include/exu_bp.class index 722c49b2..21ecfa2b 100644 Binary files a/target/scala-2.12/classes/include/exu_bp.class and b/target/scala-2.12/classes/include/exu_bp.class differ diff --git a/target/scala-2.12/classes/include/exu_ifu.class b/target/scala-2.12/classes/include/exu_ifu.class index 0ae1515c..978789fc 100644 Binary files a/target/scala-2.12/classes/include/exu_ifu.class and b/target/scala-2.12/classes/include/exu_ifu.class differ diff --git a/target/scala-2.12/classes/include/gpr_exu.class b/target/scala-2.12/classes/include/gpr_exu.class index ded8657e..9e3d0d8f 100644 Binary files a/target/scala-2.12/classes/include/gpr_exu.class and b/target/scala-2.12/classes/include/gpr_exu.class differ diff --git a/target/scala-2.12/classes/include/ib_exu.class b/target/scala-2.12/classes/include/ib_exu.class index 377e3e02..4789e5c0 100644 Binary files a/target/scala-2.12/classes/include/ib_exu.class and b/target/scala-2.12/classes/include/ib_exu.class differ diff --git a/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class b/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class index b730b92d..34f0f97b 100644 Binary files a/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class and b/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/ic_mem.class b/target/scala-2.12/classes/include/ic_mem.class index d2e224bc..98724a02 100644 Binary files a/target/scala-2.12/classes/include/ic_mem.class and b/target/scala-2.12/classes/include/ic_mem.class differ diff --git a/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class b/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class index 5c9951f2..6b7001d7 100644 Binary files a/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class and b/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/iccm_mem.class b/target/scala-2.12/classes/include/iccm_mem.class index f8906c43..fc9070e1 100644 Binary files a/target/scala-2.12/classes/include/iccm_mem.class and b/target/scala-2.12/classes/include/iccm_mem.class differ diff --git a/target/scala-2.12/classes/include/ifu_dec.class b/target/scala-2.12/classes/include/ifu_dec.class index afee3c69..267415cb 100644 Binary files a/target/scala-2.12/classes/include/ifu_dec.class and b/target/scala-2.12/classes/include/ifu_dec.class differ diff --git a/target/scala-2.12/classes/include/ifu_dma.class b/target/scala-2.12/classes/include/ifu_dma.class index 8c473b40..94dd2aee 100644 Binary files a/target/scala-2.12/classes/include/ifu_dma.class and b/target/scala-2.12/classes/include/ifu_dma.class differ diff --git a/target/scala-2.12/classes/include/inst_pkt_t$.class b/target/scala-2.12/classes/include/inst_pkt_t$.class index 3387ecc3..663f5fb5 100644 Binary files a/target/scala-2.12/classes/include/inst_pkt_t$.class and b/target/scala-2.12/classes/include/inst_pkt_t$.class differ diff --git a/target/scala-2.12/classes/include/load_cam_pkt_t.class b/target/scala-2.12/classes/include/load_cam_pkt_t.class index 84018bd2..64ddaf27 100644 Binary files a/target/scala-2.12/classes/include/load_cam_pkt_t.class and b/target/scala-2.12/classes/include/load_cam_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/lsu_dec.class b/target/scala-2.12/classes/include/lsu_dec.class index 84caf041..f6b912b8 100644 Binary files a/target/scala-2.12/classes/include/lsu_dec.class and b/target/scala-2.12/classes/include/lsu_dec.class differ diff --git a/target/scala-2.12/classes/include/lsu_error_pkt_t.class b/target/scala-2.12/classes/include/lsu_error_pkt_t.class index 2ec391cc..541edeab 100644 Binary files a/target/scala-2.12/classes/include/lsu_error_pkt_t.class and b/target/scala-2.12/classes/include/lsu_error_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/lsu_exu.class b/target/scala-2.12/classes/include/lsu_exu.class index fcc4be2e..6321d40c 100644 Binary files a/target/scala-2.12/classes/include/lsu_exu.class and b/target/scala-2.12/classes/include/lsu_exu.class differ diff --git a/target/scala-2.12/classes/include/lsu_pkt_t.class b/target/scala-2.12/classes/include/lsu_pkt_t.class index 42ea6eb7..54f28bfe 100644 Binary files a/target/scala-2.12/classes/include/lsu_pkt_t.class and b/target/scala-2.12/classes/include/lsu_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/lsu_tlu.class b/target/scala-2.12/classes/include/lsu_tlu.class index 0919015a..438aa2bf 100644 Binary files a/target/scala-2.12/classes/include/lsu_tlu.class and b/target/scala-2.12/classes/include/lsu_tlu.class differ diff --git a/target/scala-2.12/classes/include/mul_pkt_t.class b/target/scala-2.12/classes/include/mul_pkt_t.class index f7373422..97269fd1 100644 Binary files a/target/scala-2.12/classes/include/mul_pkt_t.class and b/target/scala-2.12/classes/include/mul_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/predict_pkt_t.class b/target/scala-2.12/classes/include/predict_pkt_t.class index 058a48ec..fa0208d5 100644 Binary files a/target/scala-2.12/classes/include/predict_pkt_t.class and b/target/scala-2.12/classes/include/predict_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/read_addr.class b/target/scala-2.12/classes/include/read_addr.class index 0be42480..ca9dbee1 100644 Binary files a/target/scala-2.12/classes/include/read_addr.class and b/target/scala-2.12/classes/include/read_addr.class differ diff --git a/target/scala-2.12/classes/include/read_data.class b/target/scala-2.12/classes/include/read_data.class index b59b7837..12bdd5d6 100644 Binary files a/target/scala-2.12/classes/include/read_data.class and b/target/scala-2.12/classes/include/read_data.class differ diff --git a/target/scala-2.12/classes/include/reg_pkt_t.class b/target/scala-2.12/classes/include/reg_pkt_t.class index 4da927b9..0ea99b66 100644 Binary files a/target/scala-2.12/classes/include/reg_pkt_t.class and b/target/scala-2.12/classes/include/reg_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/rets_pkt_t.class b/target/scala-2.12/classes/include/rets_pkt_t.class index 07ce6594..ad188e15 100644 Binary files a/target/scala-2.12/classes/include/rets_pkt_t.class and b/target/scala-2.12/classes/include/rets_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/tlu_busbuff.class b/target/scala-2.12/classes/include/tlu_busbuff.class index d6168d4b..752c5c5e 100644 Binary files a/target/scala-2.12/classes/include/tlu_busbuff.class and b/target/scala-2.12/classes/include/tlu_busbuff.class differ diff --git a/target/scala-2.12/classes/include/tlu_exu.class b/target/scala-2.12/classes/include/tlu_exu.class index 084d33f5..e90310db 100644 Binary files a/target/scala-2.12/classes/include/tlu_exu.class and b/target/scala-2.12/classes/include/tlu_exu.class differ diff --git a/target/scala-2.12/classes/include/trace_pkt_t.class b/target/scala-2.12/classes/include/trace_pkt_t.class index 64f63c0e..aa85afd9 100644 Binary files a/target/scala-2.12/classes/include/trace_pkt_t.class and b/target/scala-2.12/classes/include/trace_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/trap_pkt_t.class b/target/scala-2.12/classes/include/trap_pkt_t.class index 9823780c..5008a7fc 100644 Binary files a/target/scala-2.12/classes/include/trap_pkt_t.class and b/target/scala-2.12/classes/include/trap_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/trigger_pkt_t.class b/target/scala-2.12/classes/include/trigger_pkt_t.class index 4629fcc2..f9819ec3 100644 Binary files a/target/scala-2.12/classes/include/trigger_pkt_t.class and b/target/scala-2.12/classes/include/trigger_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/write_addr.class b/target/scala-2.12/classes/include/write_addr.class index 02a01cc5..8758f3a4 100644 Binary files a/target/scala-2.12/classes/include/write_addr.class and b/target/scala-2.12/classes/include/write_addr.class differ diff --git a/target/scala-2.12/classes/include/write_data.class b/target/scala-2.12/classes/include/write_data.class index df817934..0e8dbce7 100644 Binary files a/target/scala-2.12/classes/include/write_data.class and b/target/scala-2.12/classes/include/write_data.class differ diff --git a/target/scala-2.12/classes/include/write_resp.class b/target/scala-2.12/classes/include/write_resp.class index 61346d1d..802aa01c 100644 Binary files a/target/scala-2.12/classes/include/write_resp.class and b/target/scala-2.12/classes/include/write_resp.class differ diff --git a/target/scala-2.12/classes/lib/ahb_to_axi4.class b/target/scala-2.12/classes/lib/ahb_to_axi4.class index edb62869..3d0eb9b8 100644 Binary files a/target/scala-2.12/classes/lib/ahb_to_axi4.class and b/target/scala-2.12/classes/lib/ahb_to_axi4.class differ diff --git a/target/scala-2.12/classes/lib/axi4_to_ahb.class b/target/scala-2.12/classes/lib/axi4_to_ahb.class index 6c3679f9..bd3ca9dc 100644 Binary files a/target/scala-2.12/classes/lib/axi4_to_ahb.class and b/target/scala-2.12/classes/lib/axi4_to_ahb.class differ diff --git a/target/scala-2.12/classes/lib/lib$rvdffiee$.class b/target/scala-2.12/classes/lib/lib$rvdffiee$.class index a8ae6360..2c530d2c 100644 Binary files a/target/scala-2.12/classes/lib/lib$rvdffiee$.class and b/target/scala-2.12/classes/lib/lib$rvdffiee$.class differ diff --git a/target/scala-2.12/classes/lib/lib$rvdfflie$.class b/target/scala-2.12/classes/lib/lib$rvdfflie$.class index f0ce8781..69b94beb 100644 Binary files a/target/scala-2.12/classes/lib/lib$rvdfflie$.class and b/target/scala-2.12/classes/lib/lib$rvdfflie$.class differ diff --git a/target/scala-2.12/classes/lib/lib$rvdffpcie$.class b/target/scala-2.12/classes/lib/lib$rvdffpcie$.class index 558b6a51..3965d26b 100644 Binary files a/target/scala-2.12/classes/lib/lib$rvdffpcie$.class and b/target/scala-2.12/classes/lib/lib$rvdffpcie$.class differ diff --git a/target/scala-2.12/classes/lib/lib$rvdffppe$.class b/target/scala-2.12/classes/lib/lib$rvdffppe$.class new file mode 100644 index 00000000..304d777a Binary files /dev/null and b/target/scala-2.12/classes/lib/lib$rvdffppe$.class differ diff --git a/target/scala-2.12/classes/lib/lib.class b/target/scala-2.12/classes/lib/lib.class index 9e2cc9d8..63918162 100644 Binary files a/target/scala-2.12/classes/lib/lib.class and b/target/scala-2.12/classes/lib/lib.class differ diff --git a/target/scala-2.12/classes/lib/param.class b/target/scala-2.12/classes/lib/param.class index e50bfbf9..db2cb813 100644 Binary files a/target/scala-2.12/classes/lib/param.class and b/target/scala-2.12/classes/lib/param.class differ diff --git a/target/scala-2.12/classes/lsu/lsu.class b/target/scala-2.12/classes/lsu/lsu.class index 58d0eff0..05bbd018 100644 Binary files a/target/scala-2.12/classes/lsu/lsu.class and b/target/scala-2.12/classes/lsu/lsu.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_addrcheck.class b/target/scala-2.12/classes/lsu/lsu_addrcheck.class index 5e809bdd..4e630cdb 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_addrcheck.class and b/target/scala-2.12/classes/lsu/lsu_addrcheck.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class index 750b45f9..ae5848b6 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_bus_intf.class b/target/scala-2.12/classes/lsu/lsu_bus_intf.class index 027b1153..a522eead 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_bus_intf.class and b/target/scala-2.12/classes/lsu/lsu_bus_intf.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_clkdomain.class b/target/scala-2.12/classes/lsu/lsu_clkdomain.class index 4fabbaea..3d179590 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_clkdomain.class and b/target/scala-2.12/classes/lsu/lsu_clkdomain.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class b/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class index 1c4de2a1..5c5844bc 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class and b/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_ecc.class b/target/scala-2.12/classes/lsu/lsu_ecc.class index 555f038c..fa19035c 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_ecc.class and b/target/scala-2.12/classes/lsu/lsu_ecc.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class b/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class index d19a9fac..4c8a31cb 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class and b/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_stbuf.class b/target/scala-2.12/classes/lsu/lsu_stbuf.class index 15d1924c..48e891dd 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_stbuf.class and b/target/scala-2.12/classes/lsu/lsu_stbuf.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_trigger.class b/target/scala-2.12/classes/lsu/lsu_trigger.class index 8835bc03..85fdfa8a 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_trigger.class and b/target/scala-2.12/classes/lsu/lsu_trigger.class differ diff --git a/target/scala-2.12/classes/mem/Mem_bundle.class b/target/scala-2.12/classes/mem/Mem_bundle.class index 917fdd89..16d9ec2c 100644 Binary files a/target/scala-2.12/classes/mem/Mem_bundle.class and b/target/scala-2.12/classes/mem/Mem_bundle.class differ diff --git a/target/scala-2.12/classes/mem/blackbox_mem.class b/target/scala-2.12/classes/mem/blackbox_mem.class index 4f61fe30..78f005b1 100644 Binary files a/target/scala-2.12/classes/mem/blackbox_mem.class and b/target/scala-2.12/classes/mem/blackbox_mem.class differ diff --git a/target/scala-2.12/classes/mem/mem_lsu.class b/target/scala-2.12/classes/mem/mem_lsu.class index 95e3d615..63138826 100644 Binary files a/target/scala-2.12/classes/mem/mem_lsu.class and b/target/scala-2.12/classes/mem/mem_lsu.class differ diff --git a/target/scala-2.12/classes/mem/quasar$.class b/target/scala-2.12/classes/mem/quasar$.class index b171f3a7..5387bc65 100644 Binary files a/target/scala-2.12/classes/mem/quasar$.class and b/target/scala-2.12/classes/mem/quasar$.class differ diff --git a/target/scala-2.12/classes/mem/quasar.class b/target/scala-2.12/classes/mem/quasar.class index c9bc6934..04a01791 100644 Binary files a/target/scala-2.12/classes/mem/quasar.class and b/target/scala-2.12/classes/mem/quasar.class differ diff --git a/target/scala-2.12/classes/pic_ctrl.class b/target/scala-2.12/classes/pic_ctrl.class index bbe71859..8b5fe3f2 100644 Binary files a/target/scala-2.12/classes/pic_ctrl.class and b/target/scala-2.12/classes/pic_ctrl.class differ