diff --git a/el2_ifu_ifc_ctl.anno.json b/el2_ifu_ifc_ctl.anno.json index 6da8d83b..a341cd62 100644 --- a/el2_ifu_ifc_ctl.anno.json +++ b/el2_ifu_ifc_ctl.anno.json @@ -107,6 +107,11 @@ "class":"firrtl.EmitCircuitAnnotation", "emitter":"firrtl.VerilogEmitter" }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"el2_ifu_ifc_ctl.TEC_RV_ICG", + "resourceId":"/vsrc/TEC_RV_ICG.v" + }, { "class":"firrtl.options.TargetDirAnnotation", "directory":"." diff --git a/el2_ifu_ifc_ctl.fir b/el2_ifu_ifc_ctl.fir index 28e4ff1a..08163ac4 100644 --- a/el2_ifu_ifc_ctl.fir +++ b/el2_ifu_ifc_ctl.fir @@ -1,5 +1,29 @@ ;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 circuit el2_ifu_ifc_ctl : + extmodule TEC_RV_ICG : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG @[el2_lib.scala 452:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 453:14] + clkhdr.CK <= io.clk @[el2_lib.scala 454:18] + clkhdr.EN <= io.en @[el2_lib.scala 455:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 456:18] + module el2_ifu_ifc_ctl : input clock : Clock input reset : AsyncReset @@ -228,10 +252,10 @@ circuit el2_ifu_ifc_ctl : node _T_141 = or(wfm, _T_140) @[el2_ifu_ifc_ctl.scala 126:33] io.ifu_pmu_fetch_stall <= _T_141 @[el2_ifu_ifc_ctl.scala 126:26] node _T_142 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_143 = bits(_T_142, 31, 28) @[el2_lib.scala 216:25] - node iccm_acc_in_region_bf = eq(_T_143, UInt<4>("h0e")) @[el2_lib.scala 216:47] - node _T_144 = bits(_T_142, 31, 16) @[el2_lib.scala 219:14] - node iccm_acc_in_range_bf = eq(_T_144, UInt<16>("h0ee00")) @[el2_lib.scala 219:29] + node _T_143 = bits(_T_142, 31, 28) @[el2_lib.scala 219:25] + node iccm_acc_in_region_bf = eq(_T_143, UInt<4>("h0e")) @[el2_lib.scala 219:47] + node _T_144 = bits(_T_142, 31, 16) @[el2_lib.scala 222:14] + node iccm_acc_in_range_bf = eq(_T_144, UInt<16>("h0ee00")) @[el2_lib.scala 222:29] io.ifc_iccm_access_bf <= iccm_acc_in_range_bf @[el2_ifu_ifc_ctl.scala 132:25] node _T_145 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 133:30] node _T_146 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 134:39] @@ -258,10 +282,14 @@ circuit el2_ifu_ifc_ctl : reg _T_164 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 140:57] _T_164 <= io.ifc_fetch_req_bf @[el2_ifu_ifc_ctl.scala 140:57] io.ifc_fetch_req_f <= _T_164 @[el2_ifu_ifc_ctl.scala 140:22] - node _T_165 = or(io.exu_flush_final, io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 142:88] - reg _T_166 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_165 : @[Reg.scala 28:19] - _T_166 <= io.ifc_fetch_addr_bf @[Reg.scala 28:23] - skip @[Reg.scala 28:19] + node _T_165 = or(io.exu_flush_final, io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 142:73] + inst rvclkhdr of rvclkhdr @[el2_lib.scala 472:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 474:18] + rvclkhdr.io.en <= _T_165 @[el2_lib.scala 475:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] + reg _T_166 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] + _T_166 <= io.ifc_fetch_addr_bf @[el2_lib.scala 478:16] io.ifc_fetch_addr_f <= _T_166 @[el2_ifu_ifc_ctl.scala 142:23] diff --git a/el2_ifu_ifc_ctl.v b/el2_ifu_ifc_ctl.v index aba3da02..c46ec3ae 100644 --- a/el2_ifu_ifc_ctl.v +++ b/el2_ifu_ifc_ctl.v @@ -1,3 +1,24 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[el2_lib.scala 452:26] + wire clkhdr_CK; // @[el2_lib.scala 452:26] + wire clkhdr_EN; // @[el2_lib.scala 452:26] + wire clkhdr_SE; // @[el2_lib.scala 452:26] + TEC_RV_ICG clkhdr ( // @[el2_lib.scala 452:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[el2_lib.scala 453:14] + assign clkhdr_CK = io_clk; // @[el2_lib.scala 454:18] + assign clkhdr_EN = io_en; // @[el2_lib.scala 455:18] + assign clkhdr_SE = io_scan_mode; // @[el2_lib.scala 456:18] +endmodule module el2_ifu_ifc_ctl( input clock, input reset, @@ -37,6 +58,10 @@ module el2_ifu_ifc_ctl( reg [31:0] _RAND_5; reg [31:0] _RAND_6; `endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 472:23] + wire rvclkhdr_io_clk; // @[el2_lib.scala 472:23] + wire rvclkhdr_io_en; // @[el2_lib.scala 472:23] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 472:23] reg dma_iccm_stall_any_f; // @[el2_ifu_ifc_ctl.scala 63:58] wire dma_stall = io_ic_dma_active | dma_iccm_stall_any_f; // @[el2_ifu_ifc_ctl.scala 62:36] reg miss_a; // @[el2_ifu_ifc_ctl.scala 65:44] @@ -54,7 +79,7 @@ module el2_ifu_ifc_ctl( wire [30:0] _T_17 = io_exu_flush_final ? io_exu_flush_path_final : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_18 = sel_last_addr_bf ? io_ifc_fetch_addr_f : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_19 = sel_btb_addr_bf ? io_ifu_bp_btb_target_f : 31'h0; // @[Mux.scala 27:72] - wire [29:0] address_upper = {io_ifc_fetch_addr_f[30:1]} + 30'h1; // @[el2_ifu_ifc_ctl.scala 77:48] + wire [29:0] address_upper = io_ifc_fetch_addr_f[30:1] + 30'h1; // @[el2_ifu_ifc_ctl.scala 77:48] wire _T_29 = address_upper[4] ^ io_ifc_fetch_addr_f[5]; // @[el2_ifu_ifc_ctl.scala 78:63] wire _T_30 = ~_T_29; // @[el2_ifu_ifc_ctl.scala 78:24] wire fetch_addr_next_0 = _T_30 & io_ifc_fetch_addr_f[0]; // @[el2_ifu_ifc_ctl.scala 78:109] @@ -111,7 +136,6 @@ module el2_ifu_ifc_ctl( wire _T_42 = ~io_ic_write_stall; // @[el2_ifu_ifc_ctl.scala 85:18] wire _T_43 = _T_41 & _T_42; // @[el2_ifu_ifc_ctl.scala 85:16] wire _T_44 = ~io_dec_tlu_flush_noredir_wb; // @[el2_ifu_ifc_ctl.scala 85:39] - wire fetch_bf_en = io_exu_flush_final | io_ifc_fetch_req_f; // @[el2_ifu_ifc_ctl.scala 87:37] wire _T_51 = io_ifu_ic_mb_empty | io_exu_flush_final; // @[el2_ifu_ifc_ctl.scala 91:39] wire _T_53 = _T_51 & _T_40; // @[el2_ifu_ifc_ctl.scala 91:61] wire _T_55 = _T_53 & _T_94; // @[el2_ifu_ifc_ctl.scala 91:74] @@ -140,8 +164,8 @@ module el2_ifu_ifc_ctl( wire _T_139 = _T_138 | dma_stall; // @[el2_ifu_ifc_ctl.scala 127:84] wire _T_140 = io_ifc_fetch_req_bf_raw & _T_139; // @[el2_ifu_ifc_ctl.scala 126:60] wire [31:0] _T_142 = {io_ifc_fetch_addr_bf,1'h0}; // @[Cat.scala 29:58] - wire iccm_acc_in_region_bf = _T_142[31:28] == 4'he; // @[el2_lib.scala 216:47] - wire iccm_acc_in_range_bf = _T_142[31:16] == 16'hee00; // @[el2_lib.scala 219:29] + wire iccm_acc_in_region_bf = _T_142[31:28] == 4'he; // @[el2_lib.scala 219:47] + wire iccm_acc_in_range_bf = _T_142[31:16] == 16'hee00; // @[el2_lib.scala 222:29] wire _T_145 = ~io_ifc_iccm_access_bf; // @[el2_ifu_ifc_ctl.scala 133:30] wire _T_148 = fb_full_f & _T_36; // @[el2_ifu_ifc_ctl.scala 134:16] wire _T_149 = _T_145 | _T_148; // @[el2_ifu_ifc_ctl.scala 133:53] @@ -154,7 +178,13 @@ module el2_ifu_ifc_ctl( wire [4:0] _T_160 = {io_ifc_fetch_addr_bf[30:27],1'h0}; // @[Cat.scala 29:58] wire [31:0] _T_161 = io_dec_tlu_mrac_ff >> _T_160; // @[el2_ifu_ifc_ctl.scala 138:53] reg _T_164; // @[el2_ifu_ifc_ctl.scala 140:57] - reg [30:0] _T_166; // @[Reg.scala 27:20] + reg [30:0] _T_166; // @[el2_lib.scala 478:16] + rvclkhdr rvclkhdr ( // @[el2_lib.scala 472:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); assign io_ifc_fetch_addr_f = _T_166; // @[el2_ifu_ifc_ctl.scala 142:23] assign io_ifc_fetch_addr_bf = _T_22 | _T_20; // @[el2_ifu_ifc_ctl.scala 72:24] assign io_ifc_fetch_req_f = _T_164; // @[el2_ifu_ifc_ctl.scala 140:22] @@ -165,6 +195,9 @@ module el2_ifu_ifc_ctl( assign io_ifc_iccm_access_bf = _T_142[31:16] == 16'hee00; // @[el2_ifu_ifc_ctl.scala 132:25] assign io_ifc_region_acc_fault_bf = _T_157 & iccm_acc_in_region_bf; // @[el2_ifu_ifc_ctl.scala 137:30] assign io_ifc_dma_access_ok = _T_155 | dma_iccm_stall_any_f; // @[el2_ifu_ifc_ctl.scala 133:24] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 474:18] + assign rvclkhdr_io_en = io_exu_flush_final | io_ifc_fetch_req_f; // @[el2_lib.scala 475:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -284,10 +317,10 @@ end // initial _T_164 <= io_ifc_fetch_req_bf; end end - always @(posedge clock or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin _T_166 <= 31'h0; - end else if (fetch_bf_en) begin + end else begin _T_166 <= io_ifc_fetch_addr_bf; end end diff --git a/el2_ifu_mem_ctl.anno.json b/el2_ifu_mem_ctl.anno.json index 6975fe39..58bb5b50 100644 --- a/el2_ifu_mem_ctl.anno.json +++ b/el2_ifu_mem_ctl.anno.json @@ -350,6 +350,11 @@ "class":"firrtl.EmitCircuitAnnotation", "emitter":"firrtl.VerilogEmitter" }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"el2_ifu_mem_ctl.TEC_RV_ICG", + "resourceId":"/vsrc/TEC_RV_ICG.v" + }, { "class":"firrtl.options.TargetDirAnnotation", "directory":"." diff --git a/el2_ifu_mem_ctl.fir b/el2_ifu_mem_ctl.fir index e307c25e..d4e0b16e 100644 --- a/el2_ifu_mem_ctl.fir +++ b/el2_ifu_mem_ctl.fir @@ -1,5 +1,53 @@ ;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 circuit el2_ifu_mem_ctl : + extmodule TEC_RV_ICG : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG @[el2_lib.scala 452:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 453:14] + clkhdr.CK <= io.clk @[el2_lib.scala 454:18] + clkhdr.EN <= io.en @[el2_lib.scala 455:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 456:18] + + extmodule TEC_RV_ICG_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_1 @[el2_lib.scala 452:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 453:14] + clkhdr.CK <= io.clk @[el2_lib.scala 454:18] + clkhdr.EN <= io.en @[el2_lib.scala 455:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 456:18] + module el2_ifu_mem_ctl : input clock : Clock input reset : UInt<1> @@ -84,6 +132,18 @@ circuit el2_ifu_mem_ctl : node _T_2 = or(_T_1, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 186:86] node fetch_bf_f_c1_clken = or(_T_2, scnd_miss_req) @[el2_ifu_mem_ctl.scala 186:107] node debug_c1_clken = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 187:42] + inst rvclkhdr of rvclkhdr @[el2_lib.scala 461:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 462:17] + rvclkhdr.io.en <= debug_c1_clken @[el2_lib.scala 463:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 464:23] + inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 461:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 462:17] + rvclkhdr_1.io.en <= fetch_bf_f_c1_clken @[el2_lib.scala 463:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 464:23] node _T_3 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 190:52] node _T_4 = bits(dma_iccm_req_f, 0, 0) @[el2_ifu_mem_ctl.scala 190:78] node _T_5 = and(_T_3, _T_4) @[el2_ifu_mem_ctl.scala 190:55] @@ -354,19 +414,19 @@ circuit el2_ifu_mem_ctl : node tagv_mb_scnd_in = mux(_T_194, tagv_mb_scnd_ff, _T_198) @[el2_ifu_mem_ctl.scala 262:28] node _T_199 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 263:56] node uncacheable_miss_scnd_in = mux(_T_199, uncacheable_miss_scnd_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 263:37] - reg _T_200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 264:38] - _T_200 <= uncacheable_miss_scnd_in @[el2_ifu_mem_ctl.scala 264:38] + reg _T_200 : UInt<1>, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 264:67] + _T_200 <= uncacheable_miss_scnd_in @[el2_ifu_mem_ctl.scala 264:67] uncacheable_miss_scnd_ff <= _T_200 @[el2_ifu_mem_ctl.scala 264:28] node _T_201 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 265:43] node imb_scnd_in = mux(_T_201, imb_scnd_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 265:24] - reg _T_202 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 266:25] - _T_202 <= imb_scnd_in @[el2_ifu_mem_ctl.scala 266:25] + reg _T_202 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 266:54] + _T_202 <= imb_scnd_in @[el2_ifu_mem_ctl.scala 266:54] imb_scnd_ff <= _T_202 @[el2_ifu_mem_ctl.scala 266:15] - reg _T_203 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 267:35] - _T_203 <= way_status_mb_scnd_in @[el2_ifu_mem_ctl.scala 267:35] + reg _T_203 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 267:64] + _T_203 <= way_status_mb_scnd_in @[el2_ifu_mem_ctl.scala 267:64] way_status_mb_scnd_ff <= _T_203 @[el2_ifu_mem_ctl.scala 267:25] - reg _T_204 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 268:29] - _T_204 <= tagv_mb_scnd_in @[el2_ifu_mem_ctl.scala 268:29] + reg _T_204 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 268:58] + _T_204 <= tagv_mb_scnd_in @[el2_ifu_mem_ctl.scala 268:58] tagv_mb_scnd_ff <= _T_204 @[el2_ifu_mem_ctl.scala 268:19] node _T_205 = bits(bus_ifu_wr_en_ff, 0, 0) @[Bitwise.scala 72:15] node _T_206 = mux(_T_205, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] @@ -509,15 +569,15 @@ circuit el2_ifu_mem_ctl : reset_ic_ff <= _T_300 @[el2_ifu_mem_ctl.scala 306:15] reg fetch_uncacheable_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 307:37] fetch_uncacheable_ff <= io.ifc_fetch_uncacheable_bf @[el2_ifu_mem_ctl.scala 307:37] - reg _T_301 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 308:34] - _T_301 <= io.ifc_fetch_addr_bf @[el2_ifu_mem_ctl.scala 308:34] + reg _T_301 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 308:63] + _T_301 <= io.ifc_fetch_addr_bf @[el2_ifu_mem_ctl.scala 308:63] ifu_fetch_addr_int_f <= _T_301 @[el2_ifu_mem_ctl.scala 308:24] node vaddr_f = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 309:37] - reg _T_302 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 310:33] - _T_302 <= uncacheable_miss_in @[el2_ifu_mem_ctl.scala 310:33] + reg _T_302 : UInt<1>, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 310:62] + _T_302 <= uncacheable_miss_in @[el2_ifu_mem_ctl.scala 310:62] uncacheable_miss_ff <= _T_302 @[el2_ifu_mem_ctl.scala 310:23] - reg _T_303 : UInt, clock @[el2_ifu_mem_ctl.scala 311:20] - _T_303 <= imb_in @[el2_ifu_mem_ctl.scala 311:20] + reg _T_303 : UInt, rvclkhdr_1.io.l1clk @[el2_ifu_mem_ctl.scala 311:49] + _T_303 <= imb_in @[el2_ifu_mem_ctl.scala 311:49] imb_ff <= _T_303 @[el2_ifu_mem_ctl.scala 311:10] wire miss_addr : UInt<26> miss_addr <= UInt<1>("h00") @@ -530,11 +590,11 @@ circuit el2_ifu_mem_ctl : reg _T_309 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 315:23] _T_309 <= miss_addr_in @[el2_ifu_mem_ctl.scala 315:23] miss_addr <= _T_309 @[el2_ifu_mem_ctl.scala 315:13] - reg _T_310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 316:30] - _T_310 <= way_status_mb_in @[el2_ifu_mem_ctl.scala 316:30] + reg _T_310 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 316:59] + _T_310 <= way_status_mb_in @[el2_ifu_mem_ctl.scala 316:59] way_status_mb_ff <= _T_310 @[el2_ifu_mem_ctl.scala 316:20] - reg _T_311 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 317:24] - _T_311 <= tagv_mb_in @[el2_ifu_mem_ctl.scala 317:24] + reg _T_311 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 317:53] + _T_311 <= tagv_mb_in @[el2_ifu_mem_ctl.scala 317:53] tagv_mb_ff <= _T_311 @[el2_ifu_mem_ctl.scala 317:14] wire stream_miss_f : UInt<1> stream_miss_f <= UInt<1>("h00") @@ -549,16 +609,16 @@ circuit el2_ifu_mem_ctl : node _T_317 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 321:44] node _T_318 = and(ifc_fetch_req_f_raw, _T_317) @[el2_ifu_mem_ctl.scala 321:42] ifc_fetch_req_f <= _T_318 @[el2_ifu_mem_ctl.scala 321:19] - reg _T_319 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 322:31] - _T_319 <= io.ifc_iccm_access_bf @[el2_ifu_mem_ctl.scala 322:31] + reg _T_319 : UInt<1>, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 322:60] + _T_319 <= io.ifc_iccm_access_bf @[el2_ifu_mem_ctl.scala 322:60] ifc_iccm_access_f <= _T_319 @[el2_ifu_mem_ctl.scala 322:21] wire ifc_region_acc_fault_final_bf : UInt<1> ifc_region_acc_fault_final_bf <= UInt<1>("h00") - reg _T_320 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 324:42] - _T_320 <= ifc_region_acc_fault_final_bf @[el2_ifu_mem_ctl.scala 324:42] + reg _T_320 : UInt<1>, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 324:71] + _T_320 <= ifc_region_acc_fault_final_bf @[el2_ifu_mem_ctl.scala 324:71] ifc_region_acc_fault_final_f <= _T_320 @[el2_ifu_mem_ctl.scala 324:32] - reg ifc_region_acc_fault_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 325:39] - ifc_region_acc_fault_f <= io.ifc_region_acc_fault_bf @[el2_ifu_mem_ctl.scala 325:39] + reg ifc_region_acc_fault_f : UInt<1>, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 325:68] + ifc_region_acc_fault_f <= io.ifc_region_acc_fault_bf @[el2_ifu_mem_ctl.scala 325:68] node ifu_ic_req_addr_f = cat(miss_addr, bus_rd_addr_count) @[Cat.scala 29:58] node _T_321 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 327:38] node _T_322 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 327:68] @@ -609,1256 +669,1256 @@ circuit el2_ifu_mem_ctl : ifu_bus_rdata_ff <= UInt<1>("h00") wire ic_miss_buff_half : UInt<64> ic_miss_buff_half <= UInt<1>("h00") - wire _T_350 : UInt<1>[35] @[el2_lib.scala 380:18] - wire _T_351 : UInt<1>[35] @[el2_lib.scala 381:18] - wire _T_352 : UInt<1>[35] @[el2_lib.scala 382:18] - wire _T_353 : UInt<1>[31] @[el2_lib.scala 383:18] - wire _T_354 : UInt<1>[31] @[el2_lib.scala 384:18] - wire _T_355 : UInt<1>[31] @[el2_lib.scala 385:18] - wire _T_356 : UInt<1>[7] @[el2_lib.scala 386:18] - node _T_357 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 393:36] - _T_350[0] <= _T_357 @[el2_lib.scala 393:30] - node _T_358 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 394:36] - _T_351[0] <= _T_358 @[el2_lib.scala 394:30] - node _T_359 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 393:36] - _T_350[1] <= _T_359 @[el2_lib.scala 393:30] - node _T_360 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 395:36] - _T_352[0] <= _T_360 @[el2_lib.scala 395:30] - node _T_361 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 394:36] - _T_351[1] <= _T_361 @[el2_lib.scala 394:30] - node _T_362 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 395:36] - _T_352[1] <= _T_362 @[el2_lib.scala 395:30] - node _T_363 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 393:36] - _T_350[2] <= _T_363 @[el2_lib.scala 393:30] - node _T_364 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 394:36] - _T_351[2] <= _T_364 @[el2_lib.scala 394:30] - node _T_365 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 395:36] - _T_352[2] <= _T_365 @[el2_lib.scala 395:30] - node _T_366 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 393:36] - _T_350[3] <= _T_366 @[el2_lib.scala 393:30] - node _T_367 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 396:36] - _T_353[0] <= _T_367 @[el2_lib.scala 396:30] - node _T_368 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 394:36] - _T_351[3] <= _T_368 @[el2_lib.scala 394:30] - node _T_369 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 396:36] - _T_353[1] <= _T_369 @[el2_lib.scala 396:30] - node _T_370 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 393:36] - _T_350[4] <= _T_370 @[el2_lib.scala 393:30] - node _T_371 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 394:36] - _T_351[4] <= _T_371 @[el2_lib.scala 394:30] - node _T_372 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 396:36] - _T_353[2] <= _T_372 @[el2_lib.scala 396:30] - node _T_373 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 395:36] - _T_352[3] <= _T_373 @[el2_lib.scala 395:30] - node _T_374 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 396:36] - _T_353[3] <= _T_374 @[el2_lib.scala 396:30] - node _T_375 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 393:36] - _T_350[5] <= _T_375 @[el2_lib.scala 393:30] - node _T_376 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 395:36] - _T_352[4] <= _T_376 @[el2_lib.scala 395:30] - node _T_377 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 396:36] - _T_353[4] <= _T_377 @[el2_lib.scala 396:30] - node _T_378 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 394:36] - _T_351[5] <= _T_378 @[el2_lib.scala 394:30] - node _T_379 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 395:36] - _T_352[5] <= _T_379 @[el2_lib.scala 395:30] - node _T_380 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 396:36] - _T_353[5] <= _T_380 @[el2_lib.scala 396:30] - node _T_381 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 393:36] - _T_350[6] <= _T_381 @[el2_lib.scala 393:30] - node _T_382 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 394:36] - _T_351[6] <= _T_382 @[el2_lib.scala 394:30] - node _T_383 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 395:36] - _T_352[6] <= _T_383 @[el2_lib.scala 395:30] - node _T_384 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 396:36] - _T_353[6] <= _T_384 @[el2_lib.scala 396:30] - node _T_385 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 393:36] - _T_350[7] <= _T_385 @[el2_lib.scala 393:30] - node _T_386 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 397:36] - _T_354[0] <= _T_386 @[el2_lib.scala 397:30] - node _T_387 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 394:36] - _T_351[7] <= _T_387 @[el2_lib.scala 394:30] - node _T_388 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 397:36] - _T_354[1] <= _T_388 @[el2_lib.scala 397:30] - node _T_389 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 393:36] - _T_350[8] <= _T_389 @[el2_lib.scala 393:30] - node _T_390 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 394:36] - _T_351[8] <= _T_390 @[el2_lib.scala 394:30] - node _T_391 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 397:36] - _T_354[2] <= _T_391 @[el2_lib.scala 397:30] - node _T_392 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 395:36] - _T_352[7] <= _T_392 @[el2_lib.scala 395:30] - node _T_393 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 397:36] - _T_354[3] <= _T_393 @[el2_lib.scala 397:30] - node _T_394 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 393:36] - _T_350[9] <= _T_394 @[el2_lib.scala 393:30] - node _T_395 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 395:36] - _T_352[8] <= _T_395 @[el2_lib.scala 395:30] - node _T_396 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 397:36] - _T_354[4] <= _T_396 @[el2_lib.scala 397:30] - node _T_397 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 394:36] - _T_351[9] <= _T_397 @[el2_lib.scala 394:30] - node _T_398 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 395:36] - _T_352[9] <= _T_398 @[el2_lib.scala 395:30] - node _T_399 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 397:36] - _T_354[5] <= _T_399 @[el2_lib.scala 397:30] - node _T_400 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 393:36] - _T_350[10] <= _T_400 @[el2_lib.scala 393:30] - node _T_401 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 394:36] - _T_351[10] <= _T_401 @[el2_lib.scala 394:30] - node _T_402 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 395:36] - _T_352[10] <= _T_402 @[el2_lib.scala 395:30] - node _T_403 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 397:36] - _T_354[6] <= _T_403 @[el2_lib.scala 397:30] - node _T_404 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 396:36] - _T_353[7] <= _T_404 @[el2_lib.scala 396:30] - node _T_405 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 397:36] - _T_354[7] <= _T_405 @[el2_lib.scala 397:30] - node _T_406 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 393:36] - _T_350[11] <= _T_406 @[el2_lib.scala 393:30] - node _T_407 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 396:36] - _T_353[8] <= _T_407 @[el2_lib.scala 396:30] - node _T_408 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 397:36] - _T_354[8] <= _T_408 @[el2_lib.scala 397:30] - node _T_409 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 394:36] - _T_351[11] <= _T_409 @[el2_lib.scala 394:30] - node _T_410 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 396:36] - _T_353[9] <= _T_410 @[el2_lib.scala 396:30] - node _T_411 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 397:36] - _T_354[9] <= _T_411 @[el2_lib.scala 397:30] - node _T_412 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 393:36] - _T_350[12] <= _T_412 @[el2_lib.scala 393:30] - node _T_413 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 394:36] - _T_351[12] <= _T_413 @[el2_lib.scala 394:30] - node _T_414 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 396:36] - _T_353[10] <= _T_414 @[el2_lib.scala 396:30] - node _T_415 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 397:36] - _T_354[10] <= _T_415 @[el2_lib.scala 397:30] - node _T_416 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 395:36] - _T_352[11] <= _T_416 @[el2_lib.scala 395:30] - node _T_417 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 396:36] - _T_353[11] <= _T_417 @[el2_lib.scala 396:30] - node _T_418 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 397:36] - _T_354[11] <= _T_418 @[el2_lib.scala 397:30] - node _T_419 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 393:36] - _T_350[13] <= _T_419 @[el2_lib.scala 393:30] - node _T_420 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 395:36] - _T_352[12] <= _T_420 @[el2_lib.scala 395:30] - node _T_421 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 396:36] - _T_353[12] <= _T_421 @[el2_lib.scala 396:30] - node _T_422 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 397:36] - _T_354[12] <= _T_422 @[el2_lib.scala 397:30] - node _T_423 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 394:36] - _T_351[13] <= _T_423 @[el2_lib.scala 394:30] - node _T_424 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 395:36] - _T_352[13] <= _T_424 @[el2_lib.scala 395:30] - node _T_425 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 396:36] - _T_353[13] <= _T_425 @[el2_lib.scala 396:30] - node _T_426 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 397:36] - _T_354[13] <= _T_426 @[el2_lib.scala 397:30] - node _T_427 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 393:36] - _T_350[14] <= _T_427 @[el2_lib.scala 393:30] - node _T_428 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 394:36] - _T_351[14] <= _T_428 @[el2_lib.scala 394:30] - node _T_429 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 395:36] - _T_352[14] <= _T_429 @[el2_lib.scala 395:30] - node _T_430 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 396:36] - _T_353[14] <= _T_430 @[el2_lib.scala 396:30] - node _T_431 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 397:36] - _T_354[14] <= _T_431 @[el2_lib.scala 397:30] - node _T_432 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 393:36] - _T_350[15] <= _T_432 @[el2_lib.scala 393:30] - node _T_433 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 398:36] - _T_355[0] <= _T_433 @[el2_lib.scala 398:30] - node _T_434 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 394:36] - _T_351[15] <= _T_434 @[el2_lib.scala 394:30] - node _T_435 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 398:36] - _T_355[1] <= _T_435 @[el2_lib.scala 398:30] - node _T_436 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 393:36] - _T_350[16] <= _T_436 @[el2_lib.scala 393:30] - node _T_437 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 394:36] - _T_351[16] <= _T_437 @[el2_lib.scala 394:30] - node _T_438 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 398:36] - _T_355[2] <= _T_438 @[el2_lib.scala 398:30] - node _T_439 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 395:36] - _T_352[15] <= _T_439 @[el2_lib.scala 395:30] - node _T_440 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 398:36] - _T_355[3] <= _T_440 @[el2_lib.scala 398:30] - node _T_441 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 393:36] - _T_350[17] <= _T_441 @[el2_lib.scala 393:30] - node _T_442 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 395:36] - _T_352[16] <= _T_442 @[el2_lib.scala 395:30] - node _T_443 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 398:36] - _T_355[4] <= _T_443 @[el2_lib.scala 398:30] - node _T_444 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 394:36] - _T_351[17] <= _T_444 @[el2_lib.scala 394:30] - node _T_445 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 395:36] - _T_352[17] <= _T_445 @[el2_lib.scala 395:30] - node _T_446 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 398:36] - _T_355[5] <= _T_446 @[el2_lib.scala 398:30] - node _T_447 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 393:36] - _T_350[18] <= _T_447 @[el2_lib.scala 393:30] - node _T_448 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 394:36] - _T_351[18] <= _T_448 @[el2_lib.scala 394:30] - node _T_449 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 395:36] - _T_352[18] <= _T_449 @[el2_lib.scala 395:30] - node _T_450 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 398:36] - _T_355[6] <= _T_450 @[el2_lib.scala 398:30] - node _T_451 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 396:36] - _T_353[15] <= _T_451 @[el2_lib.scala 396:30] - node _T_452 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 398:36] - _T_355[7] <= _T_452 @[el2_lib.scala 398:30] - node _T_453 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 393:36] - _T_350[19] <= _T_453 @[el2_lib.scala 393:30] - node _T_454 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 396:36] - _T_353[16] <= _T_454 @[el2_lib.scala 396:30] - node _T_455 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 398:36] - _T_355[8] <= _T_455 @[el2_lib.scala 398:30] - node _T_456 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 394:36] - _T_351[19] <= _T_456 @[el2_lib.scala 394:30] - node _T_457 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 396:36] - _T_353[17] <= _T_457 @[el2_lib.scala 396:30] - node _T_458 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 398:36] - _T_355[9] <= _T_458 @[el2_lib.scala 398:30] - node _T_459 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 393:36] - _T_350[20] <= _T_459 @[el2_lib.scala 393:30] - node _T_460 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 394:36] - _T_351[20] <= _T_460 @[el2_lib.scala 394:30] - node _T_461 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 396:36] - _T_353[18] <= _T_461 @[el2_lib.scala 396:30] - node _T_462 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 398:36] - _T_355[10] <= _T_462 @[el2_lib.scala 398:30] - node _T_463 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 395:36] - _T_352[19] <= _T_463 @[el2_lib.scala 395:30] - node _T_464 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 396:36] - _T_353[19] <= _T_464 @[el2_lib.scala 396:30] - node _T_465 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 398:36] - _T_355[11] <= _T_465 @[el2_lib.scala 398:30] - node _T_466 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 393:36] - _T_350[21] <= _T_466 @[el2_lib.scala 393:30] - node _T_467 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 395:36] - _T_352[20] <= _T_467 @[el2_lib.scala 395:30] - node _T_468 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 396:36] - _T_353[20] <= _T_468 @[el2_lib.scala 396:30] - node _T_469 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 398:36] - _T_355[12] <= _T_469 @[el2_lib.scala 398:30] - node _T_470 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 394:36] - _T_351[21] <= _T_470 @[el2_lib.scala 394:30] - node _T_471 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 395:36] - _T_352[21] <= _T_471 @[el2_lib.scala 395:30] - node _T_472 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 396:36] - _T_353[21] <= _T_472 @[el2_lib.scala 396:30] - node _T_473 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 398:36] - _T_355[13] <= _T_473 @[el2_lib.scala 398:30] - node _T_474 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 393:36] - _T_350[22] <= _T_474 @[el2_lib.scala 393:30] - node _T_475 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 394:36] - _T_351[22] <= _T_475 @[el2_lib.scala 394:30] - node _T_476 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 395:36] - _T_352[22] <= _T_476 @[el2_lib.scala 395:30] - node _T_477 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 396:36] - _T_353[22] <= _T_477 @[el2_lib.scala 396:30] - node _T_478 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 398:36] - _T_355[14] <= _T_478 @[el2_lib.scala 398:30] - node _T_479 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 397:36] - _T_354[15] <= _T_479 @[el2_lib.scala 397:30] - node _T_480 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 398:36] - _T_355[15] <= _T_480 @[el2_lib.scala 398:30] - node _T_481 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 393:36] - _T_350[23] <= _T_481 @[el2_lib.scala 393:30] - node _T_482 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 397:36] - _T_354[16] <= _T_482 @[el2_lib.scala 397:30] - node _T_483 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 398:36] - _T_355[16] <= _T_483 @[el2_lib.scala 398:30] - node _T_484 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 394:36] - _T_351[23] <= _T_484 @[el2_lib.scala 394:30] - node _T_485 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 397:36] - _T_354[17] <= _T_485 @[el2_lib.scala 397:30] - node _T_486 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 398:36] - _T_355[17] <= _T_486 @[el2_lib.scala 398:30] - node _T_487 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 393:36] - _T_350[24] <= _T_487 @[el2_lib.scala 393:30] - node _T_488 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 394:36] - _T_351[24] <= _T_488 @[el2_lib.scala 394:30] - node _T_489 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 397:36] - _T_354[18] <= _T_489 @[el2_lib.scala 397:30] - node _T_490 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 398:36] - _T_355[18] <= _T_490 @[el2_lib.scala 398:30] - node _T_491 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 395:36] - _T_352[23] <= _T_491 @[el2_lib.scala 395:30] - node _T_492 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 397:36] - _T_354[19] <= _T_492 @[el2_lib.scala 397:30] - node _T_493 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 398:36] - _T_355[19] <= _T_493 @[el2_lib.scala 398:30] - node _T_494 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 393:36] - _T_350[25] <= _T_494 @[el2_lib.scala 393:30] - node _T_495 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 395:36] - _T_352[24] <= _T_495 @[el2_lib.scala 395:30] - node _T_496 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 397:36] - _T_354[20] <= _T_496 @[el2_lib.scala 397:30] - node _T_497 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 398:36] - _T_355[20] <= _T_497 @[el2_lib.scala 398:30] - node _T_498 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 394:36] - _T_351[25] <= _T_498 @[el2_lib.scala 394:30] - node _T_499 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 395:36] - _T_352[25] <= _T_499 @[el2_lib.scala 395:30] - node _T_500 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 397:36] - _T_354[21] <= _T_500 @[el2_lib.scala 397:30] - node _T_501 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 398:36] - _T_355[21] <= _T_501 @[el2_lib.scala 398:30] - node _T_502 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 393:36] - _T_350[26] <= _T_502 @[el2_lib.scala 393:30] - node _T_503 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 394:36] - _T_351[26] <= _T_503 @[el2_lib.scala 394:30] - node _T_504 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 395:36] - _T_352[26] <= _T_504 @[el2_lib.scala 395:30] - node _T_505 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 397:36] - _T_354[22] <= _T_505 @[el2_lib.scala 397:30] - node _T_506 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 398:36] - _T_355[22] <= _T_506 @[el2_lib.scala 398:30] - node _T_507 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 396:36] - _T_353[23] <= _T_507 @[el2_lib.scala 396:30] - node _T_508 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 397:36] - _T_354[23] <= _T_508 @[el2_lib.scala 397:30] - node _T_509 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 398:36] - _T_355[23] <= _T_509 @[el2_lib.scala 398:30] - node _T_510 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 393:36] - _T_350[27] <= _T_510 @[el2_lib.scala 393:30] - node _T_511 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 396:36] - _T_353[24] <= _T_511 @[el2_lib.scala 396:30] - node _T_512 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 397:36] - _T_354[24] <= _T_512 @[el2_lib.scala 397:30] - node _T_513 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 398:36] - _T_355[24] <= _T_513 @[el2_lib.scala 398:30] - node _T_514 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 394:36] - _T_351[27] <= _T_514 @[el2_lib.scala 394:30] - node _T_515 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 396:36] - _T_353[25] <= _T_515 @[el2_lib.scala 396:30] - node _T_516 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 397:36] - _T_354[25] <= _T_516 @[el2_lib.scala 397:30] - node _T_517 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 398:36] - _T_355[25] <= _T_517 @[el2_lib.scala 398:30] - node _T_518 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 393:36] - _T_350[28] <= _T_518 @[el2_lib.scala 393:30] - node _T_519 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 394:36] - _T_351[28] <= _T_519 @[el2_lib.scala 394:30] - node _T_520 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 396:36] - _T_353[26] <= _T_520 @[el2_lib.scala 396:30] - node _T_521 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 397:36] - _T_354[26] <= _T_521 @[el2_lib.scala 397:30] - node _T_522 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 398:36] - _T_355[26] <= _T_522 @[el2_lib.scala 398:30] - node _T_523 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 395:36] - _T_352[27] <= _T_523 @[el2_lib.scala 395:30] - node _T_524 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 396:36] - _T_353[27] <= _T_524 @[el2_lib.scala 396:30] - node _T_525 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 397:36] - _T_354[27] <= _T_525 @[el2_lib.scala 397:30] - node _T_526 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 398:36] - _T_355[27] <= _T_526 @[el2_lib.scala 398:30] - node _T_527 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 393:36] - _T_350[29] <= _T_527 @[el2_lib.scala 393:30] - node _T_528 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 395:36] - _T_352[28] <= _T_528 @[el2_lib.scala 395:30] - node _T_529 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 396:36] - _T_353[28] <= _T_529 @[el2_lib.scala 396:30] - node _T_530 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 397:36] - _T_354[28] <= _T_530 @[el2_lib.scala 397:30] - node _T_531 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 398:36] - _T_355[28] <= _T_531 @[el2_lib.scala 398:30] - node _T_532 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 394:36] - _T_351[29] <= _T_532 @[el2_lib.scala 394:30] - node _T_533 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 395:36] - _T_352[29] <= _T_533 @[el2_lib.scala 395:30] - node _T_534 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 396:36] - _T_353[29] <= _T_534 @[el2_lib.scala 396:30] - node _T_535 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 397:36] - _T_354[29] <= _T_535 @[el2_lib.scala 397:30] - node _T_536 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 398:36] - _T_355[29] <= _T_536 @[el2_lib.scala 398:30] - node _T_537 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 393:36] - _T_350[30] <= _T_537 @[el2_lib.scala 393:30] - node _T_538 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 394:36] - _T_351[30] <= _T_538 @[el2_lib.scala 394:30] - node _T_539 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 395:36] - _T_352[30] <= _T_539 @[el2_lib.scala 395:30] - node _T_540 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 396:36] - _T_353[30] <= _T_540 @[el2_lib.scala 396:30] - node _T_541 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 397:36] - _T_354[30] <= _T_541 @[el2_lib.scala 397:30] - node _T_542 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 398:36] - _T_355[30] <= _T_542 @[el2_lib.scala 398:30] - node _T_543 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 393:36] - _T_350[31] <= _T_543 @[el2_lib.scala 393:30] - node _T_544 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 399:36] - _T_356[0] <= _T_544 @[el2_lib.scala 399:30] - node _T_545 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 394:36] - _T_351[31] <= _T_545 @[el2_lib.scala 394:30] - node _T_546 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 399:36] - _T_356[1] <= _T_546 @[el2_lib.scala 399:30] - node _T_547 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 393:36] - _T_350[32] <= _T_547 @[el2_lib.scala 393:30] - node _T_548 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 394:36] - _T_351[32] <= _T_548 @[el2_lib.scala 394:30] - node _T_549 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 399:36] - _T_356[2] <= _T_549 @[el2_lib.scala 399:30] - node _T_550 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 395:36] - _T_352[31] <= _T_550 @[el2_lib.scala 395:30] - node _T_551 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 399:36] - _T_356[3] <= _T_551 @[el2_lib.scala 399:30] - node _T_552 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 393:36] - _T_350[33] <= _T_552 @[el2_lib.scala 393:30] - node _T_553 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 395:36] - _T_352[32] <= _T_553 @[el2_lib.scala 395:30] - node _T_554 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 399:36] - _T_356[4] <= _T_554 @[el2_lib.scala 399:30] - node _T_555 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 394:36] - _T_351[33] <= _T_555 @[el2_lib.scala 394:30] - node _T_556 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 395:36] - _T_352[33] <= _T_556 @[el2_lib.scala 395:30] - node _T_557 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 399:36] - _T_356[5] <= _T_557 @[el2_lib.scala 399:30] - node _T_558 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 393:36] - _T_350[34] <= _T_558 @[el2_lib.scala 393:30] - node _T_559 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 394:36] - _T_351[34] <= _T_559 @[el2_lib.scala 394:30] - node _T_560 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 395:36] - _T_352[34] <= _T_560 @[el2_lib.scala 395:30] - node _T_561 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 399:36] - _T_356[6] <= _T_561 @[el2_lib.scala 399:30] - node _T_562 = cat(_T_356[2], _T_356[1]) @[el2_lib.scala 401:13] - node _T_563 = cat(_T_562, _T_356[0]) @[el2_lib.scala 401:13] - node _T_564 = cat(_T_356[4], _T_356[3]) @[el2_lib.scala 401:13] - node _T_565 = cat(_T_356[6], _T_356[5]) @[el2_lib.scala 401:13] - node _T_566 = cat(_T_565, _T_564) @[el2_lib.scala 401:13] - node _T_567 = cat(_T_566, _T_563) @[el2_lib.scala 401:13] - node _T_568 = xorr(_T_567) @[el2_lib.scala 401:20] - node _T_569 = cat(_T_355[2], _T_355[1]) @[el2_lib.scala 401:30] - node _T_570 = cat(_T_569, _T_355[0]) @[el2_lib.scala 401:30] - node _T_571 = cat(_T_355[4], _T_355[3]) @[el2_lib.scala 401:30] - node _T_572 = cat(_T_355[6], _T_355[5]) @[el2_lib.scala 401:30] - node _T_573 = cat(_T_572, _T_571) @[el2_lib.scala 401:30] - node _T_574 = cat(_T_573, _T_570) @[el2_lib.scala 401:30] - node _T_575 = cat(_T_355[8], _T_355[7]) @[el2_lib.scala 401:30] - node _T_576 = cat(_T_355[10], _T_355[9]) @[el2_lib.scala 401:30] - node _T_577 = cat(_T_576, _T_575) @[el2_lib.scala 401:30] - node _T_578 = cat(_T_355[12], _T_355[11]) @[el2_lib.scala 401:30] - node _T_579 = cat(_T_355[14], _T_355[13]) @[el2_lib.scala 401:30] - node _T_580 = cat(_T_579, _T_578) @[el2_lib.scala 401:30] - node _T_581 = cat(_T_580, _T_577) @[el2_lib.scala 401:30] - node _T_582 = cat(_T_581, _T_574) @[el2_lib.scala 401:30] - node _T_583 = cat(_T_355[16], _T_355[15]) @[el2_lib.scala 401:30] - node _T_584 = cat(_T_355[18], _T_355[17]) @[el2_lib.scala 401:30] - node _T_585 = cat(_T_584, _T_583) @[el2_lib.scala 401:30] - node _T_586 = cat(_T_355[20], _T_355[19]) @[el2_lib.scala 401:30] - node _T_587 = cat(_T_355[22], _T_355[21]) @[el2_lib.scala 401:30] - node _T_588 = cat(_T_587, _T_586) @[el2_lib.scala 401:30] - node _T_589 = cat(_T_588, _T_585) @[el2_lib.scala 401:30] - node _T_590 = cat(_T_355[24], _T_355[23]) @[el2_lib.scala 401:30] - node _T_591 = cat(_T_355[26], _T_355[25]) @[el2_lib.scala 401:30] - node _T_592 = cat(_T_591, _T_590) @[el2_lib.scala 401:30] - node _T_593 = cat(_T_355[28], _T_355[27]) @[el2_lib.scala 401:30] - node _T_594 = cat(_T_355[30], _T_355[29]) @[el2_lib.scala 401:30] - node _T_595 = cat(_T_594, _T_593) @[el2_lib.scala 401:30] - node _T_596 = cat(_T_595, _T_592) @[el2_lib.scala 401:30] - node _T_597 = cat(_T_596, _T_589) @[el2_lib.scala 401:30] - node _T_598 = cat(_T_597, _T_582) @[el2_lib.scala 401:30] - node _T_599 = xorr(_T_598) @[el2_lib.scala 401:37] - node _T_600 = cat(_T_354[2], _T_354[1]) @[el2_lib.scala 401:47] - node _T_601 = cat(_T_600, _T_354[0]) @[el2_lib.scala 401:47] - node _T_602 = cat(_T_354[4], _T_354[3]) @[el2_lib.scala 401:47] - node _T_603 = cat(_T_354[6], _T_354[5]) @[el2_lib.scala 401:47] - node _T_604 = cat(_T_603, _T_602) @[el2_lib.scala 401:47] - node _T_605 = cat(_T_604, _T_601) @[el2_lib.scala 401:47] - node _T_606 = cat(_T_354[8], _T_354[7]) @[el2_lib.scala 401:47] - node _T_607 = cat(_T_354[10], _T_354[9]) @[el2_lib.scala 401:47] - node _T_608 = cat(_T_607, _T_606) @[el2_lib.scala 401:47] - node _T_609 = cat(_T_354[12], _T_354[11]) @[el2_lib.scala 401:47] - node _T_610 = cat(_T_354[14], _T_354[13]) @[el2_lib.scala 401:47] - node _T_611 = cat(_T_610, _T_609) @[el2_lib.scala 401:47] - node _T_612 = cat(_T_611, _T_608) @[el2_lib.scala 401:47] - node _T_613 = cat(_T_612, _T_605) @[el2_lib.scala 401:47] - node _T_614 = cat(_T_354[16], _T_354[15]) @[el2_lib.scala 401:47] - node _T_615 = cat(_T_354[18], _T_354[17]) @[el2_lib.scala 401:47] - node _T_616 = cat(_T_615, _T_614) @[el2_lib.scala 401:47] - node _T_617 = cat(_T_354[20], _T_354[19]) @[el2_lib.scala 401:47] - node _T_618 = cat(_T_354[22], _T_354[21]) @[el2_lib.scala 401:47] - node _T_619 = cat(_T_618, _T_617) @[el2_lib.scala 401:47] - node _T_620 = cat(_T_619, _T_616) @[el2_lib.scala 401:47] - node _T_621 = cat(_T_354[24], _T_354[23]) @[el2_lib.scala 401:47] - node _T_622 = cat(_T_354[26], _T_354[25]) @[el2_lib.scala 401:47] - node _T_623 = cat(_T_622, _T_621) @[el2_lib.scala 401:47] - node _T_624 = cat(_T_354[28], _T_354[27]) @[el2_lib.scala 401:47] - node _T_625 = cat(_T_354[30], _T_354[29]) @[el2_lib.scala 401:47] - node _T_626 = cat(_T_625, _T_624) @[el2_lib.scala 401:47] - node _T_627 = cat(_T_626, _T_623) @[el2_lib.scala 401:47] - node _T_628 = cat(_T_627, _T_620) @[el2_lib.scala 401:47] - node _T_629 = cat(_T_628, _T_613) @[el2_lib.scala 401:47] - node _T_630 = xorr(_T_629) @[el2_lib.scala 401:54] - node _T_631 = cat(_T_353[2], _T_353[1]) @[el2_lib.scala 401:64] - node _T_632 = cat(_T_631, _T_353[0]) @[el2_lib.scala 401:64] - node _T_633 = cat(_T_353[4], _T_353[3]) @[el2_lib.scala 401:64] - node _T_634 = cat(_T_353[6], _T_353[5]) @[el2_lib.scala 401:64] - node _T_635 = cat(_T_634, _T_633) @[el2_lib.scala 401:64] - node _T_636 = cat(_T_635, _T_632) @[el2_lib.scala 401:64] - node _T_637 = cat(_T_353[8], _T_353[7]) @[el2_lib.scala 401:64] - node _T_638 = cat(_T_353[10], _T_353[9]) @[el2_lib.scala 401:64] - node _T_639 = cat(_T_638, _T_637) @[el2_lib.scala 401:64] - node _T_640 = cat(_T_353[12], _T_353[11]) @[el2_lib.scala 401:64] - node _T_641 = cat(_T_353[14], _T_353[13]) @[el2_lib.scala 401:64] - node _T_642 = cat(_T_641, _T_640) @[el2_lib.scala 401:64] - node _T_643 = cat(_T_642, _T_639) @[el2_lib.scala 401:64] - node _T_644 = cat(_T_643, _T_636) @[el2_lib.scala 401:64] - node _T_645 = cat(_T_353[16], _T_353[15]) @[el2_lib.scala 401:64] - node _T_646 = cat(_T_353[18], _T_353[17]) @[el2_lib.scala 401:64] - node _T_647 = cat(_T_646, _T_645) @[el2_lib.scala 401:64] - node _T_648 = cat(_T_353[20], _T_353[19]) @[el2_lib.scala 401:64] - node _T_649 = cat(_T_353[22], _T_353[21]) @[el2_lib.scala 401:64] - node _T_650 = cat(_T_649, _T_648) @[el2_lib.scala 401:64] - node _T_651 = cat(_T_650, _T_647) @[el2_lib.scala 401:64] - node _T_652 = cat(_T_353[24], _T_353[23]) @[el2_lib.scala 401:64] - node _T_653 = cat(_T_353[26], _T_353[25]) @[el2_lib.scala 401:64] - node _T_654 = cat(_T_653, _T_652) @[el2_lib.scala 401:64] - node _T_655 = cat(_T_353[28], _T_353[27]) @[el2_lib.scala 401:64] - node _T_656 = cat(_T_353[30], _T_353[29]) @[el2_lib.scala 401:64] - node _T_657 = cat(_T_656, _T_655) @[el2_lib.scala 401:64] - node _T_658 = cat(_T_657, _T_654) @[el2_lib.scala 401:64] - node _T_659 = cat(_T_658, _T_651) @[el2_lib.scala 401:64] - node _T_660 = cat(_T_659, _T_644) @[el2_lib.scala 401:64] - node _T_661 = xorr(_T_660) @[el2_lib.scala 401:71] - node _T_662 = cat(_T_352[1], _T_352[0]) @[el2_lib.scala 401:81] - node _T_663 = cat(_T_352[3], _T_352[2]) @[el2_lib.scala 401:81] - node _T_664 = cat(_T_663, _T_662) @[el2_lib.scala 401:81] - node _T_665 = cat(_T_352[5], _T_352[4]) @[el2_lib.scala 401:81] - node _T_666 = cat(_T_352[7], _T_352[6]) @[el2_lib.scala 401:81] - node _T_667 = cat(_T_666, _T_665) @[el2_lib.scala 401:81] - node _T_668 = cat(_T_667, _T_664) @[el2_lib.scala 401:81] - node _T_669 = cat(_T_352[9], _T_352[8]) @[el2_lib.scala 401:81] - node _T_670 = cat(_T_352[11], _T_352[10]) @[el2_lib.scala 401:81] - node _T_671 = cat(_T_670, _T_669) @[el2_lib.scala 401:81] - node _T_672 = cat(_T_352[13], _T_352[12]) @[el2_lib.scala 401:81] - node _T_673 = cat(_T_352[16], _T_352[15]) @[el2_lib.scala 401:81] - node _T_674 = cat(_T_673, _T_352[14]) @[el2_lib.scala 401:81] - node _T_675 = cat(_T_674, _T_672) @[el2_lib.scala 401:81] - node _T_676 = cat(_T_675, _T_671) @[el2_lib.scala 401:81] - node _T_677 = cat(_T_676, _T_668) @[el2_lib.scala 401:81] - node _T_678 = cat(_T_352[18], _T_352[17]) @[el2_lib.scala 401:81] - node _T_679 = cat(_T_352[20], _T_352[19]) @[el2_lib.scala 401:81] - node _T_680 = cat(_T_679, _T_678) @[el2_lib.scala 401:81] - node _T_681 = cat(_T_352[22], _T_352[21]) @[el2_lib.scala 401:81] - node _T_682 = cat(_T_352[25], _T_352[24]) @[el2_lib.scala 401:81] - node _T_683 = cat(_T_682, _T_352[23]) @[el2_lib.scala 401:81] - node _T_684 = cat(_T_683, _T_681) @[el2_lib.scala 401:81] - node _T_685 = cat(_T_684, _T_680) @[el2_lib.scala 401:81] - node _T_686 = cat(_T_352[27], _T_352[26]) @[el2_lib.scala 401:81] - node _T_687 = cat(_T_352[29], _T_352[28]) @[el2_lib.scala 401:81] - node _T_688 = cat(_T_687, _T_686) @[el2_lib.scala 401:81] - node _T_689 = cat(_T_352[31], _T_352[30]) @[el2_lib.scala 401:81] - node _T_690 = cat(_T_352[34], _T_352[33]) @[el2_lib.scala 401:81] - node _T_691 = cat(_T_690, _T_352[32]) @[el2_lib.scala 401:81] - node _T_692 = cat(_T_691, _T_689) @[el2_lib.scala 401:81] - node _T_693 = cat(_T_692, _T_688) @[el2_lib.scala 401:81] - node _T_694 = cat(_T_693, _T_685) @[el2_lib.scala 401:81] - node _T_695 = cat(_T_694, _T_677) @[el2_lib.scala 401:81] - node _T_696 = xorr(_T_695) @[el2_lib.scala 401:88] - node _T_697 = cat(_T_351[1], _T_351[0]) @[el2_lib.scala 401:98] - node _T_698 = cat(_T_351[3], _T_351[2]) @[el2_lib.scala 401:98] - node _T_699 = cat(_T_698, _T_697) @[el2_lib.scala 401:98] - node _T_700 = cat(_T_351[5], _T_351[4]) @[el2_lib.scala 401:98] - node _T_701 = cat(_T_351[7], _T_351[6]) @[el2_lib.scala 401:98] - node _T_702 = cat(_T_701, _T_700) @[el2_lib.scala 401:98] - node _T_703 = cat(_T_702, _T_699) @[el2_lib.scala 401:98] - node _T_704 = cat(_T_351[9], _T_351[8]) @[el2_lib.scala 401:98] - node _T_705 = cat(_T_351[11], _T_351[10]) @[el2_lib.scala 401:98] - node _T_706 = cat(_T_705, _T_704) @[el2_lib.scala 401:98] - node _T_707 = cat(_T_351[13], _T_351[12]) @[el2_lib.scala 401:98] - node _T_708 = cat(_T_351[16], _T_351[15]) @[el2_lib.scala 401:98] - node _T_709 = cat(_T_708, _T_351[14]) @[el2_lib.scala 401:98] - node _T_710 = cat(_T_709, _T_707) @[el2_lib.scala 401:98] - node _T_711 = cat(_T_710, _T_706) @[el2_lib.scala 401:98] - node _T_712 = cat(_T_711, _T_703) @[el2_lib.scala 401:98] - node _T_713 = cat(_T_351[18], _T_351[17]) @[el2_lib.scala 401:98] - node _T_714 = cat(_T_351[20], _T_351[19]) @[el2_lib.scala 401:98] - node _T_715 = cat(_T_714, _T_713) @[el2_lib.scala 401:98] - node _T_716 = cat(_T_351[22], _T_351[21]) @[el2_lib.scala 401:98] - node _T_717 = cat(_T_351[25], _T_351[24]) @[el2_lib.scala 401:98] - node _T_718 = cat(_T_717, _T_351[23]) @[el2_lib.scala 401:98] - node _T_719 = cat(_T_718, _T_716) @[el2_lib.scala 401:98] - node _T_720 = cat(_T_719, _T_715) @[el2_lib.scala 401:98] - node _T_721 = cat(_T_351[27], _T_351[26]) @[el2_lib.scala 401:98] - node _T_722 = cat(_T_351[29], _T_351[28]) @[el2_lib.scala 401:98] - node _T_723 = cat(_T_722, _T_721) @[el2_lib.scala 401:98] - node _T_724 = cat(_T_351[31], _T_351[30]) @[el2_lib.scala 401:98] - node _T_725 = cat(_T_351[34], _T_351[33]) @[el2_lib.scala 401:98] - node _T_726 = cat(_T_725, _T_351[32]) @[el2_lib.scala 401:98] - node _T_727 = cat(_T_726, _T_724) @[el2_lib.scala 401:98] - node _T_728 = cat(_T_727, _T_723) @[el2_lib.scala 401:98] - node _T_729 = cat(_T_728, _T_720) @[el2_lib.scala 401:98] - node _T_730 = cat(_T_729, _T_712) @[el2_lib.scala 401:98] - node _T_731 = xorr(_T_730) @[el2_lib.scala 401:105] - node _T_732 = cat(_T_350[1], _T_350[0]) @[el2_lib.scala 401:115] - node _T_733 = cat(_T_350[3], _T_350[2]) @[el2_lib.scala 401:115] - node _T_734 = cat(_T_733, _T_732) @[el2_lib.scala 401:115] - node _T_735 = cat(_T_350[5], _T_350[4]) @[el2_lib.scala 401:115] - node _T_736 = cat(_T_350[7], _T_350[6]) @[el2_lib.scala 401:115] - node _T_737 = cat(_T_736, _T_735) @[el2_lib.scala 401:115] - node _T_738 = cat(_T_737, _T_734) @[el2_lib.scala 401:115] - node _T_739 = cat(_T_350[9], _T_350[8]) @[el2_lib.scala 401:115] - node _T_740 = cat(_T_350[11], _T_350[10]) @[el2_lib.scala 401:115] - node _T_741 = cat(_T_740, _T_739) @[el2_lib.scala 401:115] - node _T_742 = cat(_T_350[13], _T_350[12]) @[el2_lib.scala 401:115] - node _T_743 = cat(_T_350[16], _T_350[15]) @[el2_lib.scala 401:115] - node _T_744 = cat(_T_743, _T_350[14]) @[el2_lib.scala 401:115] - node _T_745 = cat(_T_744, _T_742) @[el2_lib.scala 401:115] - node _T_746 = cat(_T_745, _T_741) @[el2_lib.scala 401:115] - node _T_747 = cat(_T_746, _T_738) @[el2_lib.scala 401:115] - node _T_748 = cat(_T_350[18], _T_350[17]) @[el2_lib.scala 401:115] - node _T_749 = cat(_T_350[20], _T_350[19]) @[el2_lib.scala 401:115] - node _T_750 = cat(_T_749, _T_748) @[el2_lib.scala 401:115] - node _T_751 = cat(_T_350[22], _T_350[21]) @[el2_lib.scala 401:115] - node _T_752 = cat(_T_350[25], _T_350[24]) @[el2_lib.scala 401:115] - node _T_753 = cat(_T_752, _T_350[23]) @[el2_lib.scala 401:115] - node _T_754 = cat(_T_753, _T_751) @[el2_lib.scala 401:115] - node _T_755 = cat(_T_754, _T_750) @[el2_lib.scala 401:115] - node _T_756 = cat(_T_350[27], _T_350[26]) @[el2_lib.scala 401:115] - node _T_757 = cat(_T_350[29], _T_350[28]) @[el2_lib.scala 401:115] - node _T_758 = cat(_T_757, _T_756) @[el2_lib.scala 401:115] - node _T_759 = cat(_T_350[31], _T_350[30]) @[el2_lib.scala 401:115] - node _T_760 = cat(_T_350[34], _T_350[33]) @[el2_lib.scala 401:115] - node _T_761 = cat(_T_760, _T_350[32]) @[el2_lib.scala 401:115] - node _T_762 = cat(_T_761, _T_759) @[el2_lib.scala 401:115] - node _T_763 = cat(_T_762, _T_758) @[el2_lib.scala 401:115] - node _T_764 = cat(_T_763, _T_755) @[el2_lib.scala 401:115] - node _T_765 = cat(_T_764, _T_747) @[el2_lib.scala 401:115] - node _T_766 = xorr(_T_765) @[el2_lib.scala 401:122] + wire _T_350 : UInt<1>[35] @[el2_lib.scala 373:18] + wire _T_351 : UInt<1>[35] @[el2_lib.scala 374:18] + wire _T_352 : UInt<1>[35] @[el2_lib.scala 375:18] + wire _T_353 : UInt<1>[31] @[el2_lib.scala 376:18] + wire _T_354 : UInt<1>[31] @[el2_lib.scala 377:18] + wire _T_355 : UInt<1>[31] @[el2_lib.scala 378:18] + wire _T_356 : UInt<1>[7] @[el2_lib.scala 379:18] + node _T_357 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 386:36] + _T_350[0] <= _T_357 @[el2_lib.scala 386:30] + node _T_358 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 387:36] + _T_351[0] <= _T_358 @[el2_lib.scala 387:30] + node _T_359 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 386:36] + _T_350[1] <= _T_359 @[el2_lib.scala 386:30] + node _T_360 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 388:36] + _T_352[0] <= _T_360 @[el2_lib.scala 388:30] + node _T_361 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 387:36] + _T_351[1] <= _T_361 @[el2_lib.scala 387:30] + node _T_362 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 388:36] + _T_352[1] <= _T_362 @[el2_lib.scala 388:30] + node _T_363 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 386:36] + _T_350[2] <= _T_363 @[el2_lib.scala 386:30] + node _T_364 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 387:36] + _T_351[2] <= _T_364 @[el2_lib.scala 387:30] + node _T_365 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 388:36] + _T_352[2] <= _T_365 @[el2_lib.scala 388:30] + node _T_366 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 386:36] + _T_350[3] <= _T_366 @[el2_lib.scala 386:30] + node _T_367 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 389:36] + _T_353[0] <= _T_367 @[el2_lib.scala 389:30] + node _T_368 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 387:36] + _T_351[3] <= _T_368 @[el2_lib.scala 387:30] + node _T_369 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 389:36] + _T_353[1] <= _T_369 @[el2_lib.scala 389:30] + node _T_370 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 386:36] + _T_350[4] <= _T_370 @[el2_lib.scala 386:30] + node _T_371 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 387:36] + _T_351[4] <= _T_371 @[el2_lib.scala 387:30] + node _T_372 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 389:36] + _T_353[2] <= _T_372 @[el2_lib.scala 389:30] + node _T_373 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 388:36] + _T_352[3] <= _T_373 @[el2_lib.scala 388:30] + node _T_374 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 389:36] + _T_353[3] <= _T_374 @[el2_lib.scala 389:30] + node _T_375 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 386:36] + _T_350[5] <= _T_375 @[el2_lib.scala 386:30] + node _T_376 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 388:36] + _T_352[4] <= _T_376 @[el2_lib.scala 388:30] + node _T_377 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 389:36] + _T_353[4] <= _T_377 @[el2_lib.scala 389:30] + node _T_378 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 387:36] + _T_351[5] <= _T_378 @[el2_lib.scala 387:30] + node _T_379 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 388:36] + _T_352[5] <= _T_379 @[el2_lib.scala 388:30] + node _T_380 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 389:36] + _T_353[5] <= _T_380 @[el2_lib.scala 389:30] + node _T_381 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 386:36] + _T_350[6] <= _T_381 @[el2_lib.scala 386:30] + node _T_382 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 387:36] + _T_351[6] <= _T_382 @[el2_lib.scala 387:30] + node _T_383 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 388:36] + _T_352[6] <= _T_383 @[el2_lib.scala 388:30] + node _T_384 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 389:36] + _T_353[6] <= _T_384 @[el2_lib.scala 389:30] + node _T_385 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 386:36] + _T_350[7] <= _T_385 @[el2_lib.scala 386:30] + node _T_386 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 390:36] + _T_354[0] <= _T_386 @[el2_lib.scala 390:30] + node _T_387 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 387:36] + _T_351[7] <= _T_387 @[el2_lib.scala 387:30] + node _T_388 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 390:36] + _T_354[1] <= _T_388 @[el2_lib.scala 390:30] + node _T_389 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 386:36] + _T_350[8] <= _T_389 @[el2_lib.scala 386:30] + node _T_390 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 387:36] + _T_351[8] <= _T_390 @[el2_lib.scala 387:30] + node _T_391 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 390:36] + _T_354[2] <= _T_391 @[el2_lib.scala 390:30] + node _T_392 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 388:36] + _T_352[7] <= _T_392 @[el2_lib.scala 388:30] + node _T_393 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 390:36] + _T_354[3] <= _T_393 @[el2_lib.scala 390:30] + node _T_394 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 386:36] + _T_350[9] <= _T_394 @[el2_lib.scala 386:30] + node _T_395 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 388:36] + _T_352[8] <= _T_395 @[el2_lib.scala 388:30] + node _T_396 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 390:36] + _T_354[4] <= _T_396 @[el2_lib.scala 390:30] + node _T_397 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 387:36] + _T_351[9] <= _T_397 @[el2_lib.scala 387:30] + node _T_398 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 388:36] + _T_352[9] <= _T_398 @[el2_lib.scala 388:30] + node _T_399 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 390:36] + _T_354[5] <= _T_399 @[el2_lib.scala 390:30] + node _T_400 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 386:36] + _T_350[10] <= _T_400 @[el2_lib.scala 386:30] + node _T_401 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 387:36] + _T_351[10] <= _T_401 @[el2_lib.scala 387:30] + node _T_402 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 388:36] + _T_352[10] <= _T_402 @[el2_lib.scala 388:30] + node _T_403 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 390:36] + _T_354[6] <= _T_403 @[el2_lib.scala 390:30] + node _T_404 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 389:36] + _T_353[7] <= _T_404 @[el2_lib.scala 389:30] + node _T_405 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 390:36] + _T_354[7] <= _T_405 @[el2_lib.scala 390:30] + node _T_406 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 386:36] + _T_350[11] <= _T_406 @[el2_lib.scala 386:30] + node _T_407 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 389:36] + _T_353[8] <= _T_407 @[el2_lib.scala 389:30] + node _T_408 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 390:36] + _T_354[8] <= _T_408 @[el2_lib.scala 390:30] + node _T_409 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 387:36] + _T_351[11] <= _T_409 @[el2_lib.scala 387:30] + node _T_410 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 389:36] + _T_353[9] <= _T_410 @[el2_lib.scala 389:30] + node _T_411 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 390:36] + _T_354[9] <= _T_411 @[el2_lib.scala 390:30] + node _T_412 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 386:36] + _T_350[12] <= _T_412 @[el2_lib.scala 386:30] + node _T_413 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 387:36] + _T_351[12] <= _T_413 @[el2_lib.scala 387:30] + node _T_414 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 389:36] + _T_353[10] <= _T_414 @[el2_lib.scala 389:30] + node _T_415 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 390:36] + _T_354[10] <= _T_415 @[el2_lib.scala 390:30] + node _T_416 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 388:36] + _T_352[11] <= _T_416 @[el2_lib.scala 388:30] + node _T_417 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 389:36] + _T_353[11] <= _T_417 @[el2_lib.scala 389:30] + node _T_418 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 390:36] + _T_354[11] <= _T_418 @[el2_lib.scala 390:30] + node _T_419 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 386:36] + _T_350[13] <= _T_419 @[el2_lib.scala 386:30] + node _T_420 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 388:36] + _T_352[12] <= _T_420 @[el2_lib.scala 388:30] + node _T_421 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 389:36] + _T_353[12] <= _T_421 @[el2_lib.scala 389:30] + node _T_422 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 390:36] + _T_354[12] <= _T_422 @[el2_lib.scala 390:30] + node _T_423 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 387:36] + _T_351[13] <= _T_423 @[el2_lib.scala 387:30] + node _T_424 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 388:36] + _T_352[13] <= _T_424 @[el2_lib.scala 388:30] + node _T_425 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 389:36] + _T_353[13] <= _T_425 @[el2_lib.scala 389:30] + node _T_426 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 390:36] + _T_354[13] <= _T_426 @[el2_lib.scala 390:30] + node _T_427 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 386:36] + _T_350[14] <= _T_427 @[el2_lib.scala 386:30] + node _T_428 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 387:36] + _T_351[14] <= _T_428 @[el2_lib.scala 387:30] + node _T_429 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 388:36] + _T_352[14] <= _T_429 @[el2_lib.scala 388:30] + node _T_430 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 389:36] + _T_353[14] <= _T_430 @[el2_lib.scala 389:30] + node _T_431 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 390:36] + _T_354[14] <= _T_431 @[el2_lib.scala 390:30] + node _T_432 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 386:36] + _T_350[15] <= _T_432 @[el2_lib.scala 386:30] + node _T_433 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 391:36] + _T_355[0] <= _T_433 @[el2_lib.scala 391:30] + node _T_434 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 387:36] + _T_351[15] <= _T_434 @[el2_lib.scala 387:30] + node _T_435 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 391:36] + _T_355[1] <= _T_435 @[el2_lib.scala 391:30] + node _T_436 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 386:36] + _T_350[16] <= _T_436 @[el2_lib.scala 386:30] + node _T_437 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 387:36] + _T_351[16] <= _T_437 @[el2_lib.scala 387:30] + node _T_438 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 391:36] + _T_355[2] <= _T_438 @[el2_lib.scala 391:30] + node _T_439 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 388:36] + _T_352[15] <= _T_439 @[el2_lib.scala 388:30] + node _T_440 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 391:36] + _T_355[3] <= _T_440 @[el2_lib.scala 391:30] + node _T_441 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 386:36] + _T_350[17] <= _T_441 @[el2_lib.scala 386:30] + node _T_442 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 388:36] + _T_352[16] <= _T_442 @[el2_lib.scala 388:30] + node _T_443 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 391:36] + _T_355[4] <= _T_443 @[el2_lib.scala 391:30] + node _T_444 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 387:36] + _T_351[17] <= _T_444 @[el2_lib.scala 387:30] + node _T_445 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 388:36] + _T_352[17] <= _T_445 @[el2_lib.scala 388:30] + node _T_446 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 391:36] + _T_355[5] <= _T_446 @[el2_lib.scala 391:30] + node _T_447 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 386:36] + _T_350[18] <= _T_447 @[el2_lib.scala 386:30] + node _T_448 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 387:36] + _T_351[18] <= _T_448 @[el2_lib.scala 387:30] + node _T_449 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 388:36] + _T_352[18] <= _T_449 @[el2_lib.scala 388:30] + node _T_450 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 391:36] + _T_355[6] <= _T_450 @[el2_lib.scala 391:30] + node _T_451 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 389:36] + _T_353[15] <= _T_451 @[el2_lib.scala 389:30] + node _T_452 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 391:36] + _T_355[7] <= _T_452 @[el2_lib.scala 391:30] + node _T_453 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 386:36] + _T_350[19] <= _T_453 @[el2_lib.scala 386:30] + node _T_454 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 389:36] + _T_353[16] <= _T_454 @[el2_lib.scala 389:30] + node _T_455 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 391:36] + _T_355[8] <= _T_455 @[el2_lib.scala 391:30] + node _T_456 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 387:36] + _T_351[19] <= _T_456 @[el2_lib.scala 387:30] + node _T_457 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 389:36] + _T_353[17] <= _T_457 @[el2_lib.scala 389:30] + node _T_458 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 391:36] + _T_355[9] <= _T_458 @[el2_lib.scala 391:30] + node _T_459 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 386:36] + _T_350[20] <= _T_459 @[el2_lib.scala 386:30] + node _T_460 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 387:36] + _T_351[20] <= _T_460 @[el2_lib.scala 387:30] + node _T_461 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 389:36] + _T_353[18] <= _T_461 @[el2_lib.scala 389:30] + node _T_462 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 391:36] + _T_355[10] <= _T_462 @[el2_lib.scala 391:30] + node _T_463 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 388:36] + _T_352[19] <= _T_463 @[el2_lib.scala 388:30] + node _T_464 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 389:36] + _T_353[19] <= _T_464 @[el2_lib.scala 389:30] + node _T_465 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 391:36] + _T_355[11] <= _T_465 @[el2_lib.scala 391:30] + node _T_466 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 386:36] + _T_350[21] <= _T_466 @[el2_lib.scala 386:30] + node _T_467 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 388:36] + _T_352[20] <= _T_467 @[el2_lib.scala 388:30] + node _T_468 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 389:36] + _T_353[20] <= _T_468 @[el2_lib.scala 389:30] + node _T_469 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 391:36] + _T_355[12] <= _T_469 @[el2_lib.scala 391:30] + node _T_470 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 387:36] + _T_351[21] <= _T_470 @[el2_lib.scala 387:30] + node _T_471 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 388:36] + _T_352[21] <= _T_471 @[el2_lib.scala 388:30] + node _T_472 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 389:36] + _T_353[21] <= _T_472 @[el2_lib.scala 389:30] + node _T_473 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 391:36] + _T_355[13] <= _T_473 @[el2_lib.scala 391:30] + node _T_474 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 386:36] + _T_350[22] <= _T_474 @[el2_lib.scala 386:30] + node _T_475 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 387:36] + _T_351[22] <= _T_475 @[el2_lib.scala 387:30] + node _T_476 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 388:36] + _T_352[22] <= _T_476 @[el2_lib.scala 388:30] + node _T_477 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 389:36] + _T_353[22] <= _T_477 @[el2_lib.scala 389:30] + node _T_478 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 391:36] + _T_355[14] <= _T_478 @[el2_lib.scala 391:30] + node _T_479 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 390:36] + _T_354[15] <= _T_479 @[el2_lib.scala 390:30] + node _T_480 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 391:36] + _T_355[15] <= _T_480 @[el2_lib.scala 391:30] + node _T_481 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 386:36] + _T_350[23] <= _T_481 @[el2_lib.scala 386:30] + node _T_482 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 390:36] + _T_354[16] <= _T_482 @[el2_lib.scala 390:30] + node _T_483 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 391:36] + _T_355[16] <= _T_483 @[el2_lib.scala 391:30] + node _T_484 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 387:36] + _T_351[23] <= _T_484 @[el2_lib.scala 387:30] + node _T_485 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 390:36] + _T_354[17] <= _T_485 @[el2_lib.scala 390:30] + node _T_486 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 391:36] + _T_355[17] <= _T_486 @[el2_lib.scala 391:30] + node _T_487 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 386:36] + _T_350[24] <= _T_487 @[el2_lib.scala 386:30] + node _T_488 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 387:36] + _T_351[24] <= _T_488 @[el2_lib.scala 387:30] + node _T_489 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 390:36] + _T_354[18] <= _T_489 @[el2_lib.scala 390:30] + node _T_490 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 391:36] + _T_355[18] <= _T_490 @[el2_lib.scala 391:30] + node _T_491 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 388:36] + _T_352[23] <= _T_491 @[el2_lib.scala 388:30] + node _T_492 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 390:36] + _T_354[19] <= _T_492 @[el2_lib.scala 390:30] + node _T_493 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 391:36] + _T_355[19] <= _T_493 @[el2_lib.scala 391:30] + node _T_494 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 386:36] + _T_350[25] <= _T_494 @[el2_lib.scala 386:30] + node _T_495 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 388:36] + _T_352[24] <= _T_495 @[el2_lib.scala 388:30] + node _T_496 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 390:36] + _T_354[20] <= _T_496 @[el2_lib.scala 390:30] + node _T_497 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 391:36] + _T_355[20] <= _T_497 @[el2_lib.scala 391:30] + node _T_498 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 387:36] + _T_351[25] <= _T_498 @[el2_lib.scala 387:30] + node _T_499 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 388:36] + _T_352[25] <= _T_499 @[el2_lib.scala 388:30] + node _T_500 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 390:36] + _T_354[21] <= _T_500 @[el2_lib.scala 390:30] + node _T_501 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 391:36] + _T_355[21] <= _T_501 @[el2_lib.scala 391:30] + node _T_502 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 386:36] + _T_350[26] <= _T_502 @[el2_lib.scala 386:30] + node _T_503 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 387:36] + _T_351[26] <= _T_503 @[el2_lib.scala 387:30] + node _T_504 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 388:36] + _T_352[26] <= _T_504 @[el2_lib.scala 388:30] + node _T_505 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 390:36] + _T_354[22] <= _T_505 @[el2_lib.scala 390:30] + node _T_506 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 391:36] + _T_355[22] <= _T_506 @[el2_lib.scala 391:30] + node _T_507 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 389:36] + _T_353[23] <= _T_507 @[el2_lib.scala 389:30] + node _T_508 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 390:36] + _T_354[23] <= _T_508 @[el2_lib.scala 390:30] + node _T_509 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 391:36] + _T_355[23] <= _T_509 @[el2_lib.scala 391:30] + node _T_510 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 386:36] + _T_350[27] <= _T_510 @[el2_lib.scala 386:30] + node _T_511 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 389:36] + _T_353[24] <= _T_511 @[el2_lib.scala 389:30] + node _T_512 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 390:36] + _T_354[24] <= _T_512 @[el2_lib.scala 390:30] + node _T_513 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 391:36] + _T_355[24] <= _T_513 @[el2_lib.scala 391:30] + node _T_514 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 387:36] + _T_351[27] <= _T_514 @[el2_lib.scala 387:30] + node _T_515 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 389:36] + _T_353[25] <= _T_515 @[el2_lib.scala 389:30] + node _T_516 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 390:36] + _T_354[25] <= _T_516 @[el2_lib.scala 390:30] + node _T_517 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 391:36] + _T_355[25] <= _T_517 @[el2_lib.scala 391:30] + node _T_518 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 386:36] + _T_350[28] <= _T_518 @[el2_lib.scala 386:30] + node _T_519 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 387:36] + _T_351[28] <= _T_519 @[el2_lib.scala 387:30] + node _T_520 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 389:36] + _T_353[26] <= _T_520 @[el2_lib.scala 389:30] + node _T_521 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 390:36] + _T_354[26] <= _T_521 @[el2_lib.scala 390:30] + node _T_522 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 391:36] + _T_355[26] <= _T_522 @[el2_lib.scala 391:30] + node _T_523 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 388:36] + _T_352[27] <= _T_523 @[el2_lib.scala 388:30] + node _T_524 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 389:36] + _T_353[27] <= _T_524 @[el2_lib.scala 389:30] + node _T_525 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 390:36] + _T_354[27] <= _T_525 @[el2_lib.scala 390:30] + node _T_526 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 391:36] + _T_355[27] <= _T_526 @[el2_lib.scala 391:30] + node _T_527 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 386:36] + _T_350[29] <= _T_527 @[el2_lib.scala 386:30] + node _T_528 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 388:36] + _T_352[28] <= _T_528 @[el2_lib.scala 388:30] + node _T_529 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 389:36] + _T_353[28] <= _T_529 @[el2_lib.scala 389:30] + node _T_530 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 390:36] + _T_354[28] <= _T_530 @[el2_lib.scala 390:30] + node _T_531 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 391:36] + _T_355[28] <= _T_531 @[el2_lib.scala 391:30] + node _T_532 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 387:36] + _T_351[29] <= _T_532 @[el2_lib.scala 387:30] + node _T_533 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 388:36] + _T_352[29] <= _T_533 @[el2_lib.scala 388:30] + node _T_534 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 389:36] + _T_353[29] <= _T_534 @[el2_lib.scala 389:30] + node _T_535 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 390:36] + _T_354[29] <= _T_535 @[el2_lib.scala 390:30] + node _T_536 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 391:36] + _T_355[29] <= _T_536 @[el2_lib.scala 391:30] + node _T_537 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 386:36] + _T_350[30] <= _T_537 @[el2_lib.scala 386:30] + node _T_538 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 387:36] + _T_351[30] <= _T_538 @[el2_lib.scala 387:30] + node _T_539 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 388:36] + _T_352[30] <= _T_539 @[el2_lib.scala 388:30] + node _T_540 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 389:36] + _T_353[30] <= _T_540 @[el2_lib.scala 389:30] + node _T_541 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 390:36] + _T_354[30] <= _T_541 @[el2_lib.scala 390:30] + node _T_542 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 391:36] + _T_355[30] <= _T_542 @[el2_lib.scala 391:30] + node _T_543 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 386:36] + _T_350[31] <= _T_543 @[el2_lib.scala 386:30] + node _T_544 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 392:36] + _T_356[0] <= _T_544 @[el2_lib.scala 392:30] + node _T_545 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 387:36] + _T_351[31] <= _T_545 @[el2_lib.scala 387:30] + node _T_546 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 392:36] + _T_356[1] <= _T_546 @[el2_lib.scala 392:30] + node _T_547 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 386:36] + _T_350[32] <= _T_547 @[el2_lib.scala 386:30] + node _T_548 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 387:36] + _T_351[32] <= _T_548 @[el2_lib.scala 387:30] + node _T_549 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 392:36] + _T_356[2] <= _T_549 @[el2_lib.scala 392:30] + node _T_550 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 388:36] + _T_352[31] <= _T_550 @[el2_lib.scala 388:30] + node _T_551 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 392:36] + _T_356[3] <= _T_551 @[el2_lib.scala 392:30] + node _T_552 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 386:36] + _T_350[33] <= _T_552 @[el2_lib.scala 386:30] + node _T_553 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 388:36] + _T_352[32] <= _T_553 @[el2_lib.scala 388:30] + node _T_554 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 392:36] + _T_356[4] <= _T_554 @[el2_lib.scala 392:30] + node _T_555 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 387:36] + _T_351[33] <= _T_555 @[el2_lib.scala 387:30] + node _T_556 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 388:36] + _T_352[33] <= _T_556 @[el2_lib.scala 388:30] + node _T_557 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 392:36] + _T_356[5] <= _T_557 @[el2_lib.scala 392:30] + node _T_558 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 386:36] + _T_350[34] <= _T_558 @[el2_lib.scala 386:30] + node _T_559 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 387:36] + _T_351[34] <= _T_559 @[el2_lib.scala 387:30] + node _T_560 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 388:36] + _T_352[34] <= _T_560 @[el2_lib.scala 388:30] + node _T_561 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 392:36] + _T_356[6] <= _T_561 @[el2_lib.scala 392:30] + node _T_562 = cat(_T_356[2], _T_356[1]) @[el2_lib.scala 394:13] + node _T_563 = cat(_T_562, _T_356[0]) @[el2_lib.scala 394:13] + node _T_564 = cat(_T_356[4], _T_356[3]) @[el2_lib.scala 394:13] + node _T_565 = cat(_T_356[6], _T_356[5]) @[el2_lib.scala 394:13] + node _T_566 = cat(_T_565, _T_564) @[el2_lib.scala 394:13] + node _T_567 = cat(_T_566, _T_563) @[el2_lib.scala 394:13] + node _T_568 = xorr(_T_567) @[el2_lib.scala 394:20] + node _T_569 = cat(_T_355[2], _T_355[1]) @[el2_lib.scala 394:30] + node _T_570 = cat(_T_569, _T_355[0]) @[el2_lib.scala 394:30] + node _T_571 = cat(_T_355[4], _T_355[3]) @[el2_lib.scala 394:30] + node _T_572 = cat(_T_355[6], _T_355[5]) @[el2_lib.scala 394:30] + node _T_573 = cat(_T_572, _T_571) @[el2_lib.scala 394:30] + node _T_574 = cat(_T_573, _T_570) @[el2_lib.scala 394:30] + node _T_575 = cat(_T_355[8], _T_355[7]) @[el2_lib.scala 394:30] + node _T_576 = cat(_T_355[10], _T_355[9]) @[el2_lib.scala 394:30] + node _T_577 = cat(_T_576, _T_575) @[el2_lib.scala 394:30] + node _T_578 = cat(_T_355[12], _T_355[11]) @[el2_lib.scala 394:30] + node _T_579 = cat(_T_355[14], _T_355[13]) @[el2_lib.scala 394:30] + node _T_580 = cat(_T_579, _T_578) @[el2_lib.scala 394:30] + node _T_581 = cat(_T_580, _T_577) @[el2_lib.scala 394:30] + node _T_582 = cat(_T_581, _T_574) @[el2_lib.scala 394:30] + node _T_583 = cat(_T_355[16], _T_355[15]) @[el2_lib.scala 394:30] + node _T_584 = cat(_T_355[18], _T_355[17]) @[el2_lib.scala 394:30] + node _T_585 = cat(_T_584, _T_583) @[el2_lib.scala 394:30] + node _T_586 = cat(_T_355[20], _T_355[19]) @[el2_lib.scala 394:30] + node _T_587 = cat(_T_355[22], _T_355[21]) @[el2_lib.scala 394:30] + node _T_588 = cat(_T_587, _T_586) @[el2_lib.scala 394:30] + node _T_589 = cat(_T_588, _T_585) @[el2_lib.scala 394:30] + node _T_590 = cat(_T_355[24], _T_355[23]) @[el2_lib.scala 394:30] + node _T_591 = cat(_T_355[26], _T_355[25]) @[el2_lib.scala 394:30] + node _T_592 = cat(_T_591, _T_590) @[el2_lib.scala 394:30] + node _T_593 = cat(_T_355[28], _T_355[27]) @[el2_lib.scala 394:30] + node _T_594 = cat(_T_355[30], _T_355[29]) @[el2_lib.scala 394:30] + node _T_595 = cat(_T_594, _T_593) @[el2_lib.scala 394:30] + node _T_596 = cat(_T_595, _T_592) @[el2_lib.scala 394:30] + node _T_597 = cat(_T_596, _T_589) @[el2_lib.scala 394:30] + node _T_598 = cat(_T_597, _T_582) @[el2_lib.scala 394:30] + node _T_599 = xorr(_T_598) @[el2_lib.scala 394:37] + node _T_600 = cat(_T_354[2], _T_354[1]) @[el2_lib.scala 394:47] + node _T_601 = cat(_T_600, _T_354[0]) @[el2_lib.scala 394:47] + node _T_602 = cat(_T_354[4], _T_354[3]) @[el2_lib.scala 394:47] + node _T_603 = cat(_T_354[6], _T_354[5]) @[el2_lib.scala 394:47] + node _T_604 = cat(_T_603, _T_602) @[el2_lib.scala 394:47] + node _T_605 = cat(_T_604, _T_601) @[el2_lib.scala 394:47] + node _T_606 = cat(_T_354[8], _T_354[7]) @[el2_lib.scala 394:47] + node _T_607 = cat(_T_354[10], _T_354[9]) @[el2_lib.scala 394:47] + node _T_608 = cat(_T_607, _T_606) @[el2_lib.scala 394:47] + node _T_609 = cat(_T_354[12], _T_354[11]) @[el2_lib.scala 394:47] + node _T_610 = cat(_T_354[14], _T_354[13]) @[el2_lib.scala 394:47] + node _T_611 = cat(_T_610, _T_609) @[el2_lib.scala 394:47] + node _T_612 = cat(_T_611, _T_608) @[el2_lib.scala 394:47] + node _T_613 = cat(_T_612, _T_605) @[el2_lib.scala 394:47] + node _T_614 = cat(_T_354[16], _T_354[15]) @[el2_lib.scala 394:47] + node _T_615 = cat(_T_354[18], _T_354[17]) @[el2_lib.scala 394:47] + node _T_616 = cat(_T_615, _T_614) @[el2_lib.scala 394:47] + node _T_617 = cat(_T_354[20], _T_354[19]) @[el2_lib.scala 394:47] + node _T_618 = cat(_T_354[22], _T_354[21]) @[el2_lib.scala 394:47] + node _T_619 = cat(_T_618, _T_617) @[el2_lib.scala 394:47] + node _T_620 = cat(_T_619, _T_616) @[el2_lib.scala 394:47] + node _T_621 = cat(_T_354[24], _T_354[23]) @[el2_lib.scala 394:47] + node _T_622 = cat(_T_354[26], _T_354[25]) @[el2_lib.scala 394:47] + node _T_623 = cat(_T_622, _T_621) @[el2_lib.scala 394:47] + node _T_624 = cat(_T_354[28], _T_354[27]) @[el2_lib.scala 394:47] + node _T_625 = cat(_T_354[30], _T_354[29]) @[el2_lib.scala 394:47] + node _T_626 = cat(_T_625, _T_624) @[el2_lib.scala 394:47] + node _T_627 = cat(_T_626, _T_623) @[el2_lib.scala 394:47] + node _T_628 = cat(_T_627, _T_620) @[el2_lib.scala 394:47] + node _T_629 = cat(_T_628, _T_613) @[el2_lib.scala 394:47] + node _T_630 = xorr(_T_629) @[el2_lib.scala 394:54] + node _T_631 = cat(_T_353[2], _T_353[1]) @[el2_lib.scala 394:64] + node _T_632 = cat(_T_631, _T_353[0]) @[el2_lib.scala 394:64] + node _T_633 = cat(_T_353[4], _T_353[3]) @[el2_lib.scala 394:64] + node _T_634 = cat(_T_353[6], _T_353[5]) @[el2_lib.scala 394:64] + node _T_635 = cat(_T_634, _T_633) @[el2_lib.scala 394:64] + node _T_636 = cat(_T_635, _T_632) @[el2_lib.scala 394:64] + node _T_637 = cat(_T_353[8], _T_353[7]) @[el2_lib.scala 394:64] + node _T_638 = cat(_T_353[10], _T_353[9]) @[el2_lib.scala 394:64] + node _T_639 = cat(_T_638, _T_637) @[el2_lib.scala 394:64] + node _T_640 = cat(_T_353[12], _T_353[11]) @[el2_lib.scala 394:64] + node _T_641 = cat(_T_353[14], _T_353[13]) @[el2_lib.scala 394:64] + node _T_642 = cat(_T_641, _T_640) @[el2_lib.scala 394:64] + node _T_643 = cat(_T_642, _T_639) @[el2_lib.scala 394:64] + node _T_644 = cat(_T_643, _T_636) @[el2_lib.scala 394:64] + node _T_645 = cat(_T_353[16], _T_353[15]) @[el2_lib.scala 394:64] + node _T_646 = cat(_T_353[18], _T_353[17]) @[el2_lib.scala 394:64] + node _T_647 = cat(_T_646, _T_645) @[el2_lib.scala 394:64] + node _T_648 = cat(_T_353[20], _T_353[19]) @[el2_lib.scala 394:64] + node _T_649 = cat(_T_353[22], _T_353[21]) @[el2_lib.scala 394:64] + node _T_650 = cat(_T_649, _T_648) @[el2_lib.scala 394:64] + node _T_651 = cat(_T_650, _T_647) @[el2_lib.scala 394:64] + node _T_652 = cat(_T_353[24], _T_353[23]) @[el2_lib.scala 394:64] + node _T_653 = cat(_T_353[26], _T_353[25]) @[el2_lib.scala 394:64] + node _T_654 = cat(_T_653, _T_652) @[el2_lib.scala 394:64] + node _T_655 = cat(_T_353[28], _T_353[27]) @[el2_lib.scala 394:64] + node _T_656 = cat(_T_353[30], _T_353[29]) @[el2_lib.scala 394:64] + node _T_657 = cat(_T_656, _T_655) @[el2_lib.scala 394:64] + node _T_658 = cat(_T_657, _T_654) @[el2_lib.scala 394:64] + node _T_659 = cat(_T_658, _T_651) @[el2_lib.scala 394:64] + node _T_660 = cat(_T_659, _T_644) @[el2_lib.scala 394:64] + node _T_661 = xorr(_T_660) @[el2_lib.scala 394:71] + node _T_662 = cat(_T_352[1], _T_352[0]) @[el2_lib.scala 394:81] + node _T_663 = cat(_T_352[3], _T_352[2]) @[el2_lib.scala 394:81] + node _T_664 = cat(_T_663, _T_662) @[el2_lib.scala 394:81] + node _T_665 = cat(_T_352[5], _T_352[4]) @[el2_lib.scala 394:81] + node _T_666 = cat(_T_352[7], _T_352[6]) @[el2_lib.scala 394:81] + node _T_667 = cat(_T_666, _T_665) @[el2_lib.scala 394:81] + node _T_668 = cat(_T_667, _T_664) @[el2_lib.scala 394:81] + node _T_669 = cat(_T_352[9], _T_352[8]) @[el2_lib.scala 394:81] + node _T_670 = cat(_T_352[11], _T_352[10]) @[el2_lib.scala 394:81] + node _T_671 = cat(_T_670, _T_669) @[el2_lib.scala 394:81] + node _T_672 = cat(_T_352[13], _T_352[12]) @[el2_lib.scala 394:81] + node _T_673 = cat(_T_352[16], _T_352[15]) @[el2_lib.scala 394:81] + node _T_674 = cat(_T_673, _T_352[14]) @[el2_lib.scala 394:81] + node _T_675 = cat(_T_674, _T_672) @[el2_lib.scala 394:81] + node _T_676 = cat(_T_675, _T_671) @[el2_lib.scala 394:81] + node _T_677 = cat(_T_676, _T_668) @[el2_lib.scala 394:81] + node _T_678 = cat(_T_352[18], _T_352[17]) @[el2_lib.scala 394:81] + node _T_679 = cat(_T_352[20], _T_352[19]) @[el2_lib.scala 394:81] + node _T_680 = cat(_T_679, _T_678) @[el2_lib.scala 394:81] + node _T_681 = cat(_T_352[22], _T_352[21]) @[el2_lib.scala 394:81] + node _T_682 = cat(_T_352[25], _T_352[24]) @[el2_lib.scala 394:81] + node _T_683 = cat(_T_682, _T_352[23]) @[el2_lib.scala 394:81] + node _T_684 = cat(_T_683, _T_681) @[el2_lib.scala 394:81] + node _T_685 = cat(_T_684, _T_680) @[el2_lib.scala 394:81] + node _T_686 = cat(_T_352[27], _T_352[26]) @[el2_lib.scala 394:81] + node _T_687 = cat(_T_352[29], _T_352[28]) @[el2_lib.scala 394:81] + node _T_688 = cat(_T_687, _T_686) @[el2_lib.scala 394:81] + node _T_689 = cat(_T_352[31], _T_352[30]) @[el2_lib.scala 394:81] + node _T_690 = cat(_T_352[34], _T_352[33]) @[el2_lib.scala 394:81] + node _T_691 = cat(_T_690, _T_352[32]) @[el2_lib.scala 394:81] + node _T_692 = cat(_T_691, _T_689) @[el2_lib.scala 394:81] + node _T_693 = cat(_T_692, _T_688) @[el2_lib.scala 394:81] + node _T_694 = cat(_T_693, _T_685) @[el2_lib.scala 394:81] + node _T_695 = cat(_T_694, _T_677) @[el2_lib.scala 394:81] + node _T_696 = xorr(_T_695) @[el2_lib.scala 394:88] + node _T_697 = cat(_T_351[1], _T_351[0]) @[el2_lib.scala 394:98] + node _T_698 = cat(_T_351[3], _T_351[2]) @[el2_lib.scala 394:98] + node _T_699 = cat(_T_698, _T_697) @[el2_lib.scala 394:98] + node _T_700 = cat(_T_351[5], _T_351[4]) @[el2_lib.scala 394:98] + node _T_701 = cat(_T_351[7], _T_351[6]) @[el2_lib.scala 394:98] + node _T_702 = cat(_T_701, _T_700) @[el2_lib.scala 394:98] + node _T_703 = cat(_T_702, _T_699) @[el2_lib.scala 394:98] + node _T_704 = cat(_T_351[9], _T_351[8]) @[el2_lib.scala 394:98] + node _T_705 = cat(_T_351[11], _T_351[10]) @[el2_lib.scala 394:98] + node _T_706 = cat(_T_705, _T_704) @[el2_lib.scala 394:98] + node _T_707 = cat(_T_351[13], _T_351[12]) @[el2_lib.scala 394:98] + node _T_708 = cat(_T_351[16], _T_351[15]) @[el2_lib.scala 394:98] + node _T_709 = cat(_T_708, _T_351[14]) @[el2_lib.scala 394:98] + node _T_710 = cat(_T_709, _T_707) @[el2_lib.scala 394:98] + node _T_711 = cat(_T_710, _T_706) @[el2_lib.scala 394:98] + node _T_712 = cat(_T_711, _T_703) @[el2_lib.scala 394:98] + node _T_713 = cat(_T_351[18], _T_351[17]) @[el2_lib.scala 394:98] + node _T_714 = cat(_T_351[20], _T_351[19]) @[el2_lib.scala 394:98] + node _T_715 = cat(_T_714, _T_713) @[el2_lib.scala 394:98] + node _T_716 = cat(_T_351[22], _T_351[21]) @[el2_lib.scala 394:98] + node _T_717 = cat(_T_351[25], _T_351[24]) @[el2_lib.scala 394:98] + node _T_718 = cat(_T_717, _T_351[23]) @[el2_lib.scala 394:98] + node _T_719 = cat(_T_718, _T_716) @[el2_lib.scala 394:98] + node _T_720 = cat(_T_719, _T_715) @[el2_lib.scala 394:98] + node _T_721 = cat(_T_351[27], _T_351[26]) @[el2_lib.scala 394:98] + node _T_722 = cat(_T_351[29], _T_351[28]) @[el2_lib.scala 394:98] + node _T_723 = cat(_T_722, _T_721) @[el2_lib.scala 394:98] + node _T_724 = cat(_T_351[31], _T_351[30]) @[el2_lib.scala 394:98] + node _T_725 = cat(_T_351[34], _T_351[33]) @[el2_lib.scala 394:98] + node _T_726 = cat(_T_725, _T_351[32]) @[el2_lib.scala 394:98] + node _T_727 = cat(_T_726, _T_724) @[el2_lib.scala 394:98] + node _T_728 = cat(_T_727, _T_723) @[el2_lib.scala 394:98] + node _T_729 = cat(_T_728, _T_720) @[el2_lib.scala 394:98] + node _T_730 = cat(_T_729, _T_712) @[el2_lib.scala 394:98] + node _T_731 = xorr(_T_730) @[el2_lib.scala 394:105] + node _T_732 = cat(_T_350[1], _T_350[0]) @[el2_lib.scala 394:115] + node _T_733 = cat(_T_350[3], _T_350[2]) @[el2_lib.scala 394:115] + node _T_734 = cat(_T_733, _T_732) @[el2_lib.scala 394:115] + node _T_735 = cat(_T_350[5], _T_350[4]) @[el2_lib.scala 394:115] + node _T_736 = cat(_T_350[7], _T_350[6]) @[el2_lib.scala 394:115] + node _T_737 = cat(_T_736, _T_735) @[el2_lib.scala 394:115] + node _T_738 = cat(_T_737, _T_734) @[el2_lib.scala 394:115] + node _T_739 = cat(_T_350[9], _T_350[8]) @[el2_lib.scala 394:115] + node _T_740 = cat(_T_350[11], _T_350[10]) @[el2_lib.scala 394:115] + node _T_741 = cat(_T_740, _T_739) @[el2_lib.scala 394:115] + node _T_742 = cat(_T_350[13], _T_350[12]) @[el2_lib.scala 394:115] + node _T_743 = cat(_T_350[16], _T_350[15]) @[el2_lib.scala 394:115] + node _T_744 = cat(_T_743, _T_350[14]) @[el2_lib.scala 394:115] + node _T_745 = cat(_T_744, _T_742) @[el2_lib.scala 394:115] + node _T_746 = cat(_T_745, _T_741) @[el2_lib.scala 394:115] + node _T_747 = cat(_T_746, _T_738) @[el2_lib.scala 394:115] + node _T_748 = cat(_T_350[18], _T_350[17]) @[el2_lib.scala 394:115] + node _T_749 = cat(_T_350[20], _T_350[19]) @[el2_lib.scala 394:115] + node _T_750 = cat(_T_749, _T_748) @[el2_lib.scala 394:115] + node _T_751 = cat(_T_350[22], _T_350[21]) @[el2_lib.scala 394:115] + node _T_752 = cat(_T_350[25], _T_350[24]) @[el2_lib.scala 394:115] + node _T_753 = cat(_T_752, _T_350[23]) @[el2_lib.scala 394:115] + node _T_754 = cat(_T_753, _T_751) @[el2_lib.scala 394:115] + node _T_755 = cat(_T_754, _T_750) @[el2_lib.scala 394:115] + node _T_756 = cat(_T_350[27], _T_350[26]) @[el2_lib.scala 394:115] + node _T_757 = cat(_T_350[29], _T_350[28]) @[el2_lib.scala 394:115] + node _T_758 = cat(_T_757, _T_756) @[el2_lib.scala 394:115] + node _T_759 = cat(_T_350[31], _T_350[30]) @[el2_lib.scala 394:115] + node _T_760 = cat(_T_350[34], _T_350[33]) @[el2_lib.scala 394:115] + node _T_761 = cat(_T_760, _T_350[32]) @[el2_lib.scala 394:115] + node _T_762 = cat(_T_761, _T_759) @[el2_lib.scala 394:115] + node _T_763 = cat(_T_762, _T_758) @[el2_lib.scala 394:115] + node _T_764 = cat(_T_763, _T_755) @[el2_lib.scala 394:115] + node _T_765 = cat(_T_764, _T_747) @[el2_lib.scala 394:115] + node _T_766 = xorr(_T_765) @[el2_lib.scala 394:122] node _T_767 = cat(_T_696, _T_731) @[Cat.scala 29:58] node _T_768 = cat(_T_767, _T_766) @[Cat.scala 29:58] node _T_769 = cat(_T_630, _T_661) @[Cat.scala 29:58] node _T_770 = cat(_T_568, _T_599) @[Cat.scala 29:58] node _T_771 = cat(_T_770, _T_769) @[Cat.scala 29:58] node ic_wr_ecc = cat(_T_771, _T_768) @[Cat.scala 29:58] - wire _T_772 : UInt<1>[35] @[el2_lib.scala 380:18] - wire _T_773 : UInt<1>[35] @[el2_lib.scala 381:18] - wire _T_774 : UInt<1>[35] @[el2_lib.scala 382:18] - wire _T_775 : UInt<1>[31] @[el2_lib.scala 383:18] - wire _T_776 : UInt<1>[31] @[el2_lib.scala 384:18] - wire _T_777 : UInt<1>[31] @[el2_lib.scala 385:18] - wire _T_778 : UInt<1>[7] @[el2_lib.scala 386:18] - node _T_779 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 393:36] - _T_772[0] <= _T_779 @[el2_lib.scala 393:30] - node _T_780 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 394:36] - _T_773[0] <= _T_780 @[el2_lib.scala 394:30] - node _T_781 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 393:36] - _T_772[1] <= _T_781 @[el2_lib.scala 393:30] - node _T_782 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 395:36] - _T_774[0] <= _T_782 @[el2_lib.scala 395:30] - node _T_783 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 394:36] - _T_773[1] <= _T_783 @[el2_lib.scala 394:30] - node _T_784 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 395:36] - _T_774[1] <= _T_784 @[el2_lib.scala 395:30] - node _T_785 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 393:36] - _T_772[2] <= _T_785 @[el2_lib.scala 393:30] - node _T_786 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 394:36] - _T_773[2] <= _T_786 @[el2_lib.scala 394:30] - node _T_787 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 395:36] - _T_774[2] <= _T_787 @[el2_lib.scala 395:30] - node _T_788 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 393:36] - _T_772[3] <= _T_788 @[el2_lib.scala 393:30] - node _T_789 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 396:36] - _T_775[0] <= _T_789 @[el2_lib.scala 396:30] - node _T_790 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 394:36] - _T_773[3] <= _T_790 @[el2_lib.scala 394:30] - node _T_791 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 396:36] - _T_775[1] <= _T_791 @[el2_lib.scala 396:30] - node _T_792 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 393:36] - _T_772[4] <= _T_792 @[el2_lib.scala 393:30] - node _T_793 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 394:36] - _T_773[4] <= _T_793 @[el2_lib.scala 394:30] - node _T_794 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 396:36] - _T_775[2] <= _T_794 @[el2_lib.scala 396:30] - node _T_795 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 395:36] - _T_774[3] <= _T_795 @[el2_lib.scala 395:30] - node _T_796 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 396:36] - _T_775[3] <= _T_796 @[el2_lib.scala 396:30] - node _T_797 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 393:36] - _T_772[5] <= _T_797 @[el2_lib.scala 393:30] - node _T_798 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 395:36] - _T_774[4] <= _T_798 @[el2_lib.scala 395:30] - node _T_799 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 396:36] - _T_775[4] <= _T_799 @[el2_lib.scala 396:30] - node _T_800 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 394:36] - _T_773[5] <= _T_800 @[el2_lib.scala 394:30] - node _T_801 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 395:36] - _T_774[5] <= _T_801 @[el2_lib.scala 395:30] - node _T_802 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 396:36] - _T_775[5] <= _T_802 @[el2_lib.scala 396:30] - node _T_803 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 393:36] - _T_772[6] <= _T_803 @[el2_lib.scala 393:30] - node _T_804 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 394:36] - _T_773[6] <= _T_804 @[el2_lib.scala 394:30] - node _T_805 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 395:36] - _T_774[6] <= _T_805 @[el2_lib.scala 395:30] - node _T_806 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 396:36] - _T_775[6] <= _T_806 @[el2_lib.scala 396:30] - node _T_807 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 393:36] - _T_772[7] <= _T_807 @[el2_lib.scala 393:30] - node _T_808 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 397:36] - _T_776[0] <= _T_808 @[el2_lib.scala 397:30] - node _T_809 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 394:36] - _T_773[7] <= _T_809 @[el2_lib.scala 394:30] - node _T_810 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 397:36] - _T_776[1] <= _T_810 @[el2_lib.scala 397:30] - node _T_811 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 393:36] - _T_772[8] <= _T_811 @[el2_lib.scala 393:30] - node _T_812 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 394:36] - _T_773[8] <= _T_812 @[el2_lib.scala 394:30] - node _T_813 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 397:36] - _T_776[2] <= _T_813 @[el2_lib.scala 397:30] - node _T_814 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 395:36] - _T_774[7] <= _T_814 @[el2_lib.scala 395:30] - node _T_815 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 397:36] - _T_776[3] <= _T_815 @[el2_lib.scala 397:30] - node _T_816 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 393:36] - _T_772[9] <= _T_816 @[el2_lib.scala 393:30] - node _T_817 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 395:36] - _T_774[8] <= _T_817 @[el2_lib.scala 395:30] - node _T_818 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 397:36] - _T_776[4] <= _T_818 @[el2_lib.scala 397:30] - node _T_819 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 394:36] - _T_773[9] <= _T_819 @[el2_lib.scala 394:30] - node _T_820 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 395:36] - _T_774[9] <= _T_820 @[el2_lib.scala 395:30] - node _T_821 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 397:36] - _T_776[5] <= _T_821 @[el2_lib.scala 397:30] - node _T_822 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 393:36] - _T_772[10] <= _T_822 @[el2_lib.scala 393:30] - node _T_823 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 394:36] - _T_773[10] <= _T_823 @[el2_lib.scala 394:30] - node _T_824 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 395:36] - _T_774[10] <= _T_824 @[el2_lib.scala 395:30] - node _T_825 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 397:36] - _T_776[6] <= _T_825 @[el2_lib.scala 397:30] - node _T_826 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 396:36] - _T_775[7] <= _T_826 @[el2_lib.scala 396:30] - node _T_827 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 397:36] - _T_776[7] <= _T_827 @[el2_lib.scala 397:30] - node _T_828 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 393:36] - _T_772[11] <= _T_828 @[el2_lib.scala 393:30] - node _T_829 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 396:36] - _T_775[8] <= _T_829 @[el2_lib.scala 396:30] - node _T_830 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 397:36] - _T_776[8] <= _T_830 @[el2_lib.scala 397:30] - node _T_831 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 394:36] - _T_773[11] <= _T_831 @[el2_lib.scala 394:30] - node _T_832 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 396:36] - _T_775[9] <= _T_832 @[el2_lib.scala 396:30] - node _T_833 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 397:36] - _T_776[9] <= _T_833 @[el2_lib.scala 397:30] - node _T_834 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 393:36] - _T_772[12] <= _T_834 @[el2_lib.scala 393:30] - node _T_835 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 394:36] - _T_773[12] <= _T_835 @[el2_lib.scala 394:30] - node _T_836 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 396:36] - _T_775[10] <= _T_836 @[el2_lib.scala 396:30] - node _T_837 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 397:36] - _T_776[10] <= _T_837 @[el2_lib.scala 397:30] - node _T_838 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 395:36] - _T_774[11] <= _T_838 @[el2_lib.scala 395:30] - node _T_839 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 396:36] - _T_775[11] <= _T_839 @[el2_lib.scala 396:30] - node _T_840 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 397:36] - _T_776[11] <= _T_840 @[el2_lib.scala 397:30] - node _T_841 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 393:36] - _T_772[13] <= _T_841 @[el2_lib.scala 393:30] - node _T_842 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 395:36] - _T_774[12] <= _T_842 @[el2_lib.scala 395:30] - node _T_843 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 396:36] - _T_775[12] <= _T_843 @[el2_lib.scala 396:30] - node _T_844 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 397:36] - _T_776[12] <= _T_844 @[el2_lib.scala 397:30] - node _T_845 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 394:36] - _T_773[13] <= _T_845 @[el2_lib.scala 394:30] - node _T_846 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 395:36] - _T_774[13] <= _T_846 @[el2_lib.scala 395:30] - node _T_847 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 396:36] - _T_775[13] <= _T_847 @[el2_lib.scala 396:30] - node _T_848 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 397:36] - _T_776[13] <= _T_848 @[el2_lib.scala 397:30] - node _T_849 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 393:36] - _T_772[14] <= _T_849 @[el2_lib.scala 393:30] - node _T_850 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 394:36] - _T_773[14] <= _T_850 @[el2_lib.scala 394:30] - node _T_851 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 395:36] - _T_774[14] <= _T_851 @[el2_lib.scala 395:30] - node _T_852 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 396:36] - _T_775[14] <= _T_852 @[el2_lib.scala 396:30] - node _T_853 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 397:36] - _T_776[14] <= _T_853 @[el2_lib.scala 397:30] - node _T_854 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 393:36] - _T_772[15] <= _T_854 @[el2_lib.scala 393:30] - node _T_855 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 398:36] - _T_777[0] <= _T_855 @[el2_lib.scala 398:30] - node _T_856 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 394:36] - _T_773[15] <= _T_856 @[el2_lib.scala 394:30] - node _T_857 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 398:36] - _T_777[1] <= _T_857 @[el2_lib.scala 398:30] - node _T_858 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 393:36] - _T_772[16] <= _T_858 @[el2_lib.scala 393:30] - node _T_859 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 394:36] - _T_773[16] <= _T_859 @[el2_lib.scala 394:30] - node _T_860 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 398:36] - _T_777[2] <= _T_860 @[el2_lib.scala 398:30] - node _T_861 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 395:36] - _T_774[15] <= _T_861 @[el2_lib.scala 395:30] - node _T_862 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 398:36] - _T_777[3] <= _T_862 @[el2_lib.scala 398:30] - node _T_863 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 393:36] - _T_772[17] <= _T_863 @[el2_lib.scala 393:30] - node _T_864 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 395:36] - _T_774[16] <= _T_864 @[el2_lib.scala 395:30] - node _T_865 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 398:36] - _T_777[4] <= _T_865 @[el2_lib.scala 398:30] - node _T_866 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 394:36] - _T_773[17] <= _T_866 @[el2_lib.scala 394:30] - node _T_867 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 395:36] - _T_774[17] <= _T_867 @[el2_lib.scala 395:30] - node _T_868 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 398:36] - _T_777[5] <= _T_868 @[el2_lib.scala 398:30] - node _T_869 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 393:36] - _T_772[18] <= _T_869 @[el2_lib.scala 393:30] - node _T_870 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 394:36] - _T_773[18] <= _T_870 @[el2_lib.scala 394:30] - node _T_871 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 395:36] - _T_774[18] <= _T_871 @[el2_lib.scala 395:30] - node _T_872 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 398:36] - _T_777[6] <= _T_872 @[el2_lib.scala 398:30] - node _T_873 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 396:36] - _T_775[15] <= _T_873 @[el2_lib.scala 396:30] - node _T_874 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 398:36] - _T_777[7] <= _T_874 @[el2_lib.scala 398:30] - node _T_875 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 393:36] - _T_772[19] <= _T_875 @[el2_lib.scala 393:30] - node _T_876 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 396:36] - _T_775[16] <= _T_876 @[el2_lib.scala 396:30] - node _T_877 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 398:36] - _T_777[8] <= _T_877 @[el2_lib.scala 398:30] - node _T_878 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 394:36] - _T_773[19] <= _T_878 @[el2_lib.scala 394:30] - node _T_879 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 396:36] - _T_775[17] <= _T_879 @[el2_lib.scala 396:30] - node _T_880 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 398:36] - _T_777[9] <= _T_880 @[el2_lib.scala 398:30] - node _T_881 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 393:36] - _T_772[20] <= _T_881 @[el2_lib.scala 393:30] - node _T_882 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 394:36] - _T_773[20] <= _T_882 @[el2_lib.scala 394:30] - node _T_883 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 396:36] - _T_775[18] <= _T_883 @[el2_lib.scala 396:30] - node _T_884 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 398:36] - _T_777[10] <= _T_884 @[el2_lib.scala 398:30] - node _T_885 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 395:36] - _T_774[19] <= _T_885 @[el2_lib.scala 395:30] - node _T_886 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 396:36] - _T_775[19] <= _T_886 @[el2_lib.scala 396:30] - node _T_887 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 398:36] - _T_777[11] <= _T_887 @[el2_lib.scala 398:30] - node _T_888 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 393:36] - _T_772[21] <= _T_888 @[el2_lib.scala 393:30] - node _T_889 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 395:36] - _T_774[20] <= _T_889 @[el2_lib.scala 395:30] - node _T_890 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 396:36] - _T_775[20] <= _T_890 @[el2_lib.scala 396:30] - node _T_891 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 398:36] - _T_777[12] <= _T_891 @[el2_lib.scala 398:30] - node _T_892 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 394:36] - _T_773[21] <= _T_892 @[el2_lib.scala 394:30] - node _T_893 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 395:36] - _T_774[21] <= _T_893 @[el2_lib.scala 395:30] - node _T_894 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 396:36] - _T_775[21] <= _T_894 @[el2_lib.scala 396:30] - node _T_895 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 398:36] - _T_777[13] <= _T_895 @[el2_lib.scala 398:30] - node _T_896 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 393:36] - _T_772[22] <= _T_896 @[el2_lib.scala 393:30] - node _T_897 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 394:36] - _T_773[22] <= _T_897 @[el2_lib.scala 394:30] - node _T_898 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 395:36] - _T_774[22] <= _T_898 @[el2_lib.scala 395:30] - node _T_899 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 396:36] - _T_775[22] <= _T_899 @[el2_lib.scala 396:30] - node _T_900 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 398:36] - _T_777[14] <= _T_900 @[el2_lib.scala 398:30] - node _T_901 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 397:36] - _T_776[15] <= _T_901 @[el2_lib.scala 397:30] - node _T_902 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 398:36] - _T_777[15] <= _T_902 @[el2_lib.scala 398:30] - node _T_903 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 393:36] - _T_772[23] <= _T_903 @[el2_lib.scala 393:30] - node _T_904 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 397:36] - _T_776[16] <= _T_904 @[el2_lib.scala 397:30] - node _T_905 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 398:36] - _T_777[16] <= _T_905 @[el2_lib.scala 398:30] - node _T_906 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 394:36] - _T_773[23] <= _T_906 @[el2_lib.scala 394:30] - node _T_907 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 397:36] - _T_776[17] <= _T_907 @[el2_lib.scala 397:30] - node _T_908 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 398:36] - _T_777[17] <= _T_908 @[el2_lib.scala 398:30] - node _T_909 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 393:36] - _T_772[24] <= _T_909 @[el2_lib.scala 393:30] - node _T_910 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 394:36] - _T_773[24] <= _T_910 @[el2_lib.scala 394:30] - node _T_911 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 397:36] - _T_776[18] <= _T_911 @[el2_lib.scala 397:30] - node _T_912 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 398:36] - _T_777[18] <= _T_912 @[el2_lib.scala 398:30] - node _T_913 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 395:36] - _T_774[23] <= _T_913 @[el2_lib.scala 395:30] - node _T_914 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 397:36] - _T_776[19] <= _T_914 @[el2_lib.scala 397:30] - node _T_915 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 398:36] - _T_777[19] <= _T_915 @[el2_lib.scala 398:30] - node _T_916 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 393:36] - _T_772[25] <= _T_916 @[el2_lib.scala 393:30] - node _T_917 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 395:36] - _T_774[24] <= _T_917 @[el2_lib.scala 395:30] - node _T_918 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 397:36] - _T_776[20] <= _T_918 @[el2_lib.scala 397:30] - node _T_919 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 398:36] - _T_777[20] <= _T_919 @[el2_lib.scala 398:30] - node _T_920 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 394:36] - _T_773[25] <= _T_920 @[el2_lib.scala 394:30] - node _T_921 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 395:36] - _T_774[25] <= _T_921 @[el2_lib.scala 395:30] - node _T_922 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 397:36] - _T_776[21] <= _T_922 @[el2_lib.scala 397:30] - node _T_923 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 398:36] - _T_777[21] <= _T_923 @[el2_lib.scala 398:30] - node _T_924 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 393:36] - _T_772[26] <= _T_924 @[el2_lib.scala 393:30] - node _T_925 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 394:36] - _T_773[26] <= _T_925 @[el2_lib.scala 394:30] - node _T_926 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 395:36] - _T_774[26] <= _T_926 @[el2_lib.scala 395:30] - node _T_927 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 397:36] - _T_776[22] <= _T_927 @[el2_lib.scala 397:30] - node _T_928 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 398:36] - _T_777[22] <= _T_928 @[el2_lib.scala 398:30] - node _T_929 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 396:36] - _T_775[23] <= _T_929 @[el2_lib.scala 396:30] - node _T_930 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 397:36] - _T_776[23] <= _T_930 @[el2_lib.scala 397:30] - node _T_931 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 398:36] - _T_777[23] <= _T_931 @[el2_lib.scala 398:30] - node _T_932 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 393:36] - _T_772[27] <= _T_932 @[el2_lib.scala 393:30] - node _T_933 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 396:36] - _T_775[24] <= _T_933 @[el2_lib.scala 396:30] - node _T_934 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 397:36] - _T_776[24] <= _T_934 @[el2_lib.scala 397:30] - node _T_935 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 398:36] - _T_777[24] <= _T_935 @[el2_lib.scala 398:30] - node _T_936 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 394:36] - _T_773[27] <= _T_936 @[el2_lib.scala 394:30] - node _T_937 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 396:36] - _T_775[25] <= _T_937 @[el2_lib.scala 396:30] - node _T_938 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 397:36] - _T_776[25] <= _T_938 @[el2_lib.scala 397:30] - node _T_939 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 398:36] - _T_777[25] <= _T_939 @[el2_lib.scala 398:30] - node _T_940 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 393:36] - _T_772[28] <= _T_940 @[el2_lib.scala 393:30] - node _T_941 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 394:36] - _T_773[28] <= _T_941 @[el2_lib.scala 394:30] - node _T_942 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 396:36] - _T_775[26] <= _T_942 @[el2_lib.scala 396:30] - node _T_943 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 397:36] - _T_776[26] <= _T_943 @[el2_lib.scala 397:30] - node _T_944 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 398:36] - _T_777[26] <= _T_944 @[el2_lib.scala 398:30] - node _T_945 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 395:36] - _T_774[27] <= _T_945 @[el2_lib.scala 395:30] - node _T_946 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 396:36] - _T_775[27] <= _T_946 @[el2_lib.scala 396:30] - node _T_947 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 397:36] - _T_776[27] <= _T_947 @[el2_lib.scala 397:30] - node _T_948 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 398:36] - _T_777[27] <= _T_948 @[el2_lib.scala 398:30] - node _T_949 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 393:36] - _T_772[29] <= _T_949 @[el2_lib.scala 393:30] - node _T_950 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 395:36] - _T_774[28] <= _T_950 @[el2_lib.scala 395:30] - node _T_951 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 396:36] - _T_775[28] <= _T_951 @[el2_lib.scala 396:30] - node _T_952 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 397:36] - _T_776[28] <= _T_952 @[el2_lib.scala 397:30] - node _T_953 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 398:36] - _T_777[28] <= _T_953 @[el2_lib.scala 398:30] - node _T_954 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 394:36] - _T_773[29] <= _T_954 @[el2_lib.scala 394:30] - node _T_955 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 395:36] - _T_774[29] <= _T_955 @[el2_lib.scala 395:30] - node _T_956 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 396:36] - _T_775[29] <= _T_956 @[el2_lib.scala 396:30] - node _T_957 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 397:36] - _T_776[29] <= _T_957 @[el2_lib.scala 397:30] - node _T_958 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 398:36] - _T_777[29] <= _T_958 @[el2_lib.scala 398:30] - node _T_959 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 393:36] - _T_772[30] <= _T_959 @[el2_lib.scala 393:30] - node _T_960 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 394:36] - _T_773[30] <= _T_960 @[el2_lib.scala 394:30] - node _T_961 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 395:36] - _T_774[30] <= _T_961 @[el2_lib.scala 395:30] - node _T_962 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 396:36] - _T_775[30] <= _T_962 @[el2_lib.scala 396:30] - node _T_963 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 397:36] - _T_776[30] <= _T_963 @[el2_lib.scala 397:30] - node _T_964 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 398:36] - _T_777[30] <= _T_964 @[el2_lib.scala 398:30] - node _T_965 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 393:36] - _T_772[31] <= _T_965 @[el2_lib.scala 393:30] - node _T_966 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 399:36] - _T_778[0] <= _T_966 @[el2_lib.scala 399:30] - node _T_967 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 394:36] - _T_773[31] <= _T_967 @[el2_lib.scala 394:30] - node _T_968 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 399:36] - _T_778[1] <= _T_968 @[el2_lib.scala 399:30] - node _T_969 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 393:36] - _T_772[32] <= _T_969 @[el2_lib.scala 393:30] - node _T_970 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 394:36] - _T_773[32] <= _T_970 @[el2_lib.scala 394:30] - node _T_971 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 399:36] - _T_778[2] <= _T_971 @[el2_lib.scala 399:30] - node _T_972 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 395:36] - _T_774[31] <= _T_972 @[el2_lib.scala 395:30] - node _T_973 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 399:36] - _T_778[3] <= _T_973 @[el2_lib.scala 399:30] - node _T_974 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 393:36] - _T_772[33] <= _T_974 @[el2_lib.scala 393:30] - node _T_975 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 395:36] - _T_774[32] <= _T_975 @[el2_lib.scala 395:30] - node _T_976 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 399:36] - _T_778[4] <= _T_976 @[el2_lib.scala 399:30] - node _T_977 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 394:36] - _T_773[33] <= _T_977 @[el2_lib.scala 394:30] - node _T_978 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 395:36] - _T_774[33] <= _T_978 @[el2_lib.scala 395:30] - node _T_979 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 399:36] - _T_778[5] <= _T_979 @[el2_lib.scala 399:30] - node _T_980 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 393:36] - _T_772[34] <= _T_980 @[el2_lib.scala 393:30] - node _T_981 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 394:36] - _T_773[34] <= _T_981 @[el2_lib.scala 394:30] - node _T_982 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 395:36] - _T_774[34] <= _T_982 @[el2_lib.scala 395:30] - node _T_983 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 399:36] - _T_778[6] <= _T_983 @[el2_lib.scala 399:30] - node _T_984 = cat(_T_778[2], _T_778[1]) @[el2_lib.scala 401:13] - node _T_985 = cat(_T_984, _T_778[0]) @[el2_lib.scala 401:13] - node _T_986 = cat(_T_778[4], _T_778[3]) @[el2_lib.scala 401:13] - node _T_987 = cat(_T_778[6], _T_778[5]) @[el2_lib.scala 401:13] - node _T_988 = cat(_T_987, _T_986) @[el2_lib.scala 401:13] - node _T_989 = cat(_T_988, _T_985) @[el2_lib.scala 401:13] - node _T_990 = xorr(_T_989) @[el2_lib.scala 401:20] - node _T_991 = cat(_T_777[2], _T_777[1]) @[el2_lib.scala 401:30] - node _T_992 = cat(_T_991, _T_777[0]) @[el2_lib.scala 401:30] - node _T_993 = cat(_T_777[4], _T_777[3]) @[el2_lib.scala 401:30] - node _T_994 = cat(_T_777[6], _T_777[5]) @[el2_lib.scala 401:30] - node _T_995 = cat(_T_994, _T_993) @[el2_lib.scala 401:30] - node _T_996 = cat(_T_995, _T_992) @[el2_lib.scala 401:30] - node _T_997 = cat(_T_777[8], _T_777[7]) @[el2_lib.scala 401:30] - node _T_998 = cat(_T_777[10], _T_777[9]) @[el2_lib.scala 401:30] - node _T_999 = cat(_T_998, _T_997) @[el2_lib.scala 401:30] - node _T_1000 = cat(_T_777[12], _T_777[11]) @[el2_lib.scala 401:30] - node _T_1001 = cat(_T_777[14], _T_777[13]) @[el2_lib.scala 401:30] - node _T_1002 = cat(_T_1001, _T_1000) @[el2_lib.scala 401:30] - node _T_1003 = cat(_T_1002, _T_999) @[el2_lib.scala 401:30] - node _T_1004 = cat(_T_1003, _T_996) @[el2_lib.scala 401:30] - node _T_1005 = cat(_T_777[16], _T_777[15]) @[el2_lib.scala 401:30] - node _T_1006 = cat(_T_777[18], _T_777[17]) @[el2_lib.scala 401:30] - node _T_1007 = cat(_T_1006, _T_1005) @[el2_lib.scala 401:30] - node _T_1008 = cat(_T_777[20], _T_777[19]) @[el2_lib.scala 401:30] - node _T_1009 = cat(_T_777[22], _T_777[21]) @[el2_lib.scala 401:30] - node _T_1010 = cat(_T_1009, _T_1008) @[el2_lib.scala 401:30] - node _T_1011 = cat(_T_1010, _T_1007) @[el2_lib.scala 401:30] - node _T_1012 = cat(_T_777[24], _T_777[23]) @[el2_lib.scala 401:30] - node _T_1013 = cat(_T_777[26], _T_777[25]) @[el2_lib.scala 401:30] - node _T_1014 = cat(_T_1013, _T_1012) @[el2_lib.scala 401:30] - node _T_1015 = cat(_T_777[28], _T_777[27]) @[el2_lib.scala 401:30] - node _T_1016 = cat(_T_777[30], _T_777[29]) @[el2_lib.scala 401:30] - node _T_1017 = cat(_T_1016, _T_1015) @[el2_lib.scala 401:30] - node _T_1018 = cat(_T_1017, _T_1014) @[el2_lib.scala 401:30] - node _T_1019 = cat(_T_1018, _T_1011) @[el2_lib.scala 401:30] - node _T_1020 = cat(_T_1019, _T_1004) @[el2_lib.scala 401:30] - node _T_1021 = xorr(_T_1020) @[el2_lib.scala 401:37] - node _T_1022 = cat(_T_776[2], _T_776[1]) @[el2_lib.scala 401:47] - node _T_1023 = cat(_T_1022, _T_776[0]) @[el2_lib.scala 401:47] - node _T_1024 = cat(_T_776[4], _T_776[3]) @[el2_lib.scala 401:47] - node _T_1025 = cat(_T_776[6], _T_776[5]) @[el2_lib.scala 401:47] - node _T_1026 = cat(_T_1025, _T_1024) @[el2_lib.scala 401:47] - node _T_1027 = cat(_T_1026, _T_1023) @[el2_lib.scala 401:47] - node _T_1028 = cat(_T_776[8], _T_776[7]) @[el2_lib.scala 401:47] - node _T_1029 = cat(_T_776[10], _T_776[9]) @[el2_lib.scala 401:47] - node _T_1030 = cat(_T_1029, _T_1028) @[el2_lib.scala 401:47] - node _T_1031 = cat(_T_776[12], _T_776[11]) @[el2_lib.scala 401:47] - node _T_1032 = cat(_T_776[14], _T_776[13]) @[el2_lib.scala 401:47] - node _T_1033 = cat(_T_1032, _T_1031) @[el2_lib.scala 401:47] - node _T_1034 = cat(_T_1033, _T_1030) @[el2_lib.scala 401:47] - node _T_1035 = cat(_T_1034, _T_1027) @[el2_lib.scala 401:47] - node _T_1036 = cat(_T_776[16], _T_776[15]) @[el2_lib.scala 401:47] - node _T_1037 = cat(_T_776[18], _T_776[17]) @[el2_lib.scala 401:47] - node _T_1038 = cat(_T_1037, _T_1036) @[el2_lib.scala 401:47] - node _T_1039 = cat(_T_776[20], _T_776[19]) @[el2_lib.scala 401:47] - node _T_1040 = cat(_T_776[22], _T_776[21]) @[el2_lib.scala 401:47] - node _T_1041 = cat(_T_1040, _T_1039) @[el2_lib.scala 401:47] - node _T_1042 = cat(_T_1041, _T_1038) @[el2_lib.scala 401:47] - node _T_1043 = cat(_T_776[24], _T_776[23]) @[el2_lib.scala 401:47] - node _T_1044 = cat(_T_776[26], _T_776[25]) @[el2_lib.scala 401:47] - node _T_1045 = cat(_T_1044, _T_1043) @[el2_lib.scala 401:47] - node _T_1046 = cat(_T_776[28], _T_776[27]) @[el2_lib.scala 401:47] - node _T_1047 = cat(_T_776[30], _T_776[29]) @[el2_lib.scala 401:47] - node _T_1048 = cat(_T_1047, _T_1046) @[el2_lib.scala 401:47] - node _T_1049 = cat(_T_1048, _T_1045) @[el2_lib.scala 401:47] - node _T_1050 = cat(_T_1049, _T_1042) @[el2_lib.scala 401:47] - node _T_1051 = cat(_T_1050, _T_1035) @[el2_lib.scala 401:47] - node _T_1052 = xorr(_T_1051) @[el2_lib.scala 401:54] - node _T_1053 = cat(_T_775[2], _T_775[1]) @[el2_lib.scala 401:64] - node _T_1054 = cat(_T_1053, _T_775[0]) @[el2_lib.scala 401:64] - node _T_1055 = cat(_T_775[4], _T_775[3]) @[el2_lib.scala 401:64] - node _T_1056 = cat(_T_775[6], _T_775[5]) @[el2_lib.scala 401:64] - node _T_1057 = cat(_T_1056, _T_1055) @[el2_lib.scala 401:64] - node _T_1058 = cat(_T_1057, _T_1054) @[el2_lib.scala 401:64] - node _T_1059 = cat(_T_775[8], _T_775[7]) @[el2_lib.scala 401:64] - node _T_1060 = cat(_T_775[10], _T_775[9]) @[el2_lib.scala 401:64] - node _T_1061 = cat(_T_1060, _T_1059) @[el2_lib.scala 401:64] - node _T_1062 = cat(_T_775[12], _T_775[11]) @[el2_lib.scala 401:64] - node _T_1063 = cat(_T_775[14], _T_775[13]) @[el2_lib.scala 401:64] - node _T_1064 = cat(_T_1063, _T_1062) @[el2_lib.scala 401:64] - node _T_1065 = cat(_T_1064, _T_1061) @[el2_lib.scala 401:64] - node _T_1066 = cat(_T_1065, _T_1058) @[el2_lib.scala 401:64] - node _T_1067 = cat(_T_775[16], _T_775[15]) @[el2_lib.scala 401:64] - node _T_1068 = cat(_T_775[18], _T_775[17]) @[el2_lib.scala 401:64] - node _T_1069 = cat(_T_1068, _T_1067) @[el2_lib.scala 401:64] - node _T_1070 = cat(_T_775[20], _T_775[19]) @[el2_lib.scala 401:64] - node _T_1071 = cat(_T_775[22], _T_775[21]) @[el2_lib.scala 401:64] - node _T_1072 = cat(_T_1071, _T_1070) @[el2_lib.scala 401:64] - node _T_1073 = cat(_T_1072, _T_1069) @[el2_lib.scala 401:64] - node _T_1074 = cat(_T_775[24], _T_775[23]) @[el2_lib.scala 401:64] - node _T_1075 = cat(_T_775[26], _T_775[25]) @[el2_lib.scala 401:64] - node _T_1076 = cat(_T_1075, _T_1074) @[el2_lib.scala 401:64] - node _T_1077 = cat(_T_775[28], _T_775[27]) @[el2_lib.scala 401:64] - node _T_1078 = cat(_T_775[30], _T_775[29]) @[el2_lib.scala 401:64] - node _T_1079 = cat(_T_1078, _T_1077) @[el2_lib.scala 401:64] - node _T_1080 = cat(_T_1079, _T_1076) @[el2_lib.scala 401:64] - node _T_1081 = cat(_T_1080, _T_1073) @[el2_lib.scala 401:64] - node _T_1082 = cat(_T_1081, _T_1066) @[el2_lib.scala 401:64] - node _T_1083 = xorr(_T_1082) @[el2_lib.scala 401:71] - node _T_1084 = cat(_T_774[1], _T_774[0]) @[el2_lib.scala 401:81] - node _T_1085 = cat(_T_774[3], _T_774[2]) @[el2_lib.scala 401:81] - node _T_1086 = cat(_T_1085, _T_1084) @[el2_lib.scala 401:81] - node _T_1087 = cat(_T_774[5], _T_774[4]) @[el2_lib.scala 401:81] - node _T_1088 = cat(_T_774[7], _T_774[6]) @[el2_lib.scala 401:81] - node _T_1089 = cat(_T_1088, _T_1087) @[el2_lib.scala 401:81] - node _T_1090 = cat(_T_1089, _T_1086) @[el2_lib.scala 401:81] - node _T_1091 = cat(_T_774[9], _T_774[8]) @[el2_lib.scala 401:81] - node _T_1092 = cat(_T_774[11], _T_774[10]) @[el2_lib.scala 401:81] - node _T_1093 = cat(_T_1092, _T_1091) @[el2_lib.scala 401:81] - node _T_1094 = cat(_T_774[13], _T_774[12]) @[el2_lib.scala 401:81] - node _T_1095 = cat(_T_774[16], _T_774[15]) @[el2_lib.scala 401:81] - node _T_1096 = cat(_T_1095, _T_774[14]) @[el2_lib.scala 401:81] - node _T_1097 = cat(_T_1096, _T_1094) @[el2_lib.scala 401:81] - node _T_1098 = cat(_T_1097, _T_1093) @[el2_lib.scala 401:81] - node _T_1099 = cat(_T_1098, _T_1090) @[el2_lib.scala 401:81] - node _T_1100 = cat(_T_774[18], _T_774[17]) @[el2_lib.scala 401:81] - node _T_1101 = cat(_T_774[20], _T_774[19]) @[el2_lib.scala 401:81] - node _T_1102 = cat(_T_1101, _T_1100) @[el2_lib.scala 401:81] - node _T_1103 = cat(_T_774[22], _T_774[21]) @[el2_lib.scala 401:81] - node _T_1104 = cat(_T_774[25], _T_774[24]) @[el2_lib.scala 401:81] - node _T_1105 = cat(_T_1104, _T_774[23]) @[el2_lib.scala 401:81] - node _T_1106 = cat(_T_1105, _T_1103) @[el2_lib.scala 401:81] - node _T_1107 = cat(_T_1106, _T_1102) @[el2_lib.scala 401:81] - node _T_1108 = cat(_T_774[27], _T_774[26]) @[el2_lib.scala 401:81] - node _T_1109 = cat(_T_774[29], _T_774[28]) @[el2_lib.scala 401:81] - node _T_1110 = cat(_T_1109, _T_1108) @[el2_lib.scala 401:81] - node _T_1111 = cat(_T_774[31], _T_774[30]) @[el2_lib.scala 401:81] - node _T_1112 = cat(_T_774[34], _T_774[33]) @[el2_lib.scala 401:81] - node _T_1113 = cat(_T_1112, _T_774[32]) @[el2_lib.scala 401:81] - node _T_1114 = cat(_T_1113, _T_1111) @[el2_lib.scala 401:81] - node _T_1115 = cat(_T_1114, _T_1110) @[el2_lib.scala 401:81] - node _T_1116 = cat(_T_1115, _T_1107) @[el2_lib.scala 401:81] - node _T_1117 = cat(_T_1116, _T_1099) @[el2_lib.scala 401:81] - node _T_1118 = xorr(_T_1117) @[el2_lib.scala 401:88] - node _T_1119 = cat(_T_773[1], _T_773[0]) @[el2_lib.scala 401:98] - node _T_1120 = cat(_T_773[3], _T_773[2]) @[el2_lib.scala 401:98] - node _T_1121 = cat(_T_1120, _T_1119) @[el2_lib.scala 401:98] - node _T_1122 = cat(_T_773[5], _T_773[4]) @[el2_lib.scala 401:98] - node _T_1123 = cat(_T_773[7], _T_773[6]) @[el2_lib.scala 401:98] - node _T_1124 = cat(_T_1123, _T_1122) @[el2_lib.scala 401:98] - node _T_1125 = cat(_T_1124, _T_1121) @[el2_lib.scala 401:98] - node _T_1126 = cat(_T_773[9], _T_773[8]) @[el2_lib.scala 401:98] - node _T_1127 = cat(_T_773[11], _T_773[10]) @[el2_lib.scala 401:98] - node _T_1128 = cat(_T_1127, _T_1126) @[el2_lib.scala 401:98] - node _T_1129 = cat(_T_773[13], _T_773[12]) @[el2_lib.scala 401:98] - node _T_1130 = cat(_T_773[16], _T_773[15]) @[el2_lib.scala 401:98] - node _T_1131 = cat(_T_1130, _T_773[14]) @[el2_lib.scala 401:98] - node _T_1132 = cat(_T_1131, _T_1129) @[el2_lib.scala 401:98] - node _T_1133 = cat(_T_1132, _T_1128) @[el2_lib.scala 401:98] - node _T_1134 = cat(_T_1133, _T_1125) @[el2_lib.scala 401:98] - node _T_1135 = cat(_T_773[18], _T_773[17]) @[el2_lib.scala 401:98] - node _T_1136 = cat(_T_773[20], _T_773[19]) @[el2_lib.scala 401:98] - node _T_1137 = cat(_T_1136, _T_1135) @[el2_lib.scala 401:98] - node _T_1138 = cat(_T_773[22], _T_773[21]) @[el2_lib.scala 401:98] - node _T_1139 = cat(_T_773[25], _T_773[24]) @[el2_lib.scala 401:98] - node _T_1140 = cat(_T_1139, _T_773[23]) @[el2_lib.scala 401:98] - node _T_1141 = cat(_T_1140, _T_1138) @[el2_lib.scala 401:98] - node _T_1142 = cat(_T_1141, _T_1137) @[el2_lib.scala 401:98] - node _T_1143 = cat(_T_773[27], _T_773[26]) @[el2_lib.scala 401:98] - node _T_1144 = cat(_T_773[29], _T_773[28]) @[el2_lib.scala 401:98] - node _T_1145 = cat(_T_1144, _T_1143) @[el2_lib.scala 401:98] - node _T_1146 = cat(_T_773[31], _T_773[30]) @[el2_lib.scala 401:98] - node _T_1147 = cat(_T_773[34], _T_773[33]) @[el2_lib.scala 401:98] - node _T_1148 = cat(_T_1147, _T_773[32]) @[el2_lib.scala 401:98] - node _T_1149 = cat(_T_1148, _T_1146) @[el2_lib.scala 401:98] - node _T_1150 = cat(_T_1149, _T_1145) @[el2_lib.scala 401:98] - node _T_1151 = cat(_T_1150, _T_1142) @[el2_lib.scala 401:98] - node _T_1152 = cat(_T_1151, _T_1134) @[el2_lib.scala 401:98] - node _T_1153 = xorr(_T_1152) @[el2_lib.scala 401:105] - node _T_1154 = cat(_T_772[1], _T_772[0]) @[el2_lib.scala 401:115] - node _T_1155 = cat(_T_772[3], _T_772[2]) @[el2_lib.scala 401:115] - node _T_1156 = cat(_T_1155, _T_1154) @[el2_lib.scala 401:115] - node _T_1157 = cat(_T_772[5], _T_772[4]) @[el2_lib.scala 401:115] - node _T_1158 = cat(_T_772[7], _T_772[6]) @[el2_lib.scala 401:115] - node _T_1159 = cat(_T_1158, _T_1157) @[el2_lib.scala 401:115] - node _T_1160 = cat(_T_1159, _T_1156) @[el2_lib.scala 401:115] - node _T_1161 = cat(_T_772[9], _T_772[8]) @[el2_lib.scala 401:115] - node _T_1162 = cat(_T_772[11], _T_772[10]) @[el2_lib.scala 401:115] - node _T_1163 = cat(_T_1162, _T_1161) @[el2_lib.scala 401:115] - node _T_1164 = cat(_T_772[13], _T_772[12]) @[el2_lib.scala 401:115] - node _T_1165 = cat(_T_772[16], _T_772[15]) @[el2_lib.scala 401:115] - node _T_1166 = cat(_T_1165, _T_772[14]) @[el2_lib.scala 401:115] - node _T_1167 = cat(_T_1166, _T_1164) @[el2_lib.scala 401:115] - node _T_1168 = cat(_T_1167, _T_1163) @[el2_lib.scala 401:115] - node _T_1169 = cat(_T_1168, _T_1160) @[el2_lib.scala 401:115] - node _T_1170 = cat(_T_772[18], _T_772[17]) @[el2_lib.scala 401:115] - node _T_1171 = cat(_T_772[20], _T_772[19]) @[el2_lib.scala 401:115] - node _T_1172 = cat(_T_1171, _T_1170) @[el2_lib.scala 401:115] - node _T_1173 = cat(_T_772[22], _T_772[21]) @[el2_lib.scala 401:115] - node _T_1174 = cat(_T_772[25], _T_772[24]) @[el2_lib.scala 401:115] - node _T_1175 = cat(_T_1174, _T_772[23]) @[el2_lib.scala 401:115] - node _T_1176 = cat(_T_1175, _T_1173) @[el2_lib.scala 401:115] - node _T_1177 = cat(_T_1176, _T_1172) @[el2_lib.scala 401:115] - node _T_1178 = cat(_T_772[27], _T_772[26]) @[el2_lib.scala 401:115] - node _T_1179 = cat(_T_772[29], _T_772[28]) @[el2_lib.scala 401:115] - node _T_1180 = cat(_T_1179, _T_1178) @[el2_lib.scala 401:115] - node _T_1181 = cat(_T_772[31], _T_772[30]) @[el2_lib.scala 401:115] - node _T_1182 = cat(_T_772[34], _T_772[33]) @[el2_lib.scala 401:115] - node _T_1183 = cat(_T_1182, _T_772[32]) @[el2_lib.scala 401:115] - node _T_1184 = cat(_T_1183, _T_1181) @[el2_lib.scala 401:115] - node _T_1185 = cat(_T_1184, _T_1180) @[el2_lib.scala 401:115] - node _T_1186 = cat(_T_1185, _T_1177) @[el2_lib.scala 401:115] - node _T_1187 = cat(_T_1186, _T_1169) @[el2_lib.scala 401:115] - node _T_1188 = xorr(_T_1187) @[el2_lib.scala 401:122] + wire _T_772 : UInt<1>[35] @[el2_lib.scala 373:18] + wire _T_773 : UInt<1>[35] @[el2_lib.scala 374:18] + wire _T_774 : UInt<1>[35] @[el2_lib.scala 375:18] + wire _T_775 : UInt<1>[31] @[el2_lib.scala 376:18] + wire _T_776 : UInt<1>[31] @[el2_lib.scala 377:18] + wire _T_777 : UInt<1>[31] @[el2_lib.scala 378:18] + wire _T_778 : UInt<1>[7] @[el2_lib.scala 379:18] + node _T_779 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 386:36] + _T_772[0] <= _T_779 @[el2_lib.scala 386:30] + node _T_780 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 387:36] + _T_773[0] <= _T_780 @[el2_lib.scala 387:30] + node _T_781 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 386:36] + _T_772[1] <= _T_781 @[el2_lib.scala 386:30] + node _T_782 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 388:36] + _T_774[0] <= _T_782 @[el2_lib.scala 388:30] + node _T_783 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 387:36] + _T_773[1] <= _T_783 @[el2_lib.scala 387:30] + node _T_784 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 388:36] + _T_774[1] <= _T_784 @[el2_lib.scala 388:30] + node _T_785 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 386:36] + _T_772[2] <= _T_785 @[el2_lib.scala 386:30] + node _T_786 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 387:36] + _T_773[2] <= _T_786 @[el2_lib.scala 387:30] + node _T_787 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 388:36] + _T_774[2] <= _T_787 @[el2_lib.scala 388:30] + node _T_788 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 386:36] + _T_772[3] <= _T_788 @[el2_lib.scala 386:30] + node _T_789 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 389:36] + _T_775[0] <= _T_789 @[el2_lib.scala 389:30] + node _T_790 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 387:36] + _T_773[3] <= _T_790 @[el2_lib.scala 387:30] + node _T_791 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 389:36] + _T_775[1] <= _T_791 @[el2_lib.scala 389:30] + node _T_792 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 386:36] + _T_772[4] <= _T_792 @[el2_lib.scala 386:30] + node _T_793 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 387:36] + _T_773[4] <= _T_793 @[el2_lib.scala 387:30] + node _T_794 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 389:36] + _T_775[2] <= _T_794 @[el2_lib.scala 389:30] + node _T_795 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 388:36] + _T_774[3] <= _T_795 @[el2_lib.scala 388:30] + node _T_796 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 389:36] + _T_775[3] <= _T_796 @[el2_lib.scala 389:30] + node _T_797 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 386:36] + _T_772[5] <= _T_797 @[el2_lib.scala 386:30] + node _T_798 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 388:36] + _T_774[4] <= _T_798 @[el2_lib.scala 388:30] + node _T_799 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 389:36] + _T_775[4] <= _T_799 @[el2_lib.scala 389:30] + node _T_800 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 387:36] + _T_773[5] <= _T_800 @[el2_lib.scala 387:30] + node _T_801 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 388:36] + _T_774[5] <= _T_801 @[el2_lib.scala 388:30] + node _T_802 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 389:36] + _T_775[5] <= _T_802 @[el2_lib.scala 389:30] + node _T_803 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 386:36] + _T_772[6] <= _T_803 @[el2_lib.scala 386:30] + node _T_804 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 387:36] + _T_773[6] <= _T_804 @[el2_lib.scala 387:30] + node _T_805 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 388:36] + _T_774[6] <= _T_805 @[el2_lib.scala 388:30] + node _T_806 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 389:36] + _T_775[6] <= _T_806 @[el2_lib.scala 389:30] + node _T_807 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 386:36] + _T_772[7] <= _T_807 @[el2_lib.scala 386:30] + node _T_808 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 390:36] + _T_776[0] <= _T_808 @[el2_lib.scala 390:30] + node _T_809 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 387:36] + _T_773[7] <= _T_809 @[el2_lib.scala 387:30] + node _T_810 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 390:36] + _T_776[1] <= _T_810 @[el2_lib.scala 390:30] + node _T_811 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 386:36] + _T_772[8] <= _T_811 @[el2_lib.scala 386:30] + node _T_812 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 387:36] + _T_773[8] <= _T_812 @[el2_lib.scala 387:30] + node _T_813 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 390:36] + _T_776[2] <= _T_813 @[el2_lib.scala 390:30] + node _T_814 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 388:36] + _T_774[7] <= _T_814 @[el2_lib.scala 388:30] + node _T_815 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 390:36] + _T_776[3] <= _T_815 @[el2_lib.scala 390:30] + node _T_816 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 386:36] + _T_772[9] <= _T_816 @[el2_lib.scala 386:30] + node _T_817 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 388:36] + _T_774[8] <= _T_817 @[el2_lib.scala 388:30] + node _T_818 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 390:36] + _T_776[4] <= _T_818 @[el2_lib.scala 390:30] + node _T_819 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 387:36] + _T_773[9] <= _T_819 @[el2_lib.scala 387:30] + node _T_820 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 388:36] + _T_774[9] <= _T_820 @[el2_lib.scala 388:30] + node _T_821 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 390:36] + _T_776[5] <= _T_821 @[el2_lib.scala 390:30] + node _T_822 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 386:36] + _T_772[10] <= _T_822 @[el2_lib.scala 386:30] + node _T_823 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 387:36] + _T_773[10] <= _T_823 @[el2_lib.scala 387:30] + node _T_824 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 388:36] + _T_774[10] <= _T_824 @[el2_lib.scala 388:30] + node _T_825 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 390:36] + _T_776[6] <= _T_825 @[el2_lib.scala 390:30] + node _T_826 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 389:36] + _T_775[7] <= _T_826 @[el2_lib.scala 389:30] + node _T_827 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 390:36] + _T_776[7] <= _T_827 @[el2_lib.scala 390:30] + node _T_828 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 386:36] + _T_772[11] <= _T_828 @[el2_lib.scala 386:30] + node _T_829 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 389:36] + _T_775[8] <= _T_829 @[el2_lib.scala 389:30] + node _T_830 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 390:36] + _T_776[8] <= _T_830 @[el2_lib.scala 390:30] + node _T_831 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 387:36] + _T_773[11] <= _T_831 @[el2_lib.scala 387:30] + node _T_832 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 389:36] + _T_775[9] <= _T_832 @[el2_lib.scala 389:30] + node _T_833 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 390:36] + _T_776[9] <= _T_833 @[el2_lib.scala 390:30] + node _T_834 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 386:36] + _T_772[12] <= _T_834 @[el2_lib.scala 386:30] + node _T_835 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 387:36] + _T_773[12] <= _T_835 @[el2_lib.scala 387:30] + node _T_836 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 389:36] + _T_775[10] <= _T_836 @[el2_lib.scala 389:30] + node _T_837 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 390:36] + _T_776[10] <= _T_837 @[el2_lib.scala 390:30] + node _T_838 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 388:36] + _T_774[11] <= _T_838 @[el2_lib.scala 388:30] + node _T_839 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 389:36] + _T_775[11] <= _T_839 @[el2_lib.scala 389:30] + node _T_840 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 390:36] + _T_776[11] <= _T_840 @[el2_lib.scala 390:30] + node _T_841 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 386:36] + _T_772[13] <= _T_841 @[el2_lib.scala 386:30] + node _T_842 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 388:36] + _T_774[12] <= _T_842 @[el2_lib.scala 388:30] + node _T_843 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 389:36] + _T_775[12] <= _T_843 @[el2_lib.scala 389:30] + node _T_844 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 390:36] + _T_776[12] <= _T_844 @[el2_lib.scala 390:30] + node _T_845 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 387:36] + _T_773[13] <= _T_845 @[el2_lib.scala 387:30] + node _T_846 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 388:36] + _T_774[13] <= _T_846 @[el2_lib.scala 388:30] + node _T_847 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 389:36] + _T_775[13] <= _T_847 @[el2_lib.scala 389:30] + node _T_848 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 390:36] + _T_776[13] <= _T_848 @[el2_lib.scala 390:30] + node _T_849 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 386:36] + _T_772[14] <= _T_849 @[el2_lib.scala 386:30] + node _T_850 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 387:36] + _T_773[14] <= _T_850 @[el2_lib.scala 387:30] + node _T_851 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 388:36] + _T_774[14] <= _T_851 @[el2_lib.scala 388:30] + node _T_852 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 389:36] + _T_775[14] <= _T_852 @[el2_lib.scala 389:30] + node _T_853 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 390:36] + _T_776[14] <= _T_853 @[el2_lib.scala 390:30] + node _T_854 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 386:36] + _T_772[15] <= _T_854 @[el2_lib.scala 386:30] + node _T_855 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 391:36] + _T_777[0] <= _T_855 @[el2_lib.scala 391:30] + node _T_856 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 387:36] + _T_773[15] <= _T_856 @[el2_lib.scala 387:30] + node _T_857 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 391:36] + _T_777[1] <= _T_857 @[el2_lib.scala 391:30] + node _T_858 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 386:36] + _T_772[16] <= _T_858 @[el2_lib.scala 386:30] + node _T_859 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 387:36] + _T_773[16] <= _T_859 @[el2_lib.scala 387:30] + node _T_860 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 391:36] + _T_777[2] <= _T_860 @[el2_lib.scala 391:30] + node _T_861 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 388:36] + _T_774[15] <= _T_861 @[el2_lib.scala 388:30] + node _T_862 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 391:36] + _T_777[3] <= _T_862 @[el2_lib.scala 391:30] + node _T_863 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 386:36] + _T_772[17] <= _T_863 @[el2_lib.scala 386:30] + node _T_864 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 388:36] + _T_774[16] <= _T_864 @[el2_lib.scala 388:30] + node _T_865 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 391:36] + _T_777[4] <= _T_865 @[el2_lib.scala 391:30] + node _T_866 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 387:36] + _T_773[17] <= _T_866 @[el2_lib.scala 387:30] + node _T_867 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 388:36] + _T_774[17] <= _T_867 @[el2_lib.scala 388:30] + node _T_868 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 391:36] + _T_777[5] <= _T_868 @[el2_lib.scala 391:30] + node _T_869 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 386:36] + _T_772[18] <= _T_869 @[el2_lib.scala 386:30] + node _T_870 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 387:36] + _T_773[18] <= _T_870 @[el2_lib.scala 387:30] + node _T_871 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 388:36] + _T_774[18] <= _T_871 @[el2_lib.scala 388:30] + node _T_872 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 391:36] + _T_777[6] <= _T_872 @[el2_lib.scala 391:30] + node _T_873 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 389:36] + _T_775[15] <= _T_873 @[el2_lib.scala 389:30] + node _T_874 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 391:36] + _T_777[7] <= _T_874 @[el2_lib.scala 391:30] + node _T_875 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 386:36] + _T_772[19] <= _T_875 @[el2_lib.scala 386:30] + node _T_876 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 389:36] + _T_775[16] <= _T_876 @[el2_lib.scala 389:30] + node _T_877 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 391:36] + _T_777[8] <= _T_877 @[el2_lib.scala 391:30] + node _T_878 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 387:36] + _T_773[19] <= _T_878 @[el2_lib.scala 387:30] + node _T_879 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 389:36] + _T_775[17] <= _T_879 @[el2_lib.scala 389:30] + node _T_880 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 391:36] + _T_777[9] <= _T_880 @[el2_lib.scala 391:30] + node _T_881 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 386:36] + _T_772[20] <= _T_881 @[el2_lib.scala 386:30] + node _T_882 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 387:36] + _T_773[20] <= _T_882 @[el2_lib.scala 387:30] + node _T_883 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 389:36] + _T_775[18] <= _T_883 @[el2_lib.scala 389:30] + node _T_884 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 391:36] + _T_777[10] <= _T_884 @[el2_lib.scala 391:30] + node _T_885 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 388:36] + _T_774[19] <= _T_885 @[el2_lib.scala 388:30] + node _T_886 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 389:36] + _T_775[19] <= _T_886 @[el2_lib.scala 389:30] + node _T_887 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 391:36] + _T_777[11] <= _T_887 @[el2_lib.scala 391:30] + node _T_888 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 386:36] + _T_772[21] <= _T_888 @[el2_lib.scala 386:30] + node _T_889 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 388:36] + _T_774[20] <= _T_889 @[el2_lib.scala 388:30] + node _T_890 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 389:36] + _T_775[20] <= _T_890 @[el2_lib.scala 389:30] + node _T_891 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 391:36] + _T_777[12] <= _T_891 @[el2_lib.scala 391:30] + node _T_892 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 387:36] + _T_773[21] <= _T_892 @[el2_lib.scala 387:30] + node _T_893 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 388:36] + _T_774[21] <= _T_893 @[el2_lib.scala 388:30] + node _T_894 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 389:36] + _T_775[21] <= _T_894 @[el2_lib.scala 389:30] + node _T_895 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 391:36] + _T_777[13] <= _T_895 @[el2_lib.scala 391:30] + node _T_896 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 386:36] + _T_772[22] <= _T_896 @[el2_lib.scala 386:30] + node _T_897 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 387:36] + _T_773[22] <= _T_897 @[el2_lib.scala 387:30] + node _T_898 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 388:36] + _T_774[22] <= _T_898 @[el2_lib.scala 388:30] + node _T_899 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 389:36] + _T_775[22] <= _T_899 @[el2_lib.scala 389:30] + node _T_900 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 391:36] + _T_777[14] <= _T_900 @[el2_lib.scala 391:30] + node _T_901 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 390:36] + _T_776[15] <= _T_901 @[el2_lib.scala 390:30] + node _T_902 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 391:36] + _T_777[15] <= _T_902 @[el2_lib.scala 391:30] + node _T_903 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 386:36] + _T_772[23] <= _T_903 @[el2_lib.scala 386:30] + node _T_904 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 390:36] + _T_776[16] <= _T_904 @[el2_lib.scala 390:30] + node _T_905 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 391:36] + _T_777[16] <= _T_905 @[el2_lib.scala 391:30] + node _T_906 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 387:36] + _T_773[23] <= _T_906 @[el2_lib.scala 387:30] + node _T_907 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 390:36] + _T_776[17] <= _T_907 @[el2_lib.scala 390:30] + node _T_908 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 391:36] + _T_777[17] <= _T_908 @[el2_lib.scala 391:30] + node _T_909 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 386:36] + _T_772[24] <= _T_909 @[el2_lib.scala 386:30] + node _T_910 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 387:36] + _T_773[24] <= _T_910 @[el2_lib.scala 387:30] + node _T_911 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 390:36] + _T_776[18] <= _T_911 @[el2_lib.scala 390:30] + node _T_912 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 391:36] + _T_777[18] <= _T_912 @[el2_lib.scala 391:30] + node _T_913 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 388:36] + _T_774[23] <= _T_913 @[el2_lib.scala 388:30] + node _T_914 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 390:36] + _T_776[19] <= _T_914 @[el2_lib.scala 390:30] + node _T_915 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 391:36] + _T_777[19] <= _T_915 @[el2_lib.scala 391:30] + node _T_916 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 386:36] + _T_772[25] <= _T_916 @[el2_lib.scala 386:30] + node _T_917 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 388:36] + _T_774[24] <= _T_917 @[el2_lib.scala 388:30] + node _T_918 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 390:36] + _T_776[20] <= _T_918 @[el2_lib.scala 390:30] + node _T_919 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 391:36] + _T_777[20] <= _T_919 @[el2_lib.scala 391:30] + node _T_920 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 387:36] + _T_773[25] <= _T_920 @[el2_lib.scala 387:30] + node _T_921 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 388:36] + _T_774[25] <= _T_921 @[el2_lib.scala 388:30] + node _T_922 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 390:36] + _T_776[21] <= _T_922 @[el2_lib.scala 390:30] + node _T_923 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 391:36] + _T_777[21] <= _T_923 @[el2_lib.scala 391:30] + node _T_924 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 386:36] + _T_772[26] <= _T_924 @[el2_lib.scala 386:30] + node _T_925 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 387:36] + _T_773[26] <= _T_925 @[el2_lib.scala 387:30] + node _T_926 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 388:36] + _T_774[26] <= _T_926 @[el2_lib.scala 388:30] + node _T_927 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 390:36] + _T_776[22] <= _T_927 @[el2_lib.scala 390:30] + node _T_928 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 391:36] + _T_777[22] <= _T_928 @[el2_lib.scala 391:30] + node _T_929 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 389:36] + _T_775[23] <= _T_929 @[el2_lib.scala 389:30] + node _T_930 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 390:36] + _T_776[23] <= _T_930 @[el2_lib.scala 390:30] + node _T_931 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 391:36] + _T_777[23] <= _T_931 @[el2_lib.scala 391:30] + node _T_932 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 386:36] + _T_772[27] <= _T_932 @[el2_lib.scala 386:30] + node _T_933 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 389:36] + _T_775[24] <= _T_933 @[el2_lib.scala 389:30] + node _T_934 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 390:36] + _T_776[24] <= _T_934 @[el2_lib.scala 390:30] + node _T_935 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 391:36] + _T_777[24] <= _T_935 @[el2_lib.scala 391:30] + node _T_936 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 387:36] + _T_773[27] <= _T_936 @[el2_lib.scala 387:30] + node _T_937 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 389:36] + _T_775[25] <= _T_937 @[el2_lib.scala 389:30] + node _T_938 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 390:36] + _T_776[25] <= _T_938 @[el2_lib.scala 390:30] + node _T_939 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 391:36] + _T_777[25] <= _T_939 @[el2_lib.scala 391:30] + node _T_940 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 386:36] + _T_772[28] <= _T_940 @[el2_lib.scala 386:30] + node _T_941 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 387:36] + _T_773[28] <= _T_941 @[el2_lib.scala 387:30] + node _T_942 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 389:36] + _T_775[26] <= _T_942 @[el2_lib.scala 389:30] + node _T_943 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 390:36] + _T_776[26] <= _T_943 @[el2_lib.scala 390:30] + node _T_944 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 391:36] + _T_777[26] <= _T_944 @[el2_lib.scala 391:30] + node _T_945 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 388:36] + _T_774[27] <= _T_945 @[el2_lib.scala 388:30] + node _T_946 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 389:36] + _T_775[27] <= _T_946 @[el2_lib.scala 389:30] + node _T_947 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 390:36] + _T_776[27] <= _T_947 @[el2_lib.scala 390:30] + node _T_948 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 391:36] + _T_777[27] <= _T_948 @[el2_lib.scala 391:30] + node _T_949 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 386:36] + _T_772[29] <= _T_949 @[el2_lib.scala 386:30] + node _T_950 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 388:36] + _T_774[28] <= _T_950 @[el2_lib.scala 388:30] + node _T_951 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 389:36] + _T_775[28] <= _T_951 @[el2_lib.scala 389:30] + node _T_952 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 390:36] + _T_776[28] <= _T_952 @[el2_lib.scala 390:30] + node _T_953 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 391:36] + _T_777[28] <= _T_953 @[el2_lib.scala 391:30] + node _T_954 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 387:36] + _T_773[29] <= _T_954 @[el2_lib.scala 387:30] + node _T_955 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 388:36] + _T_774[29] <= _T_955 @[el2_lib.scala 388:30] + node _T_956 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 389:36] + _T_775[29] <= _T_956 @[el2_lib.scala 389:30] + node _T_957 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 390:36] + _T_776[29] <= _T_957 @[el2_lib.scala 390:30] + node _T_958 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 391:36] + _T_777[29] <= _T_958 @[el2_lib.scala 391:30] + node _T_959 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 386:36] + _T_772[30] <= _T_959 @[el2_lib.scala 386:30] + node _T_960 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 387:36] + _T_773[30] <= _T_960 @[el2_lib.scala 387:30] + node _T_961 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 388:36] + _T_774[30] <= _T_961 @[el2_lib.scala 388:30] + node _T_962 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 389:36] + _T_775[30] <= _T_962 @[el2_lib.scala 389:30] + node _T_963 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 390:36] + _T_776[30] <= _T_963 @[el2_lib.scala 390:30] + node _T_964 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 391:36] + _T_777[30] <= _T_964 @[el2_lib.scala 391:30] + node _T_965 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 386:36] + _T_772[31] <= _T_965 @[el2_lib.scala 386:30] + node _T_966 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 392:36] + _T_778[0] <= _T_966 @[el2_lib.scala 392:30] + node _T_967 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 387:36] + _T_773[31] <= _T_967 @[el2_lib.scala 387:30] + node _T_968 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 392:36] + _T_778[1] <= _T_968 @[el2_lib.scala 392:30] + node _T_969 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 386:36] + _T_772[32] <= _T_969 @[el2_lib.scala 386:30] + node _T_970 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 387:36] + _T_773[32] <= _T_970 @[el2_lib.scala 387:30] + node _T_971 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 392:36] + _T_778[2] <= _T_971 @[el2_lib.scala 392:30] + node _T_972 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 388:36] + _T_774[31] <= _T_972 @[el2_lib.scala 388:30] + node _T_973 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 392:36] + _T_778[3] <= _T_973 @[el2_lib.scala 392:30] + node _T_974 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 386:36] + _T_772[33] <= _T_974 @[el2_lib.scala 386:30] + node _T_975 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 388:36] + _T_774[32] <= _T_975 @[el2_lib.scala 388:30] + node _T_976 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 392:36] + _T_778[4] <= _T_976 @[el2_lib.scala 392:30] + node _T_977 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 387:36] + _T_773[33] <= _T_977 @[el2_lib.scala 387:30] + node _T_978 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 388:36] + _T_774[33] <= _T_978 @[el2_lib.scala 388:30] + node _T_979 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 392:36] + _T_778[5] <= _T_979 @[el2_lib.scala 392:30] + node _T_980 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 386:36] + _T_772[34] <= _T_980 @[el2_lib.scala 386:30] + node _T_981 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 387:36] + _T_773[34] <= _T_981 @[el2_lib.scala 387:30] + node _T_982 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 388:36] + _T_774[34] <= _T_982 @[el2_lib.scala 388:30] + node _T_983 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 392:36] + _T_778[6] <= _T_983 @[el2_lib.scala 392:30] + node _T_984 = cat(_T_778[2], _T_778[1]) @[el2_lib.scala 394:13] + node _T_985 = cat(_T_984, _T_778[0]) @[el2_lib.scala 394:13] + node _T_986 = cat(_T_778[4], _T_778[3]) @[el2_lib.scala 394:13] + node _T_987 = cat(_T_778[6], _T_778[5]) @[el2_lib.scala 394:13] + node _T_988 = cat(_T_987, _T_986) @[el2_lib.scala 394:13] + node _T_989 = cat(_T_988, _T_985) @[el2_lib.scala 394:13] + node _T_990 = xorr(_T_989) @[el2_lib.scala 394:20] + node _T_991 = cat(_T_777[2], _T_777[1]) @[el2_lib.scala 394:30] + node _T_992 = cat(_T_991, _T_777[0]) @[el2_lib.scala 394:30] + node _T_993 = cat(_T_777[4], _T_777[3]) @[el2_lib.scala 394:30] + node _T_994 = cat(_T_777[6], _T_777[5]) @[el2_lib.scala 394:30] + node _T_995 = cat(_T_994, _T_993) @[el2_lib.scala 394:30] + node _T_996 = cat(_T_995, _T_992) @[el2_lib.scala 394:30] + node _T_997 = cat(_T_777[8], _T_777[7]) @[el2_lib.scala 394:30] + node _T_998 = cat(_T_777[10], _T_777[9]) @[el2_lib.scala 394:30] + node _T_999 = cat(_T_998, _T_997) @[el2_lib.scala 394:30] + node _T_1000 = cat(_T_777[12], _T_777[11]) @[el2_lib.scala 394:30] + node _T_1001 = cat(_T_777[14], _T_777[13]) @[el2_lib.scala 394:30] + node _T_1002 = cat(_T_1001, _T_1000) @[el2_lib.scala 394:30] + node _T_1003 = cat(_T_1002, _T_999) @[el2_lib.scala 394:30] + node _T_1004 = cat(_T_1003, _T_996) @[el2_lib.scala 394:30] + node _T_1005 = cat(_T_777[16], _T_777[15]) @[el2_lib.scala 394:30] + node _T_1006 = cat(_T_777[18], _T_777[17]) @[el2_lib.scala 394:30] + node _T_1007 = cat(_T_1006, _T_1005) @[el2_lib.scala 394:30] + node _T_1008 = cat(_T_777[20], _T_777[19]) @[el2_lib.scala 394:30] + node _T_1009 = cat(_T_777[22], _T_777[21]) @[el2_lib.scala 394:30] + node _T_1010 = cat(_T_1009, _T_1008) @[el2_lib.scala 394:30] + node _T_1011 = cat(_T_1010, _T_1007) @[el2_lib.scala 394:30] + node _T_1012 = cat(_T_777[24], _T_777[23]) @[el2_lib.scala 394:30] + node _T_1013 = cat(_T_777[26], _T_777[25]) @[el2_lib.scala 394:30] + node _T_1014 = cat(_T_1013, _T_1012) @[el2_lib.scala 394:30] + node _T_1015 = cat(_T_777[28], _T_777[27]) @[el2_lib.scala 394:30] + node _T_1016 = cat(_T_777[30], _T_777[29]) @[el2_lib.scala 394:30] + node _T_1017 = cat(_T_1016, _T_1015) @[el2_lib.scala 394:30] + node _T_1018 = cat(_T_1017, _T_1014) @[el2_lib.scala 394:30] + node _T_1019 = cat(_T_1018, _T_1011) @[el2_lib.scala 394:30] + node _T_1020 = cat(_T_1019, _T_1004) @[el2_lib.scala 394:30] + node _T_1021 = xorr(_T_1020) @[el2_lib.scala 394:37] + node _T_1022 = cat(_T_776[2], _T_776[1]) @[el2_lib.scala 394:47] + node _T_1023 = cat(_T_1022, _T_776[0]) @[el2_lib.scala 394:47] + node _T_1024 = cat(_T_776[4], _T_776[3]) @[el2_lib.scala 394:47] + node _T_1025 = cat(_T_776[6], _T_776[5]) @[el2_lib.scala 394:47] + node _T_1026 = cat(_T_1025, _T_1024) @[el2_lib.scala 394:47] + node _T_1027 = cat(_T_1026, _T_1023) @[el2_lib.scala 394:47] + node _T_1028 = cat(_T_776[8], _T_776[7]) @[el2_lib.scala 394:47] + node _T_1029 = cat(_T_776[10], _T_776[9]) @[el2_lib.scala 394:47] + node _T_1030 = cat(_T_1029, _T_1028) @[el2_lib.scala 394:47] + node _T_1031 = cat(_T_776[12], _T_776[11]) @[el2_lib.scala 394:47] + node _T_1032 = cat(_T_776[14], _T_776[13]) @[el2_lib.scala 394:47] + node _T_1033 = cat(_T_1032, _T_1031) @[el2_lib.scala 394:47] + node _T_1034 = cat(_T_1033, _T_1030) @[el2_lib.scala 394:47] + node _T_1035 = cat(_T_1034, _T_1027) @[el2_lib.scala 394:47] + node _T_1036 = cat(_T_776[16], _T_776[15]) @[el2_lib.scala 394:47] + node _T_1037 = cat(_T_776[18], _T_776[17]) @[el2_lib.scala 394:47] + node _T_1038 = cat(_T_1037, _T_1036) @[el2_lib.scala 394:47] + node _T_1039 = cat(_T_776[20], _T_776[19]) @[el2_lib.scala 394:47] + node _T_1040 = cat(_T_776[22], _T_776[21]) @[el2_lib.scala 394:47] + node _T_1041 = cat(_T_1040, _T_1039) @[el2_lib.scala 394:47] + node _T_1042 = cat(_T_1041, _T_1038) @[el2_lib.scala 394:47] + node _T_1043 = cat(_T_776[24], _T_776[23]) @[el2_lib.scala 394:47] + node _T_1044 = cat(_T_776[26], _T_776[25]) @[el2_lib.scala 394:47] + node _T_1045 = cat(_T_1044, _T_1043) @[el2_lib.scala 394:47] + node _T_1046 = cat(_T_776[28], _T_776[27]) @[el2_lib.scala 394:47] + node _T_1047 = cat(_T_776[30], _T_776[29]) @[el2_lib.scala 394:47] + node _T_1048 = cat(_T_1047, _T_1046) @[el2_lib.scala 394:47] + node _T_1049 = cat(_T_1048, _T_1045) @[el2_lib.scala 394:47] + node _T_1050 = cat(_T_1049, _T_1042) @[el2_lib.scala 394:47] + node _T_1051 = cat(_T_1050, _T_1035) @[el2_lib.scala 394:47] + node _T_1052 = xorr(_T_1051) @[el2_lib.scala 394:54] + node _T_1053 = cat(_T_775[2], _T_775[1]) @[el2_lib.scala 394:64] + node _T_1054 = cat(_T_1053, _T_775[0]) @[el2_lib.scala 394:64] + node _T_1055 = cat(_T_775[4], _T_775[3]) @[el2_lib.scala 394:64] + node _T_1056 = cat(_T_775[6], _T_775[5]) @[el2_lib.scala 394:64] + node _T_1057 = cat(_T_1056, _T_1055) @[el2_lib.scala 394:64] + node _T_1058 = cat(_T_1057, _T_1054) @[el2_lib.scala 394:64] + node _T_1059 = cat(_T_775[8], _T_775[7]) @[el2_lib.scala 394:64] + node _T_1060 = cat(_T_775[10], _T_775[9]) @[el2_lib.scala 394:64] + node _T_1061 = cat(_T_1060, _T_1059) @[el2_lib.scala 394:64] + node _T_1062 = cat(_T_775[12], _T_775[11]) @[el2_lib.scala 394:64] + node _T_1063 = cat(_T_775[14], _T_775[13]) @[el2_lib.scala 394:64] + node _T_1064 = cat(_T_1063, _T_1062) @[el2_lib.scala 394:64] + node _T_1065 = cat(_T_1064, _T_1061) @[el2_lib.scala 394:64] + node _T_1066 = cat(_T_1065, _T_1058) @[el2_lib.scala 394:64] + node _T_1067 = cat(_T_775[16], _T_775[15]) @[el2_lib.scala 394:64] + node _T_1068 = cat(_T_775[18], _T_775[17]) @[el2_lib.scala 394:64] + node _T_1069 = cat(_T_1068, _T_1067) @[el2_lib.scala 394:64] + node _T_1070 = cat(_T_775[20], _T_775[19]) @[el2_lib.scala 394:64] + node _T_1071 = cat(_T_775[22], _T_775[21]) @[el2_lib.scala 394:64] + node _T_1072 = cat(_T_1071, _T_1070) @[el2_lib.scala 394:64] + node _T_1073 = cat(_T_1072, _T_1069) @[el2_lib.scala 394:64] + node _T_1074 = cat(_T_775[24], _T_775[23]) @[el2_lib.scala 394:64] + node _T_1075 = cat(_T_775[26], _T_775[25]) @[el2_lib.scala 394:64] + node _T_1076 = cat(_T_1075, _T_1074) @[el2_lib.scala 394:64] + node _T_1077 = cat(_T_775[28], _T_775[27]) @[el2_lib.scala 394:64] + node _T_1078 = cat(_T_775[30], _T_775[29]) @[el2_lib.scala 394:64] + node _T_1079 = cat(_T_1078, _T_1077) @[el2_lib.scala 394:64] + node _T_1080 = cat(_T_1079, _T_1076) @[el2_lib.scala 394:64] + node _T_1081 = cat(_T_1080, _T_1073) @[el2_lib.scala 394:64] + node _T_1082 = cat(_T_1081, _T_1066) @[el2_lib.scala 394:64] + node _T_1083 = xorr(_T_1082) @[el2_lib.scala 394:71] + node _T_1084 = cat(_T_774[1], _T_774[0]) @[el2_lib.scala 394:81] + node _T_1085 = cat(_T_774[3], _T_774[2]) @[el2_lib.scala 394:81] + node _T_1086 = cat(_T_1085, _T_1084) @[el2_lib.scala 394:81] + node _T_1087 = cat(_T_774[5], _T_774[4]) @[el2_lib.scala 394:81] + node _T_1088 = cat(_T_774[7], _T_774[6]) @[el2_lib.scala 394:81] + node _T_1089 = cat(_T_1088, _T_1087) @[el2_lib.scala 394:81] + node _T_1090 = cat(_T_1089, _T_1086) @[el2_lib.scala 394:81] + node _T_1091 = cat(_T_774[9], _T_774[8]) @[el2_lib.scala 394:81] + node _T_1092 = cat(_T_774[11], _T_774[10]) @[el2_lib.scala 394:81] + node _T_1093 = cat(_T_1092, _T_1091) @[el2_lib.scala 394:81] + node _T_1094 = cat(_T_774[13], _T_774[12]) @[el2_lib.scala 394:81] + node _T_1095 = cat(_T_774[16], _T_774[15]) @[el2_lib.scala 394:81] + node _T_1096 = cat(_T_1095, _T_774[14]) @[el2_lib.scala 394:81] + node _T_1097 = cat(_T_1096, _T_1094) @[el2_lib.scala 394:81] + node _T_1098 = cat(_T_1097, _T_1093) @[el2_lib.scala 394:81] + node _T_1099 = cat(_T_1098, _T_1090) @[el2_lib.scala 394:81] + node _T_1100 = cat(_T_774[18], _T_774[17]) @[el2_lib.scala 394:81] + node _T_1101 = cat(_T_774[20], _T_774[19]) @[el2_lib.scala 394:81] + node _T_1102 = cat(_T_1101, _T_1100) @[el2_lib.scala 394:81] + node _T_1103 = cat(_T_774[22], _T_774[21]) @[el2_lib.scala 394:81] + node _T_1104 = cat(_T_774[25], _T_774[24]) @[el2_lib.scala 394:81] + node _T_1105 = cat(_T_1104, _T_774[23]) @[el2_lib.scala 394:81] + node _T_1106 = cat(_T_1105, _T_1103) @[el2_lib.scala 394:81] + node _T_1107 = cat(_T_1106, _T_1102) @[el2_lib.scala 394:81] + node _T_1108 = cat(_T_774[27], _T_774[26]) @[el2_lib.scala 394:81] + node _T_1109 = cat(_T_774[29], _T_774[28]) @[el2_lib.scala 394:81] + node _T_1110 = cat(_T_1109, _T_1108) @[el2_lib.scala 394:81] + node _T_1111 = cat(_T_774[31], _T_774[30]) @[el2_lib.scala 394:81] + node _T_1112 = cat(_T_774[34], _T_774[33]) @[el2_lib.scala 394:81] + node _T_1113 = cat(_T_1112, _T_774[32]) @[el2_lib.scala 394:81] + node _T_1114 = cat(_T_1113, _T_1111) @[el2_lib.scala 394:81] + node _T_1115 = cat(_T_1114, _T_1110) @[el2_lib.scala 394:81] + node _T_1116 = cat(_T_1115, _T_1107) @[el2_lib.scala 394:81] + node _T_1117 = cat(_T_1116, _T_1099) @[el2_lib.scala 394:81] + node _T_1118 = xorr(_T_1117) @[el2_lib.scala 394:88] + node _T_1119 = cat(_T_773[1], _T_773[0]) @[el2_lib.scala 394:98] + node _T_1120 = cat(_T_773[3], _T_773[2]) @[el2_lib.scala 394:98] + node _T_1121 = cat(_T_1120, _T_1119) @[el2_lib.scala 394:98] + node _T_1122 = cat(_T_773[5], _T_773[4]) @[el2_lib.scala 394:98] + node _T_1123 = cat(_T_773[7], _T_773[6]) @[el2_lib.scala 394:98] + node _T_1124 = cat(_T_1123, _T_1122) @[el2_lib.scala 394:98] + node _T_1125 = cat(_T_1124, _T_1121) @[el2_lib.scala 394:98] + node _T_1126 = cat(_T_773[9], _T_773[8]) @[el2_lib.scala 394:98] + node _T_1127 = cat(_T_773[11], _T_773[10]) @[el2_lib.scala 394:98] + node _T_1128 = cat(_T_1127, _T_1126) @[el2_lib.scala 394:98] + node _T_1129 = cat(_T_773[13], _T_773[12]) @[el2_lib.scala 394:98] + node _T_1130 = cat(_T_773[16], _T_773[15]) @[el2_lib.scala 394:98] + node _T_1131 = cat(_T_1130, _T_773[14]) @[el2_lib.scala 394:98] + node _T_1132 = cat(_T_1131, _T_1129) @[el2_lib.scala 394:98] + node _T_1133 = cat(_T_1132, _T_1128) @[el2_lib.scala 394:98] + node _T_1134 = cat(_T_1133, _T_1125) @[el2_lib.scala 394:98] + node _T_1135 = cat(_T_773[18], _T_773[17]) @[el2_lib.scala 394:98] + node _T_1136 = cat(_T_773[20], _T_773[19]) @[el2_lib.scala 394:98] + node _T_1137 = cat(_T_1136, _T_1135) @[el2_lib.scala 394:98] + node _T_1138 = cat(_T_773[22], _T_773[21]) @[el2_lib.scala 394:98] + node _T_1139 = cat(_T_773[25], _T_773[24]) @[el2_lib.scala 394:98] + node _T_1140 = cat(_T_1139, _T_773[23]) @[el2_lib.scala 394:98] + node _T_1141 = cat(_T_1140, _T_1138) @[el2_lib.scala 394:98] + node _T_1142 = cat(_T_1141, _T_1137) @[el2_lib.scala 394:98] + node _T_1143 = cat(_T_773[27], _T_773[26]) @[el2_lib.scala 394:98] + node _T_1144 = cat(_T_773[29], _T_773[28]) @[el2_lib.scala 394:98] + node _T_1145 = cat(_T_1144, _T_1143) @[el2_lib.scala 394:98] + node _T_1146 = cat(_T_773[31], _T_773[30]) @[el2_lib.scala 394:98] + node _T_1147 = cat(_T_773[34], _T_773[33]) @[el2_lib.scala 394:98] + node _T_1148 = cat(_T_1147, _T_773[32]) @[el2_lib.scala 394:98] + node _T_1149 = cat(_T_1148, _T_1146) @[el2_lib.scala 394:98] + node _T_1150 = cat(_T_1149, _T_1145) @[el2_lib.scala 394:98] + node _T_1151 = cat(_T_1150, _T_1142) @[el2_lib.scala 394:98] + node _T_1152 = cat(_T_1151, _T_1134) @[el2_lib.scala 394:98] + node _T_1153 = xorr(_T_1152) @[el2_lib.scala 394:105] + node _T_1154 = cat(_T_772[1], _T_772[0]) @[el2_lib.scala 394:115] + node _T_1155 = cat(_T_772[3], _T_772[2]) @[el2_lib.scala 394:115] + node _T_1156 = cat(_T_1155, _T_1154) @[el2_lib.scala 394:115] + node _T_1157 = cat(_T_772[5], _T_772[4]) @[el2_lib.scala 394:115] + node _T_1158 = cat(_T_772[7], _T_772[6]) @[el2_lib.scala 394:115] + node _T_1159 = cat(_T_1158, _T_1157) @[el2_lib.scala 394:115] + node _T_1160 = cat(_T_1159, _T_1156) @[el2_lib.scala 394:115] + node _T_1161 = cat(_T_772[9], _T_772[8]) @[el2_lib.scala 394:115] + node _T_1162 = cat(_T_772[11], _T_772[10]) @[el2_lib.scala 394:115] + node _T_1163 = cat(_T_1162, _T_1161) @[el2_lib.scala 394:115] + node _T_1164 = cat(_T_772[13], _T_772[12]) @[el2_lib.scala 394:115] + node _T_1165 = cat(_T_772[16], _T_772[15]) @[el2_lib.scala 394:115] + node _T_1166 = cat(_T_1165, _T_772[14]) @[el2_lib.scala 394:115] + node _T_1167 = cat(_T_1166, _T_1164) @[el2_lib.scala 394:115] + node _T_1168 = cat(_T_1167, _T_1163) @[el2_lib.scala 394:115] + node _T_1169 = cat(_T_1168, _T_1160) @[el2_lib.scala 394:115] + node _T_1170 = cat(_T_772[18], _T_772[17]) @[el2_lib.scala 394:115] + node _T_1171 = cat(_T_772[20], _T_772[19]) @[el2_lib.scala 394:115] + node _T_1172 = cat(_T_1171, _T_1170) @[el2_lib.scala 394:115] + node _T_1173 = cat(_T_772[22], _T_772[21]) @[el2_lib.scala 394:115] + node _T_1174 = cat(_T_772[25], _T_772[24]) @[el2_lib.scala 394:115] + node _T_1175 = cat(_T_1174, _T_772[23]) @[el2_lib.scala 394:115] + node _T_1176 = cat(_T_1175, _T_1173) @[el2_lib.scala 394:115] + node _T_1177 = cat(_T_1176, _T_1172) @[el2_lib.scala 394:115] + node _T_1178 = cat(_T_772[27], _T_772[26]) @[el2_lib.scala 394:115] + node _T_1179 = cat(_T_772[29], _T_772[28]) @[el2_lib.scala 394:115] + node _T_1180 = cat(_T_1179, _T_1178) @[el2_lib.scala 394:115] + node _T_1181 = cat(_T_772[31], _T_772[30]) @[el2_lib.scala 394:115] + node _T_1182 = cat(_T_772[34], _T_772[33]) @[el2_lib.scala 394:115] + node _T_1183 = cat(_T_1182, _T_772[32]) @[el2_lib.scala 394:115] + node _T_1184 = cat(_T_1183, _T_1181) @[el2_lib.scala 394:115] + node _T_1185 = cat(_T_1184, _T_1180) @[el2_lib.scala 394:115] + node _T_1186 = cat(_T_1185, _T_1177) @[el2_lib.scala 394:115] + node _T_1187 = cat(_T_1186, _T_1169) @[el2_lib.scala 394:115] + node _T_1188 = xorr(_T_1187) @[el2_lib.scala 394:122] node _T_1189 = cat(_T_1118, _T_1153) @[Cat.scala 29:58] node _T_1190 = cat(_T_1189, _T_1188) @[Cat.scala 29:58] node _T_1191 = cat(_T_1052, _T_1083) @[Cat.scala 29:58] @@ -1899,24 +1959,24 @@ circuit el2_ifu_mem_ctl : skip @[Reg.scala 28:19] io.ifu_ic_debug_rd_data <= _T_1209 @[el2_ifu_mem_ctl.scala 353:27] node _T_1210 = bits(ifu_bus_rdata_ff, 15, 0) @[el2_ifu_mem_ctl.scala 354:74] - node _T_1211 = xorr(_T_1210) @[el2_lib.scala 208:13] + node _T_1211 = xorr(_T_1210) @[el2_lib.scala 201:13] node _T_1212 = bits(ifu_bus_rdata_ff, 31, 16) @[el2_ifu_mem_ctl.scala 354:74] - node _T_1213 = xorr(_T_1212) @[el2_lib.scala 208:13] + node _T_1213 = xorr(_T_1212) @[el2_lib.scala 201:13] node _T_1214 = bits(ifu_bus_rdata_ff, 47, 32) @[el2_ifu_mem_ctl.scala 354:74] - node _T_1215 = xorr(_T_1214) @[el2_lib.scala 208:13] + node _T_1215 = xorr(_T_1214) @[el2_lib.scala 201:13] node _T_1216 = bits(ifu_bus_rdata_ff, 63, 48) @[el2_ifu_mem_ctl.scala 354:74] - node _T_1217 = xorr(_T_1216) @[el2_lib.scala 208:13] + node _T_1217 = xorr(_T_1216) @[el2_lib.scala 201:13] node _T_1218 = cat(_T_1217, _T_1215) @[Cat.scala 29:58] node _T_1219 = cat(_T_1218, _T_1213) @[Cat.scala 29:58] node ic_wr_parity = cat(_T_1219, _T_1211) @[Cat.scala 29:58] node _T_1220 = bits(ic_miss_buff_half, 15, 0) @[el2_ifu_mem_ctl.scala 355:82] - node _T_1221 = xorr(_T_1220) @[el2_lib.scala 208:13] + node _T_1221 = xorr(_T_1220) @[el2_lib.scala 201:13] node _T_1222 = bits(ic_miss_buff_half, 31, 16) @[el2_ifu_mem_ctl.scala 355:82] - node _T_1223 = xorr(_T_1222) @[el2_lib.scala 208:13] + node _T_1223 = xorr(_T_1222) @[el2_lib.scala 201:13] node _T_1224 = bits(ic_miss_buff_half, 47, 32) @[el2_ifu_mem_ctl.scala 355:82] - node _T_1225 = xorr(_T_1224) @[el2_lib.scala 208:13] + node _T_1225 = xorr(_T_1224) @[el2_lib.scala 201:13] node _T_1226 = bits(ic_miss_buff_half, 63, 48) @[el2_ifu_mem_ctl.scala 355:82] - node _T_1227 = xorr(_T_1226) @[el2_lib.scala 208:13] + node _T_1227 = xorr(_T_1226) @[el2_lib.scala 201:13] node _T_1228 = cat(_T_1227, _T_1225) @[Cat.scala 29:58] node _T_1229 = cat(_T_1228, _T_1223) @[Cat.scala 29:58] node ic_miss_buff_parity = cat(_T_1229, _T_1221) @[Cat.scala 29:58] @@ -3783,372 +3843,372 @@ circuit el2_ifu_mem_ctl : node _T_2690 = and(_T_2689, io.dma_mem_sz) @[el2_ifu_mem_ctl.scala 635:47] io.iccm_wr_size <= _T_2690 @[el2_ifu_mem_ctl.scala 635:19] node _T_2691 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 637:54] - node _T_2692 = bits(_T_2691, 0, 0) @[el2_lib.scala 244:58] - node _T_2693 = bits(_T_2691, 1, 1) @[el2_lib.scala 244:58] - node _T_2694 = bits(_T_2691, 3, 3) @[el2_lib.scala 244:58] - node _T_2695 = bits(_T_2691, 4, 4) @[el2_lib.scala 244:58] - node _T_2696 = bits(_T_2691, 6, 6) @[el2_lib.scala 244:58] - node _T_2697 = bits(_T_2691, 8, 8) @[el2_lib.scala 244:58] - node _T_2698 = bits(_T_2691, 10, 10) @[el2_lib.scala 244:58] - node _T_2699 = bits(_T_2691, 11, 11) @[el2_lib.scala 244:58] - node _T_2700 = bits(_T_2691, 13, 13) @[el2_lib.scala 244:58] - node _T_2701 = bits(_T_2691, 15, 15) @[el2_lib.scala 244:58] - node _T_2702 = bits(_T_2691, 17, 17) @[el2_lib.scala 244:58] - node _T_2703 = bits(_T_2691, 19, 19) @[el2_lib.scala 244:58] - node _T_2704 = bits(_T_2691, 21, 21) @[el2_lib.scala 244:58] - node _T_2705 = bits(_T_2691, 23, 23) @[el2_lib.scala 244:58] - node _T_2706 = bits(_T_2691, 25, 25) @[el2_lib.scala 244:58] - node _T_2707 = bits(_T_2691, 26, 26) @[el2_lib.scala 244:58] - node _T_2708 = bits(_T_2691, 28, 28) @[el2_lib.scala 244:58] - node _T_2709 = bits(_T_2691, 30, 30) @[el2_lib.scala 244:58] - node _T_2710 = xor(_T_2692, _T_2693) @[el2_lib.scala 244:74] - node _T_2711 = xor(_T_2710, _T_2694) @[el2_lib.scala 244:74] - node _T_2712 = xor(_T_2711, _T_2695) @[el2_lib.scala 244:74] - node _T_2713 = xor(_T_2712, _T_2696) @[el2_lib.scala 244:74] - node _T_2714 = xor(_T_2713, _T_2697) @[el2_lib.scala 244:74] - node _T_2715 = xor(_T_2714, _T_2698) @[el2_lib.scala 244:74] - node _T_2716 = xor(_T_2715, _T_2699) @[el2_lib.scala 244:74] - node _T_2717 = xor(_T_2716, _T_2700) @[el2_lib.scala 244:74] - node _T_2718 = xor(_T_2717, _T_2701) @[el2_lib.scala 244:74] - node _T_2719 = xor(_T_2718, _T_2702) @[el2_lib.scala 244:74] - node _T_2720 = xor(_T_2719, _T_2703) @[el2_lib.scala 244:74] - node _T_2721 = xor(_T_2720, _T_2704) @[el2_lib.scala 244:74] - node _T_2722 = xor(_T_2721, _T_2705) @[el2_lib.scala 244:74] - node _T_2723 = xor(_T_2722, _T_2706) @[el2_lib.scala 244:74] - node _T_2724 = xor(_T_2723, _T_2707) @[el2_lib.scala 244:74] - node _T_2725 = xor(_T_2724, _T_2708) @[el2_lib.scala 244:74] - node _T_2726 = xor(_T_2725, _T_2709) @[el2_lib.scala 244:74] - node _T_2727 = bits(_T_2691, 0, 0) @[el2_lib.scala 244:58] - node _T_2728 = bits(_T_2691, 2, 2) @[el2_lib.scala 244:58] - node _T_2729 = bits(_T_2691, 3, 3) @[el2_lib.scala 244:58] - node _T_2730 = bits(_T_2691, 5, 5) @[el2_lib.scala 244:58] - node _T_2731 = bits(_T_2691, 6, 6) @[el2_lib.scala 244:58] - node _T_2732 = bits(_T_2691, 9, 9) @[el2_lib.scala 244:58] - node _T_2733 = bits(_T_2691, 10, 10) @[el2_lib.scala 244:58] - node _T_2734 = bits(_T_2691, 12, 12) @[el2_lib.scala 244:58] - node _T_2735 = bits(_T_2691, 13, 13) @[el2_lib.scala 244:58] - node _T_2736 = bits(_T_2691, 16, 16) @[el2_lib.scala 244:58] - node _T_2737 = bits(_T_2691, 17, 17) @[el2_lib.scala 244:58] - node _T_2738 = bits(_T_2691, 20, 20) @[el2_lib.scala 244:58] - node _T_2739 = bits(_T_2691, 21, 21) @[el2_lib.scala 244:58] - node _T_2740 = bits(_T_2691, 24, 24) @[el2_lib.scala 244:58] - node _T_2741 = bits(_T_2691, 25, 25) @[el2_lib.scala 244:58] - node _T_2742 = bits(_T_2691, 27, 27) @[el2_lib.scala 244:58] - node _T_2743 = bits(_T_2691, 28, 28) @[el2_lib.scala 244:58] - node _T_2744 = bits(_T_2691, 31, 31) @[el2_lib.scala 244:58] - node _T_2745 = xor(_T_2727, _T_2728) @[el2_lib.scala 244:74] - node _T_2746 = xor(_T_2745, _T_2729) @[el2_lib.scala 244:74] - node _T_2747 = xor(_T_2746, _T_2730) @[el2_lib.scala 244:74] - node _T_2748 = xor(_T_2747, _T_2731) @[el2_lib.scala 244:74] - node _T_2749 = xor(_T_2748, _T_2732) @[el2_lib.scala 244:74] - node _T_2750 = xor(_T_2749, _T_2733) @[el2_lib.scala 244:74] - node _T_2751 = xor(_T_2750, _T_2734) @[el2_lib.scala 244:74] - node _T_2752 = xor(_T_2751, _T_2735) @[el2_lib.scala 244:74] - node _T_2753 = xor(_T_2752, _T_2736) @[el2_lib.scala 244:74] - node _T_2754 = xor(_T_2753, _T_2737) @[el2_lib.scala 244:74] - node _T_2755 = xor(_T_2754, _T_2738) @[el2_lib.scala 244:74] - node _T_2756 = xor(_T_2755, _T_2739) @[el2_lib.scala 244:74] - node _T_2757 = xor(_T_2756, _T_2740) @[el2_lib.scala 244:74] - node _T_2758 = xor(_T_2757, _T_2741) @[el2_lib.scala 244:74] - node _T_2759 = xor(_T_2758, _T_2742) @[el2_lib.scala 244:74] - node _T_2760 = xor(_T_2759, _T_2743) @[el2_lib.scala 244:74] - node _T_2761 = xor(_T_2760, _T_2744) @[el2_lib.scala 244:74] - node _T_2762 = bits(_T_2691, 1, 1) @[el2_lib.scala 244:58] - node _T_2763 = bits(_T_2691, 2, 2) @[el2_lib.scala 244:58] - node _T_2764 = bits(_T_2691, 3, 3) @[el2_lib.scala 244:58] - node _T_2765 = bits(_T_2691, 7, 7) @[el2_lib.scala 244:58] - node _T_2766 = bits(_T_2691, 8, 8) @[el2_lib.scala 244:58] - node _T_2767 = bits(_T_2691, 9, 9) @[el2_lib.scala 244:58] - node _T_2768 = bits(_T_2691, 10, 10) @[el2_lib.scala 244:58] - node _T_2769 = bits(_T_2691, 14, 14) @[el2_lib.scala 244:58] - node _T_2770 = bits(_T_2691, 15, 15) @[el2_lib.scala 244:58] - node _T_2771 = bits(_T_2691, 16, 16) @[el2_lib.scala 244:58] - node _T_2772 = bits(_T_2691, 17, 17) @[el2_lib.scala 244:58] - node _T_2773 = bits(_T_2691, 22, 22) @[el2_lib.scala 244:58] - node _T_2774 = bits(_T_2691, 23, 23) @[el2_lib.scala 244:58] - node _T_2775 = bits(_T_2691, 24, 24) @[el2_lib.scala 244:58] - node _T_2776 = bits(_T_2691, 25, 25) @[el2_lib.scala 244:58] - node _T_2777 = bits(_T_2691, 29, 29) @[el2_lib.scala 244:58] - node _T_2778 = bits(_T_2691, 30, 30) @[el2_lib.scala 244:58] - node _T_2779 = bits(_T_2691, 31, 31) @[el2_lib.scala 244:58] - node _T_2780 = xor(_T_2762, _T_2763) @[el2_lib.scala 244:74] - node _T_2781 = xor(_T_2780, _T_2764) @[el2_lib.scala 244:74] - node _T_2782 = xor(_T_2781, _T_2765) @[el2_lib.scala 244:74] - node _T_2783 = xor(_T_2782, _T_2766) @[el2_lib.scala 244:74] - node _T_2784 = xor(_T_2783, _T_2767) @[el2_lib.scala 244:74] - node _T_2785 = xor(_T_2784, _T_2768) @[el2_lib.scala 244:74] - node _T_2786 = xor(_T_2785, _T_2769) @[el2_lib.scala 244:74] - node _T_2787 = xor(_T_2786, _T_2770) @[el2_lib.scala 244:74] - node _T_2788 = xor(_T_2787, _T_2771) @[el2_lib.scala 244:74] - node _T_2789 = xor(_T_2788, _T_2772) @[el2_lib.scala 244:74] - node _T_2790 = xor(_T_2789, _T_2773) @[el2_lib.scala 244:74] - node _T_2791 = xor(_T_2790, _T_2774) @[el2_lib.scala 244:74] - node _T_2792 = xor(_T_2791, _T_2775) @[el2_lib.scala 244:74] - node _T_2793 = xor(_T_2792, _T_2776) @[el2_lib.scala 244:74] - node _T_2794 = xor(_T_2793, _T_2777) @[el2_lib.scala 244:74] - node _T_2795 = xor(_T_2794, _T_2778) @[el2_lib.scala 244:74] - node _T_2796 = xor(_T_2795, _T_2779) @[el2_lib.scala 244:74] - node _T_2797 = bits(_T_2691, 4, 4) @[el2_lib.scala 244:58] - node _T_2798 = bits(_T_2691, 5, 5) @[el2_lib.scala 244:58] - node _T_2799 = bits(_T_2691, 6, 6) @[el2_lib.scala 244:58] - node _T_2800 = bits(_T_2691, 7, 7) @[el2_lib.scala 244:58] - node _T_2801 = bits(_T_2691, 8, 8) @[el2_lib.scala 244:58] - node _T_2802 = bits(_T_2691, 9, 9) @[el2_lib.scala 244:58] - node _T_2803 = bits(_T_2691, 10, 10) @[el2_lib.scala 244:58] - node _T_2804 = bits(_T_2691, 18, 18) @[el2_lib.scala 244:58] - node _T_2805 = bits(_T_2691, 19, 19) @[el2_lib.scala 244:58] - node _T_2806 = bits(_T_2691, 20, 20) @[el2_lib.scala 244:58] - node _T_2807 = bits(_T_2691, 21, 21) @[el2_lib.scala 244:58] - node _T_2808 = bits(_T_2691, 22, 22) @[el2_lib.scala 244:58] - node _T_2809 = bits(_T_2691, 23, 23) @[el2_lib.scala 244:58] - node _T_2810 = bits(_T_2691, 24, 24) @[el2_lib.scala 244:58] - node _T_2811 = bits(_T_2691, 25, 25) @[el2_lib.scala 244:58] - node _T_2812 = xor(_T_2797, _T_2798) @[el2_lib.scala 244:74] - node _T_2813 = xor(_T_2812, _T_2799) @[el2_lib.scala 244:74] - node _T_2814 = xor(_T_2813, _T_2800) @[el2_lib.scala 244:74] - node _T_2815 = xor(_T_2814, _T_2801) @[el2_lib.scala 244:74] - node _T_2816 = xor(_T_2815, _T_2802) @[el2_lib.scala 244:74] - node _T_2817 = xor(_T_2816, _T_2803) @[el2_lib.scala 244:74] - node _T_2818 = xor(_T_2817, _T_2804) @[el2_lib.scala 244:74] - node _T_2819 = xor(_T_2818, _T_2805) @[el2_lib.scala 244:74] - node _T_2820 = xor(_T_2819, _T_2806) @[el2_lib.scala 244:74] - node _T_2821 = xor(_T_2820, _T_2807) @[el2_lib.scala 244:74] - node _T_2822 = xor(_T_2821, _T_2808) @[el2_lib.scala 244:74] - node _T_2823 = xor(_T_2822, _T_2809) @[el2_lib.scala 244:74] - node _T_2824 = xor(_T_2823, _T_2810) @[el2_lib.scala 244:74] - node _T_2825 = xor(_T_2824, _T_2811) @[el2_lib.scala 244:74] - node _T_2826 = bits(_T_2691, 11, 11) @[el2_lib.scala 244:58] - node _T_2827 = bits(_T_2691, 12, 12) @[el2_lib.scala 244:58] - node _T_2828 = bits(_T_2691, 13, 13) @[el2_lib.scala 244:58] - node _T_2829 = bits(_T_2691, 14, 14) @[el2_lib.scala 244:58] - node _T_2830 = bits(_T_2691, 15, 15) @[el2_lib.scala 244:58] - node _T_2831 = bits(_T_2691, 16, 16) @[el2_lib.scala 244:58] - node _T_2832 = bits(_T_2691, 17, 17) @[el2_lib.scala 244:58] - node _T_2833 = bits(_T_2691, 18, 18) @[el2_lib.scala 244:58] - node _T_2834 = bits(_T_2691, 19, 19) @[el2_lib.scala 244:58] - node _T_2835 = bits(_T_2691, 20, 20) @[el2_lib.scala 244:58] - node _T_2836 = bits(_T_2691, 21, 21) @[el2_lib.scala 244:58] - node _T_2837 = bits(_T_2691, 22, 22) @[el2_lib.scala 244:58] - node _T_2838 = bits(_T_2691, 23, 23) @[el2_lib.scala 244:58] - node _T_2839 = bits(_T_2691, 24, 24) @[el2_lib.scala 244:58] - node _T_2840 = bits(_T_2691, 25, 25) @[el2_lib.scala 244:58] - node _T_2841 = xor(_T_2826, _T_2827) @[el2_lib.scala 244:74] - node _T_2842 = xor(_T_2841, _T_2828) @[el2_lib.scala 244:74] - node _T_2843 = xor(_T_2842, _T_2829) @[el2_lib.scala 244:74] - node _T_2844 = xor(_T_2843, _T_2830) @[el2_lib.scala 244:74] - node _T_2845 = xor(_T_2844, _T_2831) @[el2_lib.scala 244:74] - node _T_2846 = xor(_T_2845, _T_2832) @[el2_lib.scala 244:74] - node _T_2847 = xor(_T_2846, _T_2833) @[el2_lib.scala 244:74] - node _T_2848 = xor(_T_2847, _T_2834) @[el2_lib.scala 244:74] - node _T_2849 = xor(_T_2848, _T_2835) @[el2_lib.scala 244:74] - node _T_2850 = xor(_T_2849, _T_2836) @[el2_lib.scala 244:74] - node _T_2851 = xor(_T_2850, _T_2837) @[el2_lib.scala 244:74] - node _T_2852 = xor(_T_2851, _T_2838) @[el2_lib.scala 244:74] - node _T_2853 = xor(_T_2852, _T_2839) @[el2_lib.scala 244:74] - node _T_2854 = xor(_T_2853, _T_2840) @[el2_lib.scala 244:74] - node _T_2855 = bits(_T_2691, 26, 26) @[el2_lib.scala 244:58] - node _T_2856 = bits(_T_2691, 27, 27) @[el2_lib.scala 244:58] - node _T_2857 = bits(_T_2691, 28, 28) @[el2_lib.scala 244:58] - node _T_2858 = bits(_T_2691, 29, 29) @[el2_lib.scala 244:58] - node _T_2859 = bits(_T_2691, 30, 30) @[el2_lib.scala 244:58] - node _T_2860 = bits(_T_2691, 31, 31) @[el2_lib.scala 244:58] - node _T_2861 = xor(_T_2855, _T_2856) @[el2_lib.scala 244:74] - node _T_2862 = xor(_T_2861, _T_2857) @[el2_lib.scala 244:74] - node _T_2863 = xor(_T_2862, _T_2858) @[el2_lib.scala 244:74] - node _T_2864 = xor(_T_2863, _T_2859) @[el2_lib.scala 244:74] - node _T_2865 = xor(_T_2864, _T_2860) @[el2_lib.scala 244:74] + node _T_2692 = bits(_T_2691, 0, 0) @[el2_lib.scala 237:58] + node _T_2693 = bits(_T_2691, 1, 1) @[el2_lib.scala 237:58] + node _T_2694 = bits(_T_2691, 3, 3) @[el2_lib.scala 237:58] + node _T_2695 = bits(_T_2691, 4, 4) @[el2_lib.scala 237:58] + node _T_2696 = bits(_T_2691, 6, 6) @[el2_lib.scala 237:58] + node _T_2697 = bits(_T_2691, 8, 8) @[el2_lib.scala 237:58] + node _T_2698 = bits(_T_2691, 10, 10) @[el2_lib.scala 237:58] + node _T_2699 = bits(_T_2691, 11, 11) @[el2_lib.scala 237:58] + node _T_2700 = bits(_T_2691, 13, 13) @[el2_lib.scala 237:58] + node _T_2701 = bits(_T_2691, 15, 15) @[el2_lib.scala 237:58] + node _T_2702 = bits(_T_2691, 17, 17) @[el2_lib.scala 237:58] + node _T_2703 = bits(_T_2691, 19, 19) @[el2_lib.scala 237:58] + node _T_2704 = bits(_T_2691, 21, 21) @[el2_lib.scala 237:58] + node _T_2705 = bits(_T_2691, 23, 23) @[el2_lib.scala 237:58] + node _T_2706 = bits(_T_2691, 25, 25) @[el2_lib.scala 237:58] + node _T_2707 = bits(_T_2691, 26, 26) @[el2_lib.scala 237:58] + node _T_2708 = bits(_T_2691, 28, 28) @[el2_lib.scala 237:58] + node _T_2709 = bits(_T_2691, 30, 30) @[el2_lib.scala 237:58] + node _T_2710 = xor(_T_2692, _T_2693) @[el2_lib.scala 237:74] + node _T_2711 = xor(_T_2710, _T_2694) @[el2_lib.scala 237:74] + node _T_2712 = xor(_T_2711, _T_2695) @[el2_lib.scala 237:74] + node _T_2713 = xor(_T_2712, _T_2696) @[el2_lib.scala 237:74] + node _T_2714 = xor(_T_2713, _T_2697) @[el2_lib.scala 237:74] + node _T_2715 = xor(_T_2714, _T_2698) @[el2_lib.scala 237:74] + node _T_2716 = xor(_T_2715, _T_2699) @[el2_lib.scala 237:74] + node _T_2717 = xor(_T_2716, _T_2700) @[el2_lib.scala 237:74] + node _T_2718 = xor(_T_2717, _T_2701) @[el2_lib.scala 237:74] + node _T_2719 = xor(_T_2718, _T_2702) @[el2_lib.scala 237:74] + node _T_2720 = xor(_T_2719, _T_2703) @[el2_lib.scala 237:74] + node _T_2721 = xor(_T_2720, _T_2704) @[el2_lib.scala 237:74] + node _T_2722 = xor(_T_2721, _T_2705) @[el2_lib.scala 237:74] + node _T_2723 = xor(_T_2722, _T_2706) @[el2_lib.scala 237:74] + node _T_2724 = xor(_T_2723, _T_2707) @[el2_lib.scala 237:74] + node _T_2725 = xor(_T_2724, _T_2708) @[el2_lib.scala 237:74] + node _T_2726 = xor(_T_2725, _T_2709) @[el2_lib.scala 237:74] + node _T_2727 = bits(_T_2691, 0, 0) @[el2_lib.scala 237:58] + node _T_2728 = bits(_T_2691, 2, 2) @[el2_lib.scala 237:58] + node _T_2729 = bits(_T_2691, 3, 3) @[el2_lib.scala 237:58] + node _T_2730 = bits(_T_2691, 5, 5) @[el2_lib.scala 237:58] + node _T_2731 = bits(_T_2691, 6, 6) @[el2_lib.scala 237:58] + node _T_2732 = bits(_T_2691, 9, 9) @[el2_lib.scala 237:58] + node _T_2733 = bits(_T_2691, 10, 10) @[el2_lib.scala 237:58] + node _T_2734 = bits(_T_2691, 12, 12) @[el2_lib.scala 237:58] + node _T_2735 = bits(_T_2691, 13, 13) @[el2_lib.scala 237:58] + node _T_2736 = bits(_T_2691, 16, 16) @[el2_lib.scala 237:58] + node _T_2737 = bits(_T_2691, 17, 17) @[el2_lib.scala 237:58] + node _T_2738 = bits(_T_2691, 20, 20) @[el2_lib.scala 237:58] + node _T_2739 = bits(_T_2691, 21, 21) @[el2_lib.scala 237:58] + node _T_2740 = bits(_T_2691, 24, 24) @[el2_lib.scala 237:58] + node _T_2741 = bits(_T_2691, 25, 25) @[el2_lib.scala 237:58] + node _T_2742 = bits(_T_2691, 27, 27) @[el2_lib.scala 237:58] + node _T_2743 = bits(_T_2691, 28, 28) @[el2_lib.scala 237:58] + node _T_2744 = bits(_T_2691, 31, 31) @[el2_lib.scala 237:58] + node _T_2745 = xor(_T_2727, _T_2728) @[el2_lib.scala 237:74] + node _T_2746 = xor(_T_2745, _T_2729) @[el2_lib.scala 237:74] + node _T_2747 = xor(_T_2746, _T_2730) @[el2_lib.scala 237:74] + node _T_2748 = xor(_T_2747, _T_2731) @[el2_lib.scala 237:74] + node _T_2749 = xor(_T_2748, _T_2732) @[el2_lib.scala 237:74] + node _T_2750 = xor(_T_2749, _T_2733) @[el2_lib.scala 237:74] + node _T_2751 = xor(_T_2750, _T_2734) @[el2_lib.scala 237:74] + node _T_2752 = xor(_T_2751, _T_2735) @[el2_lib.scala 237:74] + node _T_2753 = xor(_T_2752, _T_2736) @[el2_lib.scala 237:74] + node _T_2754 = xor(_T_2753, _T_2737) @[el2_lib.scala 237:74] + node _T_2755 = xor(_T_2754, _T_2738) @[el2_lib.scala 237:74] + node _T_2756 = xor(_T_2755, _T_2739) @[el2_lib.scala 237:74] + node _T_2757 = xor(_T_2756, _T_2740) @[el2_lib.scala 237:74] + node _T_2758 = xor(_T_2757, _T_2741) @[el2_lib.scala 237:74] + node _T_2759 = xor(_T_2758, _T_2742) @[el2_lib.scala 237:74] + node _T_2760 = xor(_T_2759, _T_2743) @[el2_lib.scala 237:74] + node _T_2761 = xor(_T_2760, _T_2744) @[el2_lib.scala 237:74] + node _T_2762 = bits(_T_2691, 1, 1) @[el2_lib.scala 237:58] + node _T_2763 = bits(_T_2691, 2, 2) @[el2_lib.scala 237:58] + node _T_2764 = bits(_T_2691, 3, 3) @[el2_lib.scala 237:58] + node _T_2765 = bits(_T_2691, 7, 7) @[el2_lib.scala 237:58] + node _T_2766 = bits(_T_2691, 8, 8) @[el2_lib.scala 237:58] + node _T_2767 = bits(_T_2691, 9, 9) @[el2_lib.scala 237:58] + node _T_2768 = bits(_T_2691, 10, 10) @[el2_lib.scala 237:58] + node _T_2769 = bits(_T_2691, 14, 14) @[el2_lib.scala 237:58] + node _T_2770 = bits(_T_2691, 15, 15) @[el2_lib.scala 237:58] + node _T_2771 = bits(_T_2691, 16, 16) @[el2_lib.scala 237:58] + node _T_2772 = bits(_T_2691, 17, 17) @[el2_lib.scala 237:58] + node _T_2773 = bits(_T_2691, 22, 22) @[el2_lib.scala 237:58] + node _T_2774 = bits(_T_2691, 23, 23) @[el2_lib.scala 237:58] + node _T_2775 = bits(_T_2691, 24, 24) @[el2_lib.scala 237:58] + node _T_2776 = bits(_T_2691, 25, 25) @[el2_lib.scala 237:58] + node _T_2777 = bits(_T_2691, 29, 29) @[el2_lib.scala 237:58] + node _T_2778 = bits(_T_2691, 30, 30) @[el2_lib.scala 237:58] + node _T_2779 = bits(_T_2691, 31, 31) @[el2_lib.scala 237:58] + node _T_2780 = xor(_T_2762, _T_2763) @[el2_lib.scala 237:74] + node _T_2781 = xor(_T_2780, _T_2764) @[el2_lib.scala 237:74] + node _T_2782 = xor(_T_2781, _T_2765) @[el2_lib.scala 237:74] + node _T_2783 = xor(_T_2782, _T_2766) @[el2_lib.scala 237:74] + node _T_2784 = xor(_T_2783, _T_2767) @[el2_lib.scala 237:74] + node _T_2785 = xor(_T_2784, _T_2768) @[el2_lib.scala 237:74] + node _T_2786 = xor(_T_2785, _T_2769) @[el2_lib.scala 237:74] + node _T_2787 = xor(_T_2786, _T_2770) @[el2_lib.scala 237:74] + node _T_2788 = xor(_T_2787, _T_2771) @[el2_lib.scala 237:74] + node _T_2789 = xor(_T_2788, _T_2772) @[el2_lib.scala 237:74] + node _T_2790 = xor(_T_2789, _T_2773) @[el2_lib.scala 237:74] + node _T_2791 = xor(_T_2790, _T_2774) @[el2_lib.scala 237:74] + node _T_2792 = xor(_T_2791, _T_2775) @[el2_lib.scala 237:74] + node _T_2793 = xor(_T_2792, _T_2776) @[el2_lib.scala 237:74] + node _T_2794 = xor(_T_2793, _T_2777) @[el2_lib.scala 237:74] + node _T_2795 = xor(_T_2794, _T_2778) @[el2_lib.scala 237:74] + node _T_2796 = xor(_T_2795, _T_2779) @[el2_lib.scala 237:74] + node _T_2797 = bits(_T_2691, 4, 4) @[el2_lib.scala 237:58] + node _T_2798 = bits(_T_2691, 5, 5) @[el2_lib.scala 237:58] + node _T_2799 = bits(_T_2691, 6, 6) @[el2_lib.scala 237:58] + node _T_2800 = bits(_T_2691, 7, 7) @[el2_lib.scala 237:58] + node _T_2801 = bits(_T_2691, 8, 8) @[el2_lib.scala 237:58] + node _T_2802 = bits(_T_2691, 9, 9) @[el2_lib.scala 237:58] + node _T_2803 = bits(_T_2691, 10, 10) @[el2_lib.scala 237:58] + node _T_2804 = bits(_T_2691, 18, 18) @[el2_lib.scala 237:58] + node _T_2805 = bits(_T_2691, 19, 19) @[el2_lib.scala 237:58] + node _T_2806 = bits(_T_2691, 20, 20) @[el2_lib.scala 237:58] + node _T_2807 = bits(_T_2691, 21, 21) @[el2_lib.scala 237:58] + node _T_2808 = bits(_T_2691, 22, 22) @[el2_lib.scala 237:58] + node _T_2809 = bits(_T_2691, 23, 23) @[el2_lib.scala 237:58] + node _T_2810 = bits(_T_2691, 24, 24) @[el2_lib.scala 237:58] + node _T_2811 = bits(_T_2691, 25, 25) @[el2_lib.scala 237:58] + node _T_2812 = xor(_T_2797, _T_2798) @[el2_lib.scala 237:74] + node _T_2813 = xor(_T_2812, _T_2799) @[el2_lib.scala 237:74] + node _T_2814 = xor(_T_2813, _T_2800) @[el2_lib.scala 237:74] + node _T_2815 = xor(_T_2814, _T_2801) @[el2_lib.scala 237:74] + node _T_2816 = xor(_T_2815, _T_2802) @[el2_lib.scala 237:74] + node _T_2817 = xor(_T_2816, _T_2803) @[el2_lib.scala 237:74] + node _T_2818 = xor(_T_2817, _T_2804) @[el2_lib.scala 237:74] + node _T_2819 = xor(_T_2818, _T_2805) @[el2_lib.scala 237:74] + node _T_2820 = xor(_T_2819, _T_2806) @[el2_lib.scala 237:74] + node _T_2821 = xor(_T_2820, _T_2807) @[el2_lib.scala 237:74] + node _T_2822 = xor(_T_2821, _T_2808) @[el2_lib.scala 237:74] + node _T_2823 = xor(_T_2822, _T_2809) @[el2_lib.scala 237:74] + node _T_2824 = xor(_T_2823, _T_2810) @[el2_lib.scala 237:74] + node _T_2825 = xor(_T_2824, _T_2811) @[el2_lib.scala 237:74] + node _T_2826 = bits(_T_2691, 11, 11) @[el2_lib.scala 237:58] + node _T_2827 = bits(_T_2691, 12, 12) @[el2_lib.scala 237:58] + node _T_2828 = bits(_T_2691, 13, 13) @[el2_lib.scala 237:58] + node _T_2829 = bits(_T_2691, 14, 14) @[el2_lib.scala 237:58] + node _T_2830 = bits(_T_2691, 15, 15) @[el2_lib.scala 237:58] + node _T_2831 = bits(_T_2691, 16, 16) @[el2_lib.scala 237:58] + node _T_2832 = bits(_T_2691, 17, 17) @[el2_lib.scala 237:58] + node _T_2833 = bits(_T_2691, 18, 18) @[el2_lib.scala 237:58] + node _T_2834 = bits(_T_2691, 19, 19) @[el2_lib.scala 237:58] + node _T_2835 = bits(_T_2691, 20, 20) @[el2_lib.scala 237:58] + node _T_2836 = bits(_T_2691, 21, 21) @[el2_lib.scala 237:58] + node _T_2837 = bits(_T_2691, 22, 22) @[el2_lib.scala 237:58] + node _T_2838 = bits(_T_2691, 23, 23) @[el2_lib.scala 237:58] + node _T_2839 = bits(_T_2691, 24, 24) @[el2_lib.scala 237:58] + node _T_2840 = bits(_T_2691, 25, 25) @[el2_lib.scala 237:58] + node _T_2841 = xor(_T_2826, _T_2827) @[el2_lib.scala 237:74] + node _T_2842 = xor(_T_2841, _T_2828) @[el2_lib.scala 237:74] + node _T_2843 = xor(_T_2842, _T_2829) @[el2_lib.scala 237:74] + node _T_2844 = xor(_T_2843, _T_2830) @[el2_lib.scala 237:74] + node _T_2845 = xor(_T_2844, _T_2831) @[el2_lib.scala 237:74] + node _T_2846 = xor(_T_2845, _T_2832) @[el2_lib.scala 237:74] + node _T_2847 = xor(_T_2846, _T_2833) @[el2_lib.scala 237:74] + node _T_2848 = xor(_T_2847, _T_2834) @[el2_lib.scala 237:74] + node _T_2849 = xor(_T_2848, _T_2835) @[el2_lib.scala 237:74] + node _T_2850 = xor(_T_2849, _T_2836) @[el2_lib.scala 237:74] + node _T_2851 = xor(_T_2850, _T_2837) @[el2_lib.scala 237:74] + node _T_2852 = xor(_T_2851, _T_2838) @[el2_lib.scala 237:74] + node _T_2853 = xor(_T_2852, _T_2839) @[el2_lib.scala 237:74] + node _T_2854 = xor(_T_2853, _T_2840) @[el2_lib.scala 237:74] + node _T_2855 = bits(_T_2691, 26, 26) @[el2_lib.scala 237:58] + node _T_2856 = bits(_T_2691, 27, 27) @[el2_lib.scala 237:58] + node _T_2857 = bits(_T_2691, 28, 28) @[el2_lib.scala 237:58] + node _T_2858 = bits(_T_2691, 29, 29) @[el2_lib.scala 237:58] + node _T_2859 = bits(_T_2691, 30, 30) @[el2_lib.scala 237:58] + node _T_2860 = bits(_T_2691, 31, 31) @[el2_lib.scala 237:58] + node _T_2861 = xor(_T_2855, _T_2856) @[el2_lib.scala 237:74] + node _T_2862 = xor(_T_2861, _T_2857) @[el2_lib.scala 237:74] + node _T_2863 = xor(_T_2862, _T_2858) @[el2_lib.scala 237:74] + node _T_2864 = xor(_T_2863, _T_2859) @[el2_lib.scala 237:74] + node _T_2865 = xor(_T_2864, _T_2860) @[el2_lib.scala 237:74] node _T_2866 = cat(_T_2796, _T_2761) @[Cat.scala 29:58] node _T_2867 = cat(_T_2866, _T_2726) @[Cat.scala 29:58] node _T_2868 = cat(_T_2865, _T_2854) @[Cat.scala 29:58] node _T_2869 = cat(_T_2868, _T_2825) @[Cat.scala 29:58] node _T_2870 = cat(_T_2869, _T_2867) @[Cat.scala 29:58] - node _T_2871 = xorr(_T_2691) @[el2_lib.scala 252:13] - node _T_2872 = xorr(_T_2870) @[el2_lib.scala 252:23] - node _T_2873 = xor(_T_2871, _T_2872) @[el2_lib.scala 252:18] + node _T_2871 = xorr(_T_2691) @[el2_lib.scala 245:13] + node _T_2872 = xorr(_T_2870) @[el2_lib.scala 245:23] + node _T_2873 = xor(_T_2871, _T_2872) @[el2_lib.scala 245:18] node _T_2874 = cat(_T_2873, _T_2870) @[Cat.scala 29:58] node _T_2875 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 637:93] - node _T_2876 = bits(_T_2875, 0, 0) @[el2_lib.scala 244:58] - node _T_2877 = bits(_T_2875, 1, 1) @[el2_lib.scala 244:58] - node _T_2878 = bits(_T_2875, 3, 3) @[el2_lib.scala 244:58] - node _T_2879 = bits(_T_2875, 4, 4) @[el2_lib.scala 244:58] - node _T_2880 = bits(_T_2875, 6, 6) @[el2_lib.scala 244:58] - node _T_2881 = bits(_T_2875, 8, 8) @[el2_lib.scala 244:58] - node _T_2882 = bits(_T_2875, 10, 10) @[el2_lib.scala 244:58] - node _T_2883 = bits(_T_2875, 11, 11) @[el2_lib.scala 244:58] - node _T_2884 = bits(_T_2875, 13, 13) @[el2_lib.scala 244:58] - node _T_2885 = bits(_T_2875, 15, 15) @[el2_lib.scala 244:58] - node _T_2886 = bits(_T_2875, 17, 17) @[el2_lib.scala 244:58] - node _T_2887 = bits(_T_2875, 19, 19) @[el2_lib.scala 244:58] - node _T_2888 = bits(_T_2875, 21, 21) @[el2_lib.scala 244:58] - node _T_2889 = bits(_T_2875, 23, 23) @[el2_lib.scala 244:58] - node _T_2890 = bits(_T_2875, 25, 25) @[el2_lib.scala 244:58] - node _T_2891 = bits(_T_2875, 26, 26) @[el2_lib.scala 244:58] - node _T_2892 = bits(_T_2875, 28, 28) @[el2_lib.scala 244:58] - node _T_2893 = bits(_T_2875, 30, 30) @[el2_lib.scala 244:58] - node _T_2894 = xor(_T_2876, _T_2877) @[el2_lib.scala 244:74] - node _T_2895 = xor(_T_2894, _T_2878) @[el2_lib.scala 244:74] - node _T_2896 = xor(_T_2895, _T_2879) @[el2_lib.scala 244:74] - node _T_2897 = xor(_T_2896, _T_2880) @[el2_lib.scala 244:74] - node _T_2898 = xor(_T_2897, _T_2881) @[el2_lib.scala 244:74] - node _T_2899 = xor(_T_2898, _T_2882) @[el2_lib.scala 244:74] - node _T_2900 = xor(_T_2899, _T_2883) @[el2_lib.scala 244:74] - node _T_2901 = xor(_T_2900, _T_2884) @[el2_lib.scala 244:74] - node _T_2902 = xor(_T_2901, _T_2885) @[el2_lib.scala 244:74] - node _T_2903 = xor(_T_2902, _T_2886) @[el2_lib.scala 244:74] - node _T_2904 = xor(_T_2903, _T_2887) @[el2_lib.scala 244:74] - node _T_2905 = xor(_T_2904, _T_2888) @[el2_lib.scala 244:74] - node _T_2906 = xor(_T_2905, _T_2889) @[el2_lib.scala 244:74] - node _T_2907 = xor(_T_2906, _T_2890) @[el2_lib.scala 244:74] - node _T_2908 = xor(_T_2907, _T_2891) @[el2_lib.scala 244:74] - node _T_2909 = xor(_T_2908, _T_2892) @[el2_lib.scala 244:74] - node _T_2910 = xor(_T_2909, _T_2893) @[el2_lib.scala 244:74] - node _T_2911 = bits(_T_2875, 0, 0) @[el2_lib.scala 244:58] - node _T_2912 = bits(_T_2875, 2, 2) @[el2_lib.scala 244:58] - node _T_2913 = bits(_T_2875, 3, 3) @[el2_lib.scala 244:58] - node _T_2914 = bits(_T_2875, 5, 5) @[el2_lib.scala 244:58] - node _T_2915 = bits(_T_2875, 6, 6) @[el2_lib.scala 244:58] - node _T_2916 = bits(_T_2875, 9, 9) @[el2_lib.scala 244:58] - node _T_2917 = bits(_T_2875, 10, 10) @[el2_lib.scala 244:58] - node _T_2918 = bits(_T_2875, 12, 12) @[el2_lib.scala 244:58] - node _T_2919 = bits(_T_2875, 13, 13) @[el2_lib.scala 244:58] - node _T_2920 = bits(_T_2875, 16, 16) @[el2_lib.scala 244:58] - node _T_2921 = bits(_T_2875, 17, 17) @[el2_lib.scala 244:58] - node _T_2922 = bits(_T_2875, 20, 20) @[el2_lib.scala 244:58] - node _T_2923 = bits(_T_2875, 21, 21) @[el2_lib.scala 244:58] - node _T_2924 = bits(_T_2875, 24, 24) @[el2_lib.scala 244:58] - node _T_2925 = bits(_T_2875, 25, 25) @[el2_lib.scala 244:58] - node _T_2926 = bits(_T_2875, 27, 27) @[el2_lib.scala 244:58] - node _T_2927 = bits(_T_2875, 28, 28) @[el2_lib.scala 244:58] - node _T_2928 = bits(_T_2875, 31, 31) @[el2_lib.scala 244:58] - node _T_2929 = xor(_T_2911, _T_2912) @[el2_lib.scala 244:74] - node _T_2930 = xor(_T_2929, _T_2913) @[el2_lib.scala 244:74] - node _T_2931 = xor(_T_2930, _T_2914) @[el2_lib.scala 244:74] - node _T_2932 = xor(_T_2931, _T_2915) @[el2_lib.scala 244:74] - node _T_2933 = xor(_T_2932, _T_2916) @[el2_lib.scala 244:74] - node _T_2934 = xor(_T_2933, _T_2917) @[el2_lib.scala 244:74] - node _T_2935 = xor(_T_2934, _T_2918) @[el2_lib.scala 244:74] - node _T_2936 = xor(_T_2935, _T_2919) @[el2_lib.scala 244:74] - node _T_2937 = xor(_T_2936, _T_2920) @[el2_lib.scala 244:74] - node _T_2938 = xor(_T_2937, _T_2921) @[el2_lib.scala 244:74] - node _T_2939 = xor(_T_2938, _T_2922) @[el2_lib.scala 244:74] - node _T_2940 = xor(_T_2939, _T_2923) @[el2_lib.scala 244:74] - node _T_2941 = xor(_T_2940, _T_2924) @[el2_lib.scala 244:74] - node _T_2942 = xor(_T_2941, _T_2925) @[el2_lib.scala 244:74] - node _T_2943 = xor(_T_2942, _T_2926) @[el2_lib.scala 244:74] - node _T_2944 = xor(_T_2943, _T_2927) @[el2_lib.scala 244:74] - node _T_2945 = xor(_T_2944, _T_2928) @[el2_lib.scala 244:74] - node _T_2946 = bits(_T_2875, 1, 1) @[el2_lib.scala 244:58] - node _T_2947 = bits(_T_2875, 2, 2) @[el2_lib.scala 244:58] - node _T_2948 = bits(_T_2875, 3, 3) @[el2_lib.scala 244:58] - node _T_2949 = bits(_T_2875, 7, 7) @[el2_lib.scala 244:58] - node _T_2950 = bits(_T_2875, 8, 8) @[el2_lib.scala 244:58] - node _T_2951 = bits(_T_2875, 9, 9) @[el2_lib.scala 244:58] - node _T_2952 = bits(_T_2875, 10, 10) @[el2_lib.scala 244:58] - node _T_2953 = bits(_T_2875, 14, 14) @[el2_lib.scala 244:58] - node _T_2954 = bits(_T_2875, 15, 15) @[el2_lib.scala 244:58] - node _T_2955 = bits(_T_2875, 16, 16) @[el2_lib.scala 244:58] - node _T_2956 = bits(_T_2875, 17, 17) @[el2_lib.scala 244:58] - node _T_2957 = bits(_T_2875, 22, 22) @[el2_lib.scala 244:58] - node _T_2958 = bits(_T_2875, 23, 23) @[el2_lib.scala 244:58] - node _T_2959 = bits(_T_2875, 24, 24) @[el2_lib.scala 244:58] - node _T_2960 = bits(_T_2875, 25, 25) @[el2_lib.scala 244:58] - node _T_2961 = bits(_T_2875, 29, 29) @[el2_lib.scala 244:58] - node _T_2962 = bits(_T_2875, 30, 30) @[el2_lib.scala 244:58] - node _T_2963 = bits(_T_2875, 31, 31) @[el2_lib.scala 244:58] - node _T_2964 = xor(_T_2946, _T_2947) @[el2_lib.scala 244:74] - node _T_2965 = xor(_T_2964, _T_2948) @[el2_lib.scala 244:74] - node _T_2966 = xor(_T_2965, _T_2949) @[el2_lib.scala 244:74] - node _T_2967 = xor(_T_2966, _T_2950) @[el2_lib.scala 244:74] - node _T_2968 = xor(_T_2967, _T_2951) @[el2_lib.scala 244:74] - node _T_2969 = xor(_T_2968, _T_2952) @[el2_lib.scala 244:74] - node _T_2970 = xor(_T_2969, _T_2953) @[el2_lib.scala 244:74] - node _T_2971 = xor(_T_2970, _T_2954) @[el2_lib.scala 244:74] - node _T_2972 = xor(_T_2971, _T_2955) @[el2_lib.scala 244:74] - node _T_2973 = xor(_T_2972, _T_2956) @[el2_lib.scala 244:74] - node _T_2974 = xor(_T_2973, _T_2957) @[el2_lib.scala 244:74] - node _T_2975 = xor(_T_2974, _T_2958) @[el2_lib.scala 244:74] - node _T_2976 = xor(_T_2975, _T_2959) @[el2_lib.scala 244:74] - node _T_2977 = xor(_T_2976, _T_2960) @[el2_lib.scala 244:74] - node _T_2978 = xor(_T_2977, _T_2961) @[el2_lib.scala 244:74] - node _T_2979 = xor(_T_2978, _T_2962) @[el2_lib.scala 244:74] - node _T_2980 = xor(_T_2979, _T_2963) @[el2_lib.scala 244:74] - node _T_2981 = bits(_T_2875, 4, 4) @[el2_lib.scala 244:58] - node _T_2982 = bits(_T_2875, 5, 5) @[el2_lib.scala 244:58] - node _T_2983 = bits(_T_2875, 6, 6) @[el2_lib.scala 244:58] - node _T_2984 = bits(_T_2875, 7, 7) @[el2_lib.scala 244:58] - node _T_2985 = bits(_T_2875, 8, 8) @[el2_lib.scala 244:58] - node _T_2986 = bits(_T_2875, 9, 9) @[el2_lib.scala 244:58] - node _T_2987 = bits(_T_2875, 10, 10) @[el2_lib.scala 244:58] - node _T_2988 = bits(_T_2875, 18, 18) @[el2_lib.scala 244:58] - node _T_2989 = bits(_T_2875, 19, 19) @[el2_lib.scala 244:58] - node _T_2990 = bits(_T_2875, 20, 20) @[el2_lib.scala 244:58] - node _T_2991 = bits(_T_2875, 21, 21) @[el2_lib.scala 244:58] - node _T_2992 = bits(_T_2875, 22, 22) @[el2_lib.scala 244:58] - node _T_2993 = bits(_T_2875, 23, 23) @[el2_lib.scala 244:58] - node _T_2994 = bits(_T_2875, 24, 24) @[el2_lib.scala 244:58] - node _T_2995 = bits(_T_2875, 25, 25) @[el2_lib.scala 244:58] - node _T_2996 = xor(_T_2981, _T_2982) @[el2_lib.scala 244:74] - node _T_2997 = xor(_T_2996, _T_2983) @[el2_lib.scala 244:74] - node _T_2998 = xor(_T_2997, _T_2984) @[el2_lib.scala 244:74] - node _T_2999 = xor(_T_2998, _T_2985) @[el2_lib.scala 244:74] - node _T_3000 = xor(_T_2999, _T_2986) @[el2_lib.scala 244:74] - node _T_3001 = xor(_T_3000, _T_2987) @[el2_lib.scala 244:74] - node _T_3002 = xor(_T_3001, _T_2988) @[el2_lib.scala 244:74] - node _T_3003 = xor(_T_3002, _T_2989) @[el2_lib.scala 244:74] - node _T_3004 = xor(_T_3003, _T_2990) @[el2_lib.scala 244:74] - node _T_3005 = xor(_T_3004, _T_2991) @[el2_lib.scala 244:74] - node _T_3006 = xor(_T_3005, _T_2992) @[el2_lib.scala 244:74] - node _T_3007 = xor(_T_3006, _T_2993) @[el2_lib.scala 244:74] - node _T_3008 = xor(_T_3007, _T_2994) @[el2_lib.scala 244:74] - node _T_3009 = xor(_T_3008, _T_2995) @[el2_lib.scala 244:74] - node _T_3010 = bits(_T_2875, 11, 11) @[el2_lib.scala 244:58] - node _T_3011 = bits(_T_2875, 12, 12) @[el2_lib.scala 244:58] - node _T_3012 = bits(_T_2875, 13, 13) @[el2_lib.scala 244:58] - node _T_3013 = bits(_T_2875, 14, 14) @[el2_lib.scala 244:58] - node _T_3014 = bits(_T_2875, 15, 15) @[el2_lib.scala 244:58] - node _T_3015 = bits(_T_2875, 16, 16) @[el2_lib.scala 244:58] - node _T_3016 = bits(_T_2875, 17, 17) @[el2_lib.scala 244:58] - node _T_3017 = bits(_T_2875, 18, 18) @[el2_lib.scala 244:58] - node _T_3018 = bits(_T_2875, 19, 19) @[el2_lib.scala 244:58] - node _T_3019 = bits(_T_2875, 20, 20) @[el2_lib.scala 244:58] - node _T_3020 = bits(_T_2875, 21, 21) @[el2_lib.scala 244:58] - node _T_3021 = bits(_T_2875, 22, 22) @[el2_lib.scala 244:58] - node _T_3022 = bits(_T_2875, 23, 23) @[el2_lib.scala 244:58] - node _T_3023 = bits(_T_2875, 24, 24) @[el2_lib.scala 244:58] - node _T_3024 = bits(_T_2875, 25, 25) @[el2_lib.scala 244:58] - node _T_3025 = xor(_T_3010, _T_3011) @[el2_lib.scala 244:74] - node _T_3026 = xor(_T_3025, _T_3012) @[el2_lib.scala 244:74] - node _T_3027 = xor(_T_3026, _T_3013) @[el2_lib.scala 244:74] - node _T_3028 = xor(_T_3027, _T_3014) @[el2_lib.scala 244:74] - node _T_3029 = xor(_T_3028, _T_3015) @[el2_lib.scala 244:74] - node _T_3030 = xor(_T_3029, _T_3016) @[el2_lib.scala 244:74] - node _T_3031 = xor(_T_3030, _T_3017) @[el2_lib.scala 244:74] - node _T_3032 = xor(_T_3031, _T_3018) @[el2_lib.scala 244:74] - node _T_3033 = xor(_T_3032, _T_3019) @[el2_lib.scala 244:74] - node _T_3034 = xor(_T_3033, _T_3020) @[el2_lib.scala 244:74] - node _T_3035 = xor(_T_3034, _T_3021) @[el2_lib.scala 244:74] - node _T_3036 = xor(_T_3035, _T_3022) @[el2_lib.scala 244:74] - node _T_3037 = xor(_T_3036, _T_3023) @[el2_lib.scala 244:74] - node _T_3038 = xor(_T_3037, _T_3024) @[el2_lib.scala 244:74] - node _T_3039 = bits(_T_2875, 26, 26) @[el2_lib.scala 244:58] - node _T_3040 = bits(_T_2875, 27, 27) @[el2_lib.scala 244:58] - node _T_3041 = bits(_T_2875, 28, 28) @[el2_lib.scala 244:58] - node _T_3042 = bits(_T_2875, 29, 29) @[el2_lib.scala 244:58] - node _T_3043 = bits(_T_2875, 30, 30) @[el2_lib.scala 244:58] - node _T_3044 = bits(_T_2875, 31, 31) @[el2_lib.scala 244:58] - node _T_3045 = xor(_T_3039, _T_3040) @[el2_lib.scala 244:74] - node _T_3046 = xor(_T_3045, _T_3041) @[el2_lib.scala 244:74] - node _T_3047 = xor(_T_3046, _T_3042) @[el2_lib.scala 244:74] - node _T_3048 = xor(_T_3047, _T_3043) @[el2_lib.scala 244:74] - node _T_3049 = xor(_T_3048, _T_3044) @[el2_lib.scala 244:74] + node _T_2876 = bits(_T_2875, 0, 0) @[el2_lib.scala 237:58] + node _T_2877 = bits(_T_2875, 1, 1) @[el2_lib.scala 237:58] + node _T_2878 = bits(_T_2875, 3, 3) @[el2_lib.scala 237:58] + node _T_2879 = bits(_T_2875, 4, 4) @[el2_lib.scala 237:58] + node _T_2880 = bits(_T_2875, 6, 6) @[el2_lib.scala 237:58] + node _T_2881 = bits(_T_2875, 8, 8) @[el2_lib.scala 237:58] + node _T_2882 = bits(_T_2875, 10, 10) @[el2_lib.scala 237:58] + node _T_2883 = bits(_T_2875, 11, 11) @[el2_lib.scala 237:58] + node _T_2884 = bits(_T_2875, 13, 13) @[el2_lib.scala 237:58] + node _T_2885 = bits(_T_2875, 15, 15) @[el2_lib.scala 237:58] + node _T_2886 = bits(_T_2875, 17, 17) @[el2_lib.scala 237:58] + node _T_2887 = bits(_T_2875, 19, 19) @[el2_lib.scala 237:58] + node _T_2888 = bits(_T_2875, 21, 21) @[el2_lib.scala 237:58] + node _T_2889 = bits(_T_2875, 23, 23) @[el2_lib.scala 237:58] + node _T_2890 = bits(_T_2875, 25, 25) @[el2_lib.scala 237:58] + node _T_2891 = bits(_T_2875, 26, 26) @[el2_lib.scala 237:58] + node _T_2892 = bits(_T_2875, 28, 28) @[el2_lib.scala 237:58] + node _T_2893 = bits(_T_2875, 30, 30) @[el2_lib.scala 237:58] + node _T_2894 = xor(_T_2876, _T_2877) @[el2_lib.scala 237:74] + node _T_2895 = xor(_T_2894, _T_2878) @[el2_lib.scala 237:74] + node _T_2896 = xor(_T_2895, _T_2879) @[el2_lib.scala 237:74] + node _T_2897 = xor(_T_2896, _T_2880) @[el2_lib.scala 237:74] + node _T_2898 = xor(_T_2897, _T_2881) @[el2_lib.scala 237:74] + node _T_2899 = xor(_T_2898, _T_2882) @[el2_lib.scala 237:74] + node _T_2900 = xor(_T_2899, _T_2883) @[el2_lib.scala 237:74] + node _T_2901 = xor(_T_2900, _T_2884) @[el2_lib.scala 237:74] + node _T_2902 = xor(_T_2901, _T_2885) @[el2_lib.scala 237:74] + node _T_2903 = xor(_T_2902, _T_2886) @[el2_lib.scala 237:74] + node _T_2904 = xor(_T_2903, _T_2887) @[el2_lib.scala 237:74] + node _T_2905 = xor(_T_2904, _T_2888) @[el2_lib.scala 237:74] + node _T_2906 = xor(_T_2905, _T_2889) @[el2_lib.scala 237:74] + node _T_2907 = xor(_T_2906, _T_2890) @[el2_lib.scala 237:74] + node _T_2908 = xor(_T_2907, _T_2891) @[el2_lib.scala 237:74] + node _T_2909 = xor(_T_2908, _T_2892) @[el2_lib.scala 237:74] + node _T_2910 = xor(_T_2909, _T_2893) @[el2_lib.scala 237:74] + node _T_2911 = bits(_T_2875, 0, 0) @[el2_lib.scala 237:58] + node _T_2912 = bits(_T_2875, 2, 2) @[el2_lib.scala 237:58] + node _T_2913 = bits(_T_2875, 3, 3) @[el2_lib.scala 237:58] + node _T_2914 = bits(_T_2875, 5, 5) @[el2_lib.scala 237:58] + node _T_2915 = bits(_T_2875, 6, 6) @[el2_lib.scala 237:58] + node _T_2916 = bits(_T_2875, 9, 9) @[el2_lib.scala 237:58] + node _T_2917 = bits(_T_2875, 10, 10) @[el2_lib.scala 237:58] + node _T_2918 = bits(_T_2875, 12, 12) @[el2_lib.scala 237:58] + node _T_2919 = bits(_T_2875, 13, 13) @[el2_lib.scala 237:58] + node _T_2920 = bits(_T_2875, 16, 16) @[el2_lib.scala 237:58] + node _T_2921 = bits(_T_2875, 17, 17) @[el2_lib.scala 237:58] + node _T_2922 = bits(_T_2875, 20, 20) @[el2_lib.scala 237:58] + node _T_2923 = bits(_T_2875, 21, 21) @[el2_lib.scala 237:58] + node _T_2924 = bits(_T_2875, 24, 24) @[el2_lib.scala 237:58] + node _T_2925 = bits(_T_2875, 25, 25) @[el2_lib.scala 237:58] + node _T_2926 = bits(_T_2875, 27, 27) @[el2_lib.scala 237:58] + node _T_2927 = bits(_T_2875, 28, 28) @[el2_lib.scala 237:58] + node _T_2928 = bits(_T_2875, 31, 31) @[el2_lib.scala 237:58] + node _T_2929 = xor(_T_2911, _T_2912) @[el2_lib.scala 237:74] + node _T_2930 = xor(_T_2929, _T_2913) @[el2_lib.scala 237:74] + node _T_2931 = xor(_T_2930, _T_2914) @[el2_lib.scala 237:74] + node _T_2932 = xor(_T_2931, _T_2915) @[el2_lib.scala 237:74] + node _T_2933 = xor(_T_2932, _T_2916) @[el2_lib.scala 237:74] + node _T_2934 = xor(_T_2933, _T_2917) @[el2_lib.scala 237:74] + node _T_2935 = xor(_T_2934, _T_2918) @[el2_lib.scala 237:74] + node _T_2936 = xor(_T_2935, _T_2919) @[el2_lib.scala 237:74] + node _T_2937 = xor(_T_2936, _T_2920) @[el2_lib.scala 237:74] + node _T_2938 = xor(_T_2937, _T_2921) @[el2_lib.scala 237:74] + node _T_2939 = xor(_T_2938, _T_2922) @[el2_lib.scala 237:74] + node _T_2940 = xor(_T_2939, _T_2923) @[el2_lib.scala 237:74] + node _T_2941 = xor(_T_2940, _T_2924) @[el2_lib.scala 237:74] + node _T_2942 = xor(_T_2941, _T_2925) @[el2_lib.scala 237:74] + node _T_2943 = xor(_T_2942, _T_2926) @[el2_lib.scala 237:74] + node _T_2944 = xor(_T_2943, _T_2927) @[el2_lib.scala 237:74] + node _T_2945 = xor(_T_2944, _T_2928) @[el2_lib.scala 237:74] + node _T_2946 = bits(_T_2875, 1, 1) @[el2_lib.scala 237:58] + node _T_2947 = bits(_T_2875, 2, 2) @[el2_lib.scala 237:58] + node _T_2948 = bits(_T_2875, 3, 3) @[el2_lib.scala 237:58] + node _T_2949 = bits(_T_2875, 7, 7) @[el2_lib.scala 237:58] + node _T_2950 = bits(_T_2875, 8, 8) @[el2_lib.scala 237:58] + node _T_2951 = bits(_T_2875, 9, 9) @[el2_lib.scala 237:58] + node _T_2952 = bits(_T_2875, 10, 10) @[el2_lib.scala 237:58] + node _T_2953 = bits(_T_2875, 14, 14) @[el2_lib.scala 237:58] + node _T_2954 = bits(_T_2875, 15, 15) @[el2_lib.scala 237:58] + node _T_2955 = bits(_T_2875, 16, 16) @[el2_lib.scala 237:58] + node _T_2956 = bits(_T_2875, 17, 17) @[el2_lib.scala 237:58] + node _T_2957 = bits(_T_2875, 22, 22) @[el2_lib.scala 237:58] + node _T_2958 = bits(_T_2875, 23, 23) @[el2_lib.scala 237:58] + node _T_2959 = bits(_T_2875, 24, 24) @[el2_lib.scala 237:58] + node _T_2960 = bits(_T_2875, 25, 25) @[el2_lib.scala 237:58] + node _T_2961 = bits(_T_2875, 29, 29) @[el2_lib.scala 237:58] + node _T_2962 = bits(_T_2875, 30, 30) @[el2_lib.scala 237:58] + node _T_2963 = bits(_T_2875, 31, 31) @[el2_lib.scala 237:58] + node _T_2964 = xor(_T_2946, _T_2947) @[el2_lib.scala 237:74] + node _T_2965 = xor(_T_2964, _T_2948) @[el2_lib.scala 237:74] + node _T_2966 = xor(_T_2965, _T_2949) @[el2_lib.scala 237:74] + node _T_2967 = xor(_T_2966, _T_2950) @[el2_lib.scala 237:74] + node _T_2968 = xor(_T_2967, _T_2951) @[el2_lib.scala 237:74] + node _T_2969 = xor(_T_2968, _T_2952) @[el2_lib.scala 237:74] + node _T_2970 = xor(_T_2969, _T_2953) @[el2_lib.scala 237:74] + node _T_2971 = xor(_T_2970, _T_2954) @[el2_lib.scala 237:74] + node _T_2972 = xor(_T_2971, _T_2955) @[el2_lib.scala 237:74] + node _T_2973 = xor(_T_2972, _T_2956) @[el2_lib.scala 237:74] + node _T_2974 = xor(_T_2973, _T_2957) @[el2_lib.scala 237:74] + node _T_2975 = xor(_T_2974, _T_2958) @[el2_lib.scala 237:74] + node _T_2976 = xor(_T_2975, _T_2959) @[el2_lib.scala 237:74] + node _T_2977 = xor(_T_2976, _T_2960) @[el2_lib.scala 237:74] + node _T_2978 = xor(_T_2977, _T_2961) @[el2_lib.scala 237:74] + node _T_2979 = xor(_T_2978, _T_2962) @[el2_lib.scala 237:74] + node _T_2980 = xor(_T_2979, _T_2963) @[el2_lib.scala 237:74] + node _T_2981 = bits(_T_2875, 4, 4) @[el2_lib.scala 237:58] + node _T_2982 = bits(_T_2875, 5, 5) @[el2_lib.scala 237:58] + node _T_2983 = bits(_T_2875, 6, 6) @[el2_lib.scala 237:58] + node _T_2984 = bits(_T_2875, 7, 7) @[el2_lib.scala 237:58] + node _T_2985 = bits(_T_2875, 8, 8) @[el2_lib.scala 237:58] + node _T_2986 = bits(_T_2875, 9, 9) @[el2_lib.scala 237:58] + node _T_2987 = bits(_T_2875, 10, 10) @[el2_lib.scala 237:58] + node _T_2988 = bits(_T_2875, 18, 18) @[el2_lib.scala 237:58] + node _T_2989 = bits(_T_2875, 19, 19) @[el2_lib.scala 237:58] + node _T_2990 = bits(_T_2875, 20, 20) @[el2_lib.scala 237:58] + node _T_2991 = bits(_T_2875, 21, 21) @[el2_lib.scala 237:58] + node _T_2992 = bits(_T_2875, 22, 22) @[el2_lib.scala 237:58] + node _T_2993 = bits(_T_2875, 23, 23) @[el2_lib.scala 237:58] + node _T_2994 = bits(_T_2875, 24, 24) @[el2_lib.scala 237:58] + node _T_2995 = bits(_T_2875, 25, 25) @[el2_lib.scala 237:58] + node _T_2996 = xor(_T_2981, _T_2982) @[el2_lib.scala 237:74] + node _T_2997 = xor(_T_2996, _T_2983) @[el2_lib.scala 237:74] + node _T_2998 = xor(_T_2997, _T_2984) @[el2_lib.scala 237:74] + node _T_2999 = xor(_T_2998, _T_2985) @[el2_lib.scala 237:74] + node _T_3000 = xor(_T_2999, _T_2986) @[el2_lib.scala 237:74] + node _T_3001 = xor(_T_3000, _T_2987) @[el2_lib.scala 237:74] + node _T_3002 = xor(_T_3001, _T_2988) @[el2_lib.scala 237:74] + node _T_3003 = xor(_T_3002, _T_2989) @[el2_lib.scala 237:74] + node _T_3004 = xor(_T_3003, _T_2990) @[el2_lib.scala 237:74] + node _T_3005 = xor(_T_3004, _T_2991) @[el2_lib.scala 237:74] + node _T_3006 = xor(_T_3005, _T_2992) @[el2_lib.scala 237:74] + node _T_3007 = xor(_T_3006, _T_2993) @[el2_lib.scala 237:74] + node _T_3008 = xor(_T_3007, _T_2994) @[el2_lib.scala 237:74] + node _T_3009 = xor(_T_3008, _T_2995) @[el2_lib.scala 237:74] + node _T_3010 = bits(_T_2875, 11, 11) @[el2_lib.scala 237:58] + node _T_3011 = bits(_T_2875, 12, 12) @[el2_lib.scala 237:58] + node _T_3012 = bits(_T_2875, 13, 13) @[el2_lib.scala 237:58] + node _T_3013 = bits(_T_2875, 14, 14) @[el2_lib.scala 237:58] + node _T_3014 = bits(_T_2875, 15, 15) @[el2_lib.scala 237:58] + node _T_3015 = bits(_T_2875, 16, 16) @[el2_lib.scala 237:58] + node _T_3016 = bits(_T_2875, 17, 17) @[el2_lib.scala 237:58] + node _T_3017 = bits(_T_2875, 18, 18) @[el2_lib.scala 237:58] + node _T_3018 = bits(_T_2875, 19, 19) @[el2_lib.scala 237:58] + node _T_3019 = bits(_T_2875, 20, 20) @[el2_lib.scala 237:58] + node _T_3020 = bits(_T_2875, 21, 21) @[el2_lib.scala 237:58] + node _T_3021 = bits(_T_2875, 22, 22) @[el2_lib.scala 237:58] + node _T_3022 = bits(_T_2875, 23, 23) @[el2_lib.scala 237:58] + node _T_3023 = bits(_T_2875, 24, 24) @[el2_lib.scala 237:58] + node _T_3024 = bits(_T_2875, 25, 25) @[el2_lib.scala 237:58] + node _T_3025 = xor(_T_3010, _T_3011) @[el2_lib.scala 237:74] + node _T_3026 = xor(_T_3025, _T_3012) @[el2_lib.scala 237:74] + node _T_3027 = xor(_T_3026, _T_3013) @[el2_lib.scala 237:74] + node _T_3028 = xor(_T_3027, _T_3014) @[el2_lib.scala 237:74] + node _T_3029 = xor(_T_3028, _T_3015) @[el2_lib.scala 237:74] + node _T_3030 = xor(_T_3029, _T_3016) @[el2_lib.scala 237:74] + node _T_3031 = xor(_T_3030, _T_3017) @[el2_lib.scala 237:74] + node _T_3032 = xor(_T_3031, _T_3018) @[el2_lib.scala 237:74] + node _T_3033 = xor(_T_3032, _T_3019) @[el2_lib.scala 237:74] + node _T_3034 = xor(_T_3033, _T_3020) @[el2_lib.scala 237:74] + node _T_3035 = xor(_T_3034, _T_3021) @[el2_lib.scala 237:74] + node _T_3036 = xor(_T_3035, _T_3022) @[el2_lib.scala 237:74] + node _T_3037 = xor(_T_3036, _T_3023) @[el2_lib.scala 237:74] + node _T_3038 = xor(_T_3037, _T_3024) @[el2_lib.scala 237:74] + node _T_3039 = bits(_T_2875, 26, 26) @[el2_lib.scala 237:58] + node _T_3040 = bits(_T_2875, 27, 27) @[el2_lib.scala 237:58] + node _T_3041 = bits(_T_2875, 28, 28) @[el2_lib.scala 237:58] + node _T_3042 = bits(_T_2875, 29, 29) @[el2_lib.scala 237:58] + node _T_3043 = bits(_T_2875, 30, 30) @[el2_lib.scala 237:58] + node _T_3044 = bits(_T_2875, 31, 31) @[el2_lib.scala 237:58] + node _T_3045 = xor(_T_3039, _T_3040) @[el2_lib.scala 237:74] + node _T_3046 = xor(_T_3045, _T_3041) @[el2_lib.scala 237:74] + node _T_3047 = xor(_T_3046, _T_3042) @[el2_lib.scala 237:74] + node _T_3048 = xor(_T_3047, _T_3043) @[el2_lib.scala 237:74] + node _T_3049 = xor(_T_3048, _T_3044) @[el2_lib.scala 237:74] node _T_3050 = cat(_T_2980, _T_2945) @[Cat.scala 29:58] node _T_3051 = cat(_T_3050, _T_2910) @[Cat.scala 29:58] node _T_3052 = cat(_T_3049, _T_3038) @[Cat.scala 29:58] node _T_3053 = cat(_T_3052, _T_3009) @[Cat.scala 29:58] node _T_3054 = cat(_T_3053, _T_3051) @[Cat.scala 29:58] - node _T_3055 = xorr(_T_2875) @[el2_lib.scala 252:13] - node _T_3056 = xorr(_T_3054) @[el2_lib.scala 252:23] - node _T_3057 = xor(_T_3055, _T_3056) @[el2_lib.scala 252:18] + node _T_3055 = xorr(_T_2875) @[el2_lib.scala 245:13] + node _T_3056 = xorr(_T_3054) @[el2_lib.scala 245:23] + node _T_3057 = xor(_T_3055, _T_3056) @[el2_lib.scala 245:18] node _T_3058 = cat(_T_3057, _T_3054) @[Cat.scala 29:58] node dma_mem_ecc = cat(_T_2874, _T_3058) @[Cat.scala 29:58] wire iccm_ecc_corr_data_ff : UInt<39> @@ -4235,443 +4295,443 @@ circuit el2_ifu_mem_ctl : node _T_3103 = bits(iccm_ecc_word_enable, 0, 0) @[el2_ifu_mem_ctl.scala 669:73] node _T_3104 = bits(io.iccm_rd_data_ecc, 31, 0) @[el2_ifu_mem_ctl.scala 669:93] node _T_3105 = bits(io.iccm_rd_data_ecc, 38, 32) @[el2_ifu_mem_ctl.scala 669:128] - wire _T_3106 : UInt<1>[18] @[el2_lib.scala 298:18] - wire _T_3107 : UInt<1>[18] @[el2_lib.scala 299:18] - wire _T_3108 : UInt<1>[18] @[el2_lib.scala 300:18] - wire _T_3109 : UInt<1>[15] @[el2_lib.scala 301:18] - wire _T_3110 : UInt<1>[15] @[el2_lib.scala 302:18] - wire _T_3111 : UInt<1>[6] @[el2_lib.scala 303:18] - node _T_3112 = bits(_T_3104, 0, 0) @[el2_lib.scala 310:36] - _T_3106[0] <= _T_3112 @[el2_lib.scala 310:30] - node _T_3113 = bits(_T_3104, 0, 0) @[el2_lib.scala 311:36] - _T_3107[0] <= _T_3113 @[el2_lib.scala 311:30] - node _T_3114 = bits(_T_3104, 1, 1) @[el2_lib.scala 310:36] - _T_3106[1] <= _T_3114 @[el2_lib.scala 310:30] - node _T_3115 = bits(_T_3104, 1, 1) @[el2_lib.scala 312:36] - _T_3108[0] <= _T_3115 @[el2_lib.scala 312:30] - node _T_3116 = bits(_T_3104, 2, 2) @[el2_lib.scala 311:36] - _T_3107[1] <= _T_3116 @[el2_lib.scala 311:30] - node _T_3117 = bits(_T_3104, 2, 2) @[el2_lib.scala 312:36] - _T_3108[1] <= _T_3117 @[el2_lib.scala 312:30] - node _T_3118 = bits(_T_3104, 3, 3) @[el2_lib.scala 310:36] - _T_3106[2] <= _T_3118 @[el2_lib.scala 310:30] - node _T_3119 = bits(_T_3104, 3, 3) @[el2_lib.scala 311:36] - _T_3107[2] <= _T_3119 @[el2_lib.scala 311:30] - node _T_3120 = bits(_T_3104, 3, 3) @[el2_lib.scala 312:36] - _T_3108[2] <= _T_3120 @[el2_lib.scala 312:30] - node _T_3121 = bits(_T_3104, 4, 4) @[el2_lib.scala 310:36] - _T_3106[3] <= _T_3121 @[el2_lib.scala 310:30] - node _T_3122 = bits(_T_3104, 4, 4) @[el2_lib.scala 313:36] - _T_3109[0] <= _T_3122 @[el2_lib.scala 313:30] - node _T_3123 = bits(_T_3104, 5, 5) @[el2_lib.scala 311:36] - _T_3107[3] <= _T_3123 @[el2_lib.scala 311:30] - node _T_3124 = bits(_T_3104, 5, 5) @[el2_lib.scala 313:36] - _T_3109[1] <= _T_3124 @[el2_lib.scala 313:30] - node _T_3125 = bits(_T_3104, 6, 6) @[el2_lib.scala 310:36] - _T_3106[4] <= _T_3125 @[el2_lib.scala 310:30] - node _T_3126 = bits(_T_3104, 6, 6) @[el2_lib.scala 311:36] - _T_3107[4] <= _T_3126 @[el2_lib.scala 311:30] - node _T_3127 = bits(_T_3104, 6, 6) @[el2_lib.scala 313:36] - _T_3109[2] <= _T_3127 @[el2_lib.scala 313:30] - node _T_3128 = bits(_T_3104, 7, 7) @[el2_lib.scala 312:36] - _T_3108[3] <= _T_3128 @[el2_lib.scala 312:30] - node _T_3129 = bits(_T_3104, 7, 7) @[el2_lib.scala 313:36] - _T_3109[3] <= _T_3129 @[el2_lib.scala 313:30] - node _T_3130 = bits(_T_3104, 8, 8) @[el2_lib.scala 310:36] - _T_3106[5] <= _T_3130 @[el2_lib.scala 310:30] - node _T_3131 = bits(_T_3104, 8, 8) @[el2_lib.scala 312:36] - _T_3108[4] <= _T_3131 @[el2_lib.scala 312:30] - node _T_3132 = bits(_T_3104, 8, 8) @[el2_lib.scala 313:36] - _T_3109[4] <= _T_3132 @[el2_lib.scala 313:30] - node _T_3133 = bits(_T_3104, 9, 9) @[el2_lib.scala 311:36] - _T_3107[5] <= _T_3133 @[el2_lib.scala 311:30] - node _T_3134 = bits(_T_3104, 9, 9) @[el2_lib.scala 312:36] - _T_3108[5] <= _T_3134 @[el2_lib.scala 312:30] - node _T_3135 = bits(_T_3104, 9, 9) @[el2_lib.scala 313:36] - _T_3109[5] <= _T_3135 @[el2_lib.scala 313:30] - node _T_3136 = bits(_T_3104, 10, 10) @[el2_lib.scala 310:36] - _T_3106[6] <= _T_3136 @[el2_lib.scala 310:30] - node _T_3137 = bits(_T_3104, 10, 10) @[el2_lib.scala 311:36] - _T_3107[6] <= _T_3137 @[el2_lib.scala 311:30] - node _T_3138 = bits(_T_3104, 10, 10) @[el2_lib.scala 312:36] - _T_3108[6] <= _T_3138 @[el2_lib.scala 312:30] - node _T_3139 = bits(_T_3104, 10, 10) @[el2_lib.scala 313:36] - _T_3109[6] <= _T_3139 @[el2_lib.scala 313:30] - node _T_3140 = bits(_T_3104, 11, 11) @[el2_lib.scala 310:36] - _T_3106[7] <= _T_3140 @[el2_lib.scala 310:30] - node _T_3141 = bits(_T_3104, 11, 11) @[el2_lib.scala 314:36] - _T_3110[0] <= _T_3141 @[el2_lib.scala 314:30] - node _T_3142 = bits(_T_3104, 12, 12) @[el2_lib.scala 311:36] - _T_3107[7] <= _T_3142 @[el2_lib.scala 311:30] - node _T_3143 = bits(_T_3104, 12, 12) @[el2_lib.scala 314:36] - _T_3110[1] <= _T_3143 @[el2_lib.scala 314:30] - node _T_3144 = bits(_T_3104, 13, 13) @[el2_lib.scala 310:36] - _T_3106[8] <= _T_3144 @[el2_lib.scala 310:30] - node _T_3145 = bits(_T_3104, 13, 13) @[el2_lib.scala 311:36] - _T_3107[8] <= _T_3145 @[el2_lib.scala 311:30] - node _T_3146 = bits(_T_3104, 13, 13) @[el2_lib.scala 314:36] - _T_3110[2] <= _T_3146 @[el2_lib.scala 314:30] - node _T_3147 = bits(_T_3104, 14, 14) @[el2_lib.scala 312:36] - _T_3108[7] <= _T_3147 @[el2_lib.scala 312:30] - node _T_3148 = bits(_T_3104, 14, 14) @[el2_lib.scala 314:36] - _T_3110[3] <= _T_3148 @[el2_lib.scala 314:30] - node _T_3149 = bits(_T_3104, 15, 15) @[el2_lib.scala 310:36] - _T_3106[9] <= _T_3149 @[el2_lib.scala 310:30] - node _T_3150 = bits(_T_3104, 15, 15) @[el2_lib.scala 312:36] - _T_3108[8] <= _T_3150 @[el2_lib.scala 312:30] - node _T_3151 = bits(_T_3104, 15, 15) @[el2_lib.scala 314:36] - _T_3110[4] <= _T_3151 @[el2_lib.scala 314:30] - node _T_3152 = bits(_T_3104, 16, 16) @[el2_lib.scala 311:36] - _T_3107[9] <= _T_3152 @[el2_lib.scala 311:30] - node _T_3153 = bits(_T_3104, 16, 16) @[el2_lib.scala 312:36] - _T_3108[9] <= _T_3153 @[el2_lib.scala 312:30] - node _T_3154 = bits(_T_3104, 16, 16) @[el2_lib.scala 314:36] - _T_3110[5] <= _T_3154 @[el2_lib.scala 314:30] - node _T_3155 = bits(_T_3104, 17, 17) @[el2_lib.scala 310:36] - _T_3106[10] <= _T_3155 @[el2_lib.scala 310:30] - node _T_3156 = bits(_T_3104, 17, 17) @[el2_lib.scala 311:36] - _T_3107[10] <= _T_3156 @[el2_lib.scala 311:30] - node _T_3157 = bits(_T_3104, 17, 17) @[el2_lib.scala 312:36] - _T_3108[10] <= _T_3157 @[el2_lib.scala 312:30] - node _T_3158 = bits(_T_3104, 17, 17) @[el2_lib.scala 314:36] - _T_3110[6] <= _T_3158 @[el2_lib.scala 314:30] - node _T_3159 = bits(_T_3104, 18, 18) @[el2_lib.scala 313:36] - _T_3109[7] <= _T_3159 @[el2_lib.scala 313:30] - node _T_3160 = bits(_T_3104, 18, 18) @[el2_lib.scala 314:36] - _T_3110[7] <= _T_3160 @[el2_lib.scala 314:30] - node _T_3161 = bits(_T_3104, 19, 19) @[el2_lib.scala 310:36] - _T_3106[11] <= _T_3161 @[el2_lib.scala 310:30] - node _T_3162 = bits(_T_3104, 19, 19) @[el2_lib.scala 313:36] - _T_3109[8] <= _T_3162 @[el2_lib.scala 313:30] - node _T_3163 = bits(_T_3104, 19, 19) @[el2_lib.scala 314:36] - _T_3110[8] <= _T_3163 @[el2_lib.scala 314:30] - node _T_3164 = bits(_T_3104, 20, 20) @[el2_lib.scala 311:36] - _T_3107[11] <= _T_3164 @[el2_lib.scala 311:30] - node _T_3165 = bits(_T_3104, 20, 20) @[el2_lib.scala 313:36] - _T_3109[9] <= _T_3165 @[el2_lib.scala 313:30] - node _T_3166 = bits(_T_3104, 20, 20) @[el2_lib.scala 314:36] - _T_3110[9] <= _T_3166 @[el2_lib.scala 314:30] - node _T_3167 = bits(_T_3104, 21, 21) @[el2_lib.scala 310:36] - _T_3106[12] <= _T_3167 @[el2_lib.scala 310:30] - node _T_3168 = bits(_T_3104, 21, 21) @[el2_lib.scala 311:36] - _T_3107[12] <= _T_3168 @[el2_lib.scala 311:30] - node _T_3169 = bits(_T_3104, 21, 21) @[el2_lib.scala 313:36] - _T_3109[10] <= _T_3169 @[el2_lib.scala 313:30] - node _T_3170 = bits(_T_3104, 21, 21) @[el2_lib.scala 314:36] - _T_3110[10] <= _T_3170 @[el2_lib.scala 314:30] - node _T_3171 = bits(_T_3104, 22, 22) @[el2_lib.scala 312:36] - _T_3108[11] <= _T_3171 @[el2_lib.scala 312:30] - node _T_3172 = bits(_T_3104, 22, 22) @[el2_lib.scala 313:36] - _T_3109[11] <= _T_3172 @[el2_lib.scala 313:30] - node _T_3173 = bits(_T_3104, 22, 22) @[el2_lib.scala 314:36] - _T_3110[11] <= _T_3173 @[el2_lib.scala 314:30] - node _T_3174 = bits(_T_3104, 23, 23) @[el2_lib.scala 310:36] - _T_3106[13] <= _T_3174 @[el2_lib.scala 310:30] - node _T_3175 = bits(_T_3104, 23, 23) @[el2_lib.scala 312:36] - _T_3108[12] <= _T_3175 @[el2_lib.scala 312:30] - node _T_3176 = bits(_T_3104, 23, 23) @[el2_lib.scala 313:36] - _T_3109[12] <= _T_3176 @[el2_lib.scala 313:30] - node _T_3177 = bits(_T_3104, 23, 23) @[el2_lib.scala 314:36] - _T_3110[12] <= _T_3177 @[el2_lib.scala 314:30] - node _T_3178 = bits(_T_3104, 24, 24) @[el2_lib.scala 311:36] - _T_3107[13] <= _T_3178 @[el2_lib.scala 311:30] - node _T_3179 = bits(_T_3104, 24, 24) @[el2_lib.scala 312:36] - _T_3108[13] <= _T_3179 @[el2_lib.scala 312:30] - node _T_3180 = bits(_T_3104, 24, 24) @[el2_lib.scala 313:36] - _T_3109[13] <= _T_3180 @[el2_lib.scala 313:30] - node _T_3181 = bits(_T_3104, 24, 24) @[el2_lib.scala 314:36] - _T_3110[13] <= _T_3181 @[el2_lib.scala 314:30] - node _T_3182 = bits(_T_3104, 25, 25) @[el2_lib.scala 310:36] - _T_3106[14] <= _T_3182 @[el2_lib.scala 310:30] - node _T_3183 = bits(_T_3104, 25, 25) @[el2_lib.scala 311:36] - _T_3107[14] <= _T_3183 @[el2_lib.scala 311:30] - node _T_3184 = bits(_T_3104, 25, 25) @[el2_lib.scala 312:36] - _T_3108[14] <= _T_3184 @[el2_lib.scala 312:30] - node _T_3185 = bits(_T_3104, 25, 25) @[el2_lib.scala 313:36] - _T_3109[14] <= _T_3185 @[el2_lib.scala 313:30] - node _T_3186 = bits(_T_3104, 25, 25) @[el2_lib.scala 314:36] - _T_3110[14] <= _T_3186 @[el2_lib.scala 314:30] - node _T_3187 = bits(_T_3104, 26, 26) @[el2_lib.scala 310:36] - _T_3106[15] <= _T_3187 @[el2_lib.scala 310:30] - node _T_3188 = bits(_T_3104, 26, 26) @[el2_lib.scala 315:36] - _T_3111[0] <= _T_3188 @[el2_lib.scala 315:30] - node _T_3189 = bits(_T_3104, 27, 27) @[el2_lib.scala 311:36] - _T_3107[15] <= _T_3189 @[el2_lib.scala 311:30] - node _T_3190 = bits(_T_3104, 27, 27) @[el2_lib.scala 315:36] - _T_3111[1] <= _T_3190 @[el2_lib.scala 315:30] - node _T_3191 = bits(_T_3104, 28, 28) @[el2_lib.scala 310:36] - _T_3106[16] <= _T_3191 @[el2_lib.scala 310:30] - node _T_3192 = bits(_T_3104, 28, 28) @[el2_lib.scala 311:36] - _T_3107[16] <= _T_3192 @[el2_lib.scala 311:30] - node _T_3193 = bits(_T_3104, 28, 28) @[el2_lib.scala 315:36] - _T_3111[2] <= _T_3193 @[el2_lib.scala 315:30] - node _T_3194 = bits(_T_3104, 29, 29) @[el2_lib.scala 312:36] - _T_3108[15] <= _T_3194 @[el2_lib.scala 312:30] - node _T_3195 = bits(_T_3104, 29, 29) @[el2_lib.scala 315:36] - _T_3111[3] <= _T_3195 @[el2_lib.scala 315:30] - node _T_3196 = bits(_T_3104, 30, 30) @[el2_lib.scala 310:36] - _T_3106[17] <= _T_3196 @[el2_lib.scala 310:30] - node _T_3197 = bits(_T_3104, 30, 30) @[el2_lib.scala 312:36] - _T_3108[16] <= _T_3197 @[el2_lib.scala 312:30] - node _T_3198 = bits(_T_3104, 30, 30) @[el2_lib.scala 315:36] - _T_3111[4] <= _T_3198 @[el2_lib.scala 315:30] - node _T_3199 = bits(_T_3104, 31, 31) @[el2_lib.scala 311:36] - _T_3107[17] <= _T_3199 @[el2_lib.scala 311:30] - node _T_3200 = bits(_T_3104, 31, 31) @[el2_lib.scala 312:36] - _T_3108[17] <= _T_3200 @[el2_lib.scala 312:30] - node _T_3201 = bits(_T_3104, 31, 31) @[el2_lib.scala 315:36] - _T_3111[5] <= _T_3201 @[el2_lib.scala 315:30] - node _T_3202 = xorr(_T_3104) @[el2_lib.scala 318:30] - node _T_3203 = xorr(_T_3105) @[el2_lib.scala 318:44] - node _T_3204 = xor(_T_3202, _T_3203) @[el2_lib.scala 318:35] - node _T_3205 = not(UInt<1>("h00")) @[el2_lib.scala 318:52] - node _T_3206 = and(_T_3204, _T_3205) @[el2_lib.scala 318:50] - node _T_3207 = bits(_T_3105, 5, 5) @[el2_lib.scala 318:68] - node _T_3208 = cat(_T_3111[2], _T_3111[1]) @[el2_lib.scala 318:76] - node _T_3209 = cat(_T_3208, _T_3111[0]) @[el2_lib.scala 318:76] - node _T_3210 = cat(_T_3111[5], _T_3111[4]) @[el2_lib.scala 318:76] - node _T_3211 = cat(_T_3210, _T_3111[3]) @[el2_lib.scala 318:76] - node _T_3212 = cat(_T_3211, _T_3209) @[el2_lib.scala 318:76] - node _T_3213 = xorr(_T_3212) @[el2_lib.scala 318:83] - node _T_3214 = xor(_T_3207, _T_3213) @[el2_lib.scala 318:71] - node _T_3215 = bits(_T_3105, 4, 4) @[el2_lib.scala 318:95] - node _T_3216 = cat(_T_3110[2], _T_3110[1]) @[el2_lib.scala 318:103] - node _T_3217 = cat(_T_3216, _T_3110[0]) @[el2_lib.scala 318:103] - node _T_3218 = cat(_T_3110[4], _T_3110[3]) @[el2_lib.scala 318:103] - node _T_3219 = cat(_T_3110[6], _T_3110[5]) @[el2_lib.scala 318:103] - node _T_3220 = cat(_T_3219, _T_3218) @[el2_lib.scala 318:103] - node _T_3221 = cat(_T_3220, _T_3217) @[el2_lib.scala 318:103] - node _T_3222 = cat(_T_3110[8], _T_3110[7]) @[el2_lib.scala 318:103] - node _T_3223 = cat(_T_3110[10], _T_3110[9]) @[el2_lib.scala 318:103] - node _T_3224 = cat(_T_3223, _T_3222) @[el2_lib.scala 318:103] - node _T_3225 = cat(_T_3110[12], _T_3110[11]) @[el2_lib.scala 318:103] - node _T_3226 = cat(_T_3110[14], _T_3110[13]) @[el2_lib.scala 318:103] - node _T_3227 = cat(_T_3226, _T_3225) @[el2_lib.scala 318:103] - node _T_3228 = cat(_T_3227, _T_3224) @[el2_lib.scala 318:103] - node _T_3229 = cat(_T_3228, _T_3221) @[el2_lib.scala 318:103] - node _T_3230 = xorr(_T_3229) @[el2_lib.scala 318:110] - node _T_3231 = xor(_T_3215, _T_3230) @[el2_lib.scala 318:98] - node _T_3232 = bits(_T_3105, 3, 3) @[el2_lib.scala 318:122] - node _T_3233 = cat(_T_3109[2], _T_3109[1]) @[el2_lib.scala 318:130] - node _T_3234 = cat(_T_3233, _T_3109[0]) @[el2_lib.scala 318:130] - node _T_3235 = cat(_T_3109[4], _T_3109[3]) @[el2_lib.scala 318:130] - node _T_3236 = cat(_T_3109[6], _T_3109[5]) @[el2_lib.scala 318:130] - node _T_3237 = cat(_T_3236, _T_3235) @[el2_lib.scala 318:130] - node _T_3238 = cat(_T_3237, _T_3234) @[el2_lib.scala 318:130] - node _T_3239 = cat(_T_3109[8], _T_3109[7]) @[el2_lib.scala 318:130] - node _T_3240 = cat(_T_3109[10], _T_3109[9]) @[el2_lib.scala 318:130] - node _T_3241 = cat(_T_3240, _T_3239) @[el2_lib.scala 318:130] - node _T_3242 = cat(_T_3109[12], _T_3109[11]) @[el2_lib.scala 318:130] - node _T_3243 = cat(_T_3109[14], _T_3109[13]) @[el2_lib.scala 318:130] - node _T_3244 = cat(_T_3243, _T_3242) @[el2_lib.scala 318:130] - node _T_3245 = cat(_T_3244, _T_3241) @[el2_lib.scala 318:130] - node _T_3246 = cat(_T_3245, _T_3238) @[el2_lib.scala 318:130] - node _T_3247 = xorr(_T_3246) @[el2_lib.scala 318:137] - node _T_3248 = xor(_T_3232, _T_3247) @[el2_lib.scala 318:125] - node _T_3249 = bits(_T_3105, 2, 2) @[el2_lib.scala 318:149] - node _T_3250 = cat(_T_3108[1], _T_3108[0]) @[el2_lib.scala 318:157] - node _T_3251 = cat(_T_3108[3], _T_3108[2]) @[el2_lib.scala 318:157] - node _T_3252 = cat(_T_3251, _T_3250) @[el2_lib.scala 318:157] - node _T_3253 = cat(_T_3108[5], _T_3108[4]) @[el2_lib.scala 318:157] - node _T_3254 = cat(_T_3108[8], _T_3108[7]) @[el2_lib.scala 318:157] - node _T_3255 = cat(_T_3254, _T_3108[6]) @[el2_lib.scala 318:157] - node _T_3256 = cat(_T_3255, _T_3253) @[el2_lib.scala 318:157] - node _T_3257 = cat(_T_3256, _T_3252) @[el2_lib.scala 318:157] - node _T_3258 = cat(_T_3108[10], _T_3108[9]) @[el2_lib.scala 318:157] - node _T_3259 = cat(_T_3108[12], _T_3108[11]) @[el2_lib.scala 318:157] - node _T_3260 = cat(_T_3259, _T_3258) @[el2_lib.scala 318:157] - node _T_3261 = cat(_T_3108[14], _T_3108[13]) @[el2_lib.scala 318:157] - node _T_3262 = cat(_T_3108[17], _T_3108[16]) @[el2_lib.scala 318:157] - node _T_3263 = cat(_T_3262, _T_3108[15]) @[el2_lib.scala 318:157] - node _T_3264 = cat(_T_3263, _T_3261) @[el2_lib.scala 318:157] - node _T_3265 = cat(_T_3264, _T_3260) @[el2_lib.scala 318:157] - node _T_3266 = cat(_T_3265, _T_3257) @[el2_lib.scala 318:157] - node _T_3267 = xorr(_T_3266) @[el2_lib.scala 318:164] - node _T_3268 = xor(_T_3249, _T_3267) @[el2_lib.scala 318:152] - node _T_3269 = bits(_T_3105, 1, 1) @[el2_lib.scala 318:176] - node _T_3270 = cat(_T_3107[1], _T_3107[0]) @[el2_lib.scala 318:184] - node _T_3271 = cat(_T_3107[3], _T_3107[2]) @[el2_lib.scala 318:184] - node _T_3272 = cat(_T_3271, _T_3270) @[el2_lib.scala 318:184] - node _T_3273 = cat(_T_3107[5], _T_3107[4]) @[el2_lib.scala 318:184] - node _T_3274 = cat(_T_3107[8], _T_3107[7]) @[el2_lib.scala 318:184] - node _T_3275 = cat(_T_3274, _T_3107[6]) @[el2_lib.scala 318:184] - node _T_3276 = cat(_T_3275, _T_3273) @[el2_lib.scala 318:184] - node _T_3277 = cat(_T_3276, _T_3272) @[el2_lib.scala 318:184] - node _T_3278 = cat(_T_3107[10], _T_3107[9]) @[el2_lib.scala 318:184] - node _T_3279 = cat(_T_3107[12], _T_3107[11]) @[el2_lib.scala 318:184] - node _T_3280 = cat(_T_3279, _T_3278) @[el2_lib.scala 318:184] - node _T_3281 = cat(_T_3107[14], _T_3107[13]) @[el2_lib.scala 318:184] - node _T_3282 = cat(_T_3107[17], _T_3107[16]) @[el2_lib.scala 318:184] - node _T_3283 = cat(_T_3282, _T_3107[15]) @[el2_lib.scala 318:184] - node _T_3284 = cat(_T_3283, _T_3281) @[el2_lib.scala 318:184] - node _T_3285 = cat(_T_3284, _T_3280) @[el2_lib.scala 318:184] - node _T_3286 = cat(_T_3285, _T_3277) @[el2_lib.scala 318:184] - node _T_3287 = xorr(_T_3286) @[el2_lib.scala 318:191] - node _T_3288 = xor(_T_3269, _T_3287) @[el2_lib.scala 318:179] - node _T_3289 = bits(_T_3105, 0, 0) @[el2_lib.scala 318:203] - node _T_3290 = cat(_T_3106[1], _T_3106[0]) @[el2_lib.scala 318:211] - node _T_3291 = cat(_T_3106[3], _T_3106[2]) @[el2_lib.scala 318:211] - node _T_3292 = cat(_T_3291, _T_3290) @[el2_lib.scala 318:211] - node _T_3293 = cat(_T_3106[5], _T_3106[4]) @[el2_lib.scala 318:211] - node _T_3294 = cat(_T_3106[8], _T_3106[7]) @[el2_lib.scala 318:211] - node _T_3295 = cat(_T_3294, _T_3106[6]) @[el2_lib.scala 318:211] - node _T_3296 = cat(_T_3295, _T_3293) @[el2_lib.scala 318:211] - node _T_3297 = cat(_T_3296, _T_3292) @[el2_lib.scala 318:211] - node _T_3298 = cat(_T_3106[10], _T_3106[9]) @[el2_lib.scala 318:211] - node _T_3299 = cat(_T_3106[12], _T_3106[11]) @[el2_lib.scala 318:211] - node _T_3300 = cat(_T_3299, _T_3298) @[el2_lib.scala 318:211] - node _T_3301 = cat(_T_3106[14], _T_3106[13]) @[el2_lib.scala 318:211] - node _T_3302 = cat(_T_3106[17], _T_3106[16]) @[el2_lib.scala 318:211] - node _T_3303 = cat(_T_3302, _T_3106[15]) @[el2_lib.scala 318:211] - node _T_3304 = cat(_T_3303, _T_3301) @[el2_lib.scala 318:211] - node _T_3305 = cat(_T_3304, _T_3300) @[el2_lib.scala 318:211] - node _T_3306 = cat(_T_3305, _T_3297) @[el2_lib.scala 318:211] - node _T_3307 = xorr(_T_3306) @[el2_lib.scala 318:218] - node _T_3308 = xor(_T_3289, _T_3307) @[el2_lib.scala 318:206] + wire _T_3106 : UInt<1>[18] @[el2_lib.scala 291:18] + wire _T_3107 : UInt<1>[18] @[el2_lib.scala 292:18] + wire _T_3108 : UInt<1>[18] @[el2_lib.scala 293:18] + wire _T_3109 : UInt<1>[15] @[el2_lib.scala 294:18] + wire _T_3110 : UInt<1>[15] @[el2_lib.scala 295:18] + wire _T_3111 : UInt<1>[6] @[el2_lib.scala 296:18] + node _T_3112 = bits(_T_3104, 0, 0) @[el2_lib.scala 303:36] + _T_3106[0] <= _T_3112 @[el2_lib.scala 303:30] + node _T_3113 = bits(_T_3104, 0, 0) @[el2_lib.scala 304:36] + _T_3107[0] <= _T_3113 @[el2_lib.scala 304:30] + node _T_3114 = bits(_T_3104, 1, 1) @[el2_lib.scala 303:36] + _T_3106[1] <= _T_3114 @[el2_lib.scala 303:30] + node _T_3115 = bits(_T_3104, 1, 1) @[el2_lib.scala 305:36] + _T_3108[0] <= _T_3115 @[el2_lib.scala 305:30] + node _T_3116 = bits(_T_3104, 2, 2) @[el2_lib.scala 304:36] + _T_3107[1] <= _T_3116 @[el2_lib.scala 304:30] + node _T_3117 = bits(_T_3104, 2, 2) @[el2_lib.scala 305:36] + _T_3108[1] <= _T_3117 @[el2_lib.scala 305:30] + node _T_3118 = bits(_T_3104, 3, 3) @[el2_lib.scala 303:36] + _T_3106[2] <= _T_3118 @[el2_lib.scala 303:30] + node _T_3119 = bits(_T_3104, 3, 3) @[el2_lib.scala 304:36] + _T_3107[2] <= _T_3119 @[el2_lib.scala 304:30] + node _T_3120 = bits(_T_3104, 3, 3) @[el2_lib.scala 305:36] + _T_3108[2] <= _T_3120 @[el2_lib.scala 305:30] + node _T_3121 = bits(_T_3104, 4, 4) @[el2_lib.scala 303:36] + _T_3106[3] <= _T_3121 @[el2_lib.scala 303:30] + node _T_3122 = bits(_T_3104, 4, 4) @[el2_lib.scala 306:36] + _T_3109[0] <= _T_3122 @[el2_lib.scala 306:30] + node _T_3123 = bits(_T_3104, 5, 5) @[el2_lib.scala 304:36] + _T_3107[3] <= _T_3123 @[el2_lib.scala 304:30] + node _T_3124 = bits(_T_3104, 5, 5) @[el2_lib.scala 306:36] + _T_3109[1] <= _T_3124 @[el2_lib.scala 306:30] + node _T_3125 = bits(_T_3104, 6, 6) @[el2_lib.scala 303:36] + _T_3106[4] <= _T_3125 @[el2_lib.scala 303:30] + node _T_3126 = bits(_T_3104, 6, 6) @[el2_lib.scala 304:36] + _T_3107[4] <= _T_3126 @[el2_lib.scala 304:30] + node _T_3127 = bits(_T_3104, 6, 6) @[el2_lib.scala 306:36] + _T_3109[2] <= _T_3127 @[el2_lib.scala 306:30] + node _T_3128 = bits(_T_3104, 7, 7) @[el2_lib.scala 305:36] + _T_3108[3] <= _T_3128 @[el2_lib.scala 305:30] + node _T_3129 = bits(_T_3104, 7, 7) @[el2_lib.scala 306:36] + _T_3109[3] <= _T_3129 @[el2_lib.scala 306:30] + node _T_3130 = bits(_T_3104, 8, 8) @[el2_lib.scala 303:36] + _T_3106[5] <= _T_3130 @[el2_lib.scala 303:30] + node _T_3131 = bits(_T_3104, 8, 8) @[el2_lib.scala 305:36] + _T_3108[4] <= _T_3131 @[el2_lib.scala 305:30] + node _T_3132 = bits(_T_3104, 8, 8) @[el2_lib.scala 306:36] + _T_3109[4] <= _T_3132 @[el2_lib.scala 306:30] + node _T_3133 = bits(_T_3104, 9, 9) @[el2_lib.scala 304:36] + _T_3107[5] <= _T_3133 @[el2_lib.scala 304:30] + node _T_3134 = bits(_T_3104, 9, 9) @[el2_lib.scala 305:36] + _T_3108[5] <= _T_3134 @[el2_lib.scala 305:30] + node _T_3135 = bits(_T_3104, 9, 9) @[el2_lib.scala 306:36] + _T_3109[5] <= _T_3135 @[el2_lib.scala 306:30] + node _T_3136 = bits(_T_3104, 10, 10) @[el2_lib.scala 303:36] + _T_3106[6] <= _T_3136 @[el2_lib.scala 303:30] + node _T_3137 = bits(_T_3104, 10, 10) @[el2_lib.scala 304:36] + _T_3107[6] <= _T_3137 @[el2_lib.scala 304:30] + node _T_3138 = bits(_T_3104, 10, 10) @[el2_lib.scala 305:36] + _T_3108[6] <= _T_3138 @[el2_lib.scala 305:30] + node _T_3139 = bits(_T_3104, 10, 10) @[el2_lib.scala 306:36] + _T_3109[6] <= _T_3139 @[el2_lib.scala 306:30] + node _T_3140 = bits(_T_3104, 11, 11) @[el2_lib.scala 303:36] + _T_3106[7] <= _T_3140 @[el2_lib.scala 303:30] + node _T_3141 = bits(_T_3104, 11, 11) @[el2_lib.scala 307:36] + _T_3110[0] <= _T_3141 @[el2_lib.scala 307:30] + node _T_3142 = bits(_T_3104, 12, 12) @[el2_lib.scala 304:36] + _T_3107[7] <= _T_3142 @[el2_lib.scala 304:30] + node _T_3143 = bits(_T_3104, 12, 12) @[el2_lib.scala 307:36] + _T_3110[1] <= _T_3143 @[el2_lib.scala 307:30] + node _T_3144 = bits(_T_3104, 13, 13) @[el2_lib.scala 303:36] + _T_3106[8] <= _T_3144 @[el2_lib.scala 303:30] + node _T_3145 = bits(_T_3104, 13, 13) @[el2_lib.scala 304:36] + _T_3107[8] <= _T_3145 @[el2_lib.scala 304:30] + node _T_3146 = bits(_T_3104, 13, 13) @[el2_lib.scala 307:36] + _T_3110[2] <= _T_3146 @[el2_lib.scala 307:30] + node _T_3147 = bits(_T_3104, 14, 14) @[el2_lib.scala 305:36] + _T_3108[7] <= _T_3147 @[el2_lib.scala 305:30] + node _T_3148 = bits(_T_3104, 14, 14) @[el2_lib.scala 307:36] + _T_3110[3] <= _T_3148 @[el2_lib.scala 307:30] + node _T_3149 = bits(_T_3104, 15, 15) @[el2_lib.scala 303:36] + _T_3106[9] <= _T_3149 @[el2_lib.scala 303:30] + node _T_3150 = bits(_T_3104, 15, 15) @[el2_lib.scala 305:36] + _T_3108[8] <= _T_3150 @[el2_lib.scala 305:30] + node _T_3151 = bits(_T_3104, 15, 15) @[el2_lib.scala 307:36] + _T_3110[4] <= _T_3151 @[el2_lib.scala 307:30] + node _T_3152 = bits(_T_3104, 16, 16) @[el2_lib.scala 304:36] + _T_3107[9] <= _T_3152 @[el2_lib.scala 304:30] + node _T_3153 = bits(_T_3104, 16, 16) @[el2_lib.scala 305:36] + _T_3108[9] <= _T_3153 @[el2_lib.scala 305:30] + node _T_3154 = bits(_T_3104, 16, 16) @[el2_lib.scala 307:36] + _T_3110[5] <= _T_3154 @[el2_lib.scala 307:30] + node _T_3155 = bits(_T_3104, 17, 17) @[el2_lib.scala 303:36] + _T_3106[10] <= _T_3155 @[el2_lib.scala 303:30] + node _T_3156 = bits(_T_3104, 17, 17) @[el2_lib.scala 304:36] + _T_3107[10] <= _T_3156 @[el2_lib.scala 304:30] + node _T_3157 = bits(_T_3104, 17, 17) @[el2_lib.scala 305:36] + _T_3108[10] <= _T_3157 @[el2_lib.scala 305:30] + node _T_3158 = bits(_T_3104, 17, 17) @[el2_lib.scala 307:36] + _T_3110[6] <= _T_3158 @[el2_lib.scala 307:30] + node _T_3159 = bits(_T_3104, 18, 18) @[el2_lib.scala 306:36] + _T_3109[7] <= _T_3159 @[el2_lib.scala 306:30] + node _T_3160 = bits(_T_3104, 18, 18) @[el2_lib.scala 307:36] + _T_3110[7] <= _T_3160 @[el2_lib.scala 307:30] + node _T_3161 = bits(_T_3104, 19, 19) @[el2_lib.scala 303:36] + _T_3106[11] <= _T_3161 @[el2_lib.scala 303:30] + node _T_3162 = bits(_T_3104, 19, 19) @[el2_lib.scala 306:36] + _T_3109[8] <= _T_3162 @[el2_lib.scala 306:30] + node _T_3163 = bits(_T_3104, 19, 19) @[el2_lib.scala 307:36] + _T_3110[8] <= _T_3163 @[el2_lib.scala 307:30] + node _T_3164 = bits(_T_3104, 20, 20) @[el2_lib.scala 304:36] + _T_3107[11] <= _T_3164 @[el2_lib.scala 304:30] + node _T_3165 = bits(_T_3104, 20, 20) @[el2_lib.scala 306:36] + _T_3109[9] <= _T_3165 @[el2_lib.scala 306:30] + node _T_3166 = bits(_T_3104, 20, 20) @[el2_lib.scala 307:36] + _T_3110[9] <= _T_3166 @[el2_lib.scala 307:30] + node _T_3167 = bits(_T_3104, 21, 21) @[el2_lib.scala 303:36] + _T_3106[12] <= _T_3167 @[el2_lib.scala 303:30] + node _T_3168 = bits(_T_3104, 21, 21) @[el2_lib.scala 304:36] + _T_3107[12] <= _T_3168 @[el2_lib.scala 304:30] + node _T_3169 = bits(_T_3104, 21, 21) @[el2_lib.scala 306:36] + _T_3109[10] <= _T_3169 @[el2_lib.scala 306:30] + node _T_3170 = bits(_T_3104, 21, 21) @[el2_lib.scala 307:36] + _T_3110[10] <= _T_3170 @[el2_lib.scala 307:30] + node _T_3171 = bits(_T_3104, 22, 22) @[el2_lib.scala 305:36] + _T_3108[11] <= _T_3171 @[el2_lib.scala 305:30] + node _T_3172 = bits(_T_3104, 22, 22) @[el2_lib.scala 306:36] + _T_3109[11] <= _T_3172 @[el2_lib.scala 306:30] + node _T_3173 = bits(_T_3104, 22, 22) @[el2_lib.scala 307:36] + _T_3110[11] <= _T_3173 @[el2_lib.scala 307:30] + node _T_3174 = bits(_T_3104, 23, 23) @[el2_lib.scala 303:36] + _T_3106[13] <= _T_3174 @[el2_lib.scala 303:30] + node _T_3175 = bits(_T_3104, 23, 23) @[el2_lib.scala 305:36] + _T_3108[12] <= _T_3175 @[el2_lib.scala 305:30] + node _T_3176 = bits(_T_3104, 23, 23) @[el2_lib.scala 306:36] + _T_3109[12] <= _T_3176 @[el2_lib.scala 306:30] + node _T_3177 = bits(_T_3104, 23, 23) @[el2_lib.scala 307:36] + _T_3110[12] <= _T_3177 @[el2_lib.scala 307:30] + node _T_3178 = bits(_T_3104, 24, 24) @[el2_lib.scala 304:36] + _T_3107[13] <= _T_3178 @[el2_lib.scala 304:30] + node _T_3179 = bits(_T_3104, 24, 24) @[el2_lib.scala 305:36] + _T_3108[13] <= _T_3179 @[el2_lib.scala 305:30] + node _T_3180 = bits(_T_3104, 24, 24) @[el2_lib.scala 306:36] + _T_3109[13] <= _T_3180 @[el2_lib.scala 306:30] + node _T_3181 = bits(_T_3104, 24, 24) @[el2_lib.scala 307:36] + _T_3110[13] <= _T_3181 @[el2_lib.scala 307:30] + node _T_3182 = bits(_T_3104, 25, 25) @[el2_lib.scala 303:36] + _T_3106[14] <= _T_3182 @[el2_lib.scala 303:30] + node _T_3183 = bits(_T_3104, 25, 25) @[el2_lib.scala 304:36] + _T_3107[14] <= _T_3183 @[el2_lib.scala 304:30] + node _T_3184 = bits(_T_3104, 25, 25) @[el2_lib.scala 305:36] + _T_3108[14] <= _T_3184 @[el2_lib.scala 305:30] + node _T_3185 = bits(_T_3104, 25, 25) @[el2_lib.scala 306:36] + _T_3109[14] <= _T_3185 @[el2_lib.scala 306:30] + node _T_3186 = bits(_T_3104, 25, 25) @[el2_lib.scala 307:36] + _T_3110[14] <= _T_3186 @[el2_lib.scala 307:30] + node _T_3187 = bits(_T_3104, 26, 26) @[el2_lib.scala 303:36] + _T_3106[15] <= _T_3187 @[el2_lib.scala 303:30] + node _T_3188 = bits(_T_3104, 26, 26) @[el2_lib.scala 308:36] + _T_3111[0] <= _T_3188 @[el2_lib.scala 308:30] + node _T_3189 = bits(_T_3104, 27, 27) @[el2_lib.scala 304:36] + _T_3107[15] <= _T_3189 @[el2_lib.scala 304:30] + node _T_3190 = bits(_T_3104, 27, 27) @[el2_lib.scala 308:36] + _T_3111[1] <= _T_3190 @[el2_lib.scala 308:30] + node _T_3191 = bits(_T_3104, 28, 28) @[el2_lib.scala 303:36] + _T_3106[16] <= _T_3191 @[el2_lib.scala 303:30] + node _T_3192 = bits(_T_3104, 28, 28) @[el2_lib.scala 304:36] + _T_3107[16] <= _T_3192 @[el2_lib.scala 304:30] + node _T_3193 = bits(_T_3104, 28, 28) @[el2_lib.scala 308:36] + _T_3111[2] <= _T_3193 @[el2_lib.scala 308:30] + node _T_3194 = bits(_T_3104, 29, 29) @[el2_lib.scala 305:36] + _T_3108[15] <= _T_3194 @[el2_lib.scala 305:30] + node _T_3195 = bits(_T_3104, 29, 29) @[el2_lib.scala 308:36] + _T_3111[3] <= _T_3195 @[el2_lib.scala 308:30] + node _T_3196 = bits(_T_3104, 30, 30) @[el2_lib.scala 303:36] + _T_3106[17] <= _T_3196 @[el2_lib.scala 303:30] + node _T_3197 = bits(_T_3104, 30, 30) @[el2_lib.scala 305:36] + _T_3108[16] <= _T_3197 @[el2_lib.scala 305:30] + node _T_3198 = bits(_T_3104, 30, 30) @[el2_lib.scala 308:36] + _T_3111[4] <= _T_3198 @[el2_lib.scala 308:30] + node _T_3199 = bits(_T_3104, 31, 31) @[el2_lib.scala 304:36] + _T_3107[17] <= _T_3199 @[el2_lib.scala 304:30] + node _T_3200 = bits(_T_3104, 31, 31) @[el2_lib.scala 305:36] + _T_3108[17] <= _T_3200 @[el2_lib.scala 305:30] + node _T_3201 = bits(_T_3104, 31, 31) @[el2_lib.scala 308:36] + _T_3111[5] <= _T_3201 @[el2_lib.scala 308:30] + node _T_3202 = xorr(_T_3104) @[el2_lib.scala 311:30] + node _T_3203 = xorr(_T_3105) @[el2_lib.scala 311:44] + node _T_3204 = xor(_T_3202, _T_3203) @[el2_lib.scala 311:35] + node _T_3205 = not(UInt<1>("h00")) @[el2_lib.scala 311:52] + node _T_3206 = and(_T_3204, _T_3205) @[el2_lib.scala 311:50] + node _T_3207 = bits(_T_3105, 5, 5) @[el2_lib.scala 311:68] + node _T_3208 = cat(_T_3111[2], _T_3111[1]) @[el2_lib.scala 311:76] + node _T_3209 = cat(_T_3208, _T_3111[0]) @[el2_lib.scala 311:76] + node _T_3210 = cat(_T_3111[5], _T_3111[4]) @[el2_lib.scala 311:76] + node _T_3211 = cat(_T_3210, _T_3111[3]) @[el2_lib.scala 311:76] + node _T_3212 = cat(_T_3211, _T_3209) @[el2_lib.scala 311:76] + node _T_3213 = xorr(_T_3212) @[el2_lib.scala 311:83] + node _T_3214 = xor(_T_3207, _T_3213) @[el2_lib.scala 311:71] + node _T_3215 = bits(_T_3105, 4, 4) @[el2_lib.scala 311:95] + node _T_3216 = cat(_T_3110[2], _T_3110[1]) @[el2_lib.scala 311:103] + node _T_3217 = cat(_T_3216, _T_3110[0]) @[el2_lib.scala 311:103] + node _T_3218 = cat(_T_3110[4], _T_3110[3]) @[el2_lib.scala 311:103] + node _T_3219 = cat(_T_3110[6], _T_3110[5]) @[el2_lib.scala 311:103] + node _T_3220 = cat(_T_3219, _T_3218) @[el2_lib.scala 311:103] + node _T_3221 = cat(_T_3220, _T_3217) @[el2_lib.scala 311:103] + node _T_3222 = cat(_T_3110[8], _T_3110[7]) @[el2_lib.scala 311:103] + node _T_3223 = cat(_T_3110[10], _T_3110[9]) @[el2_lib.scala 311:103] + node _T_3224 = cat(_T_3223, _T_3222) @[el2_lib.scala 311:103] + node _T_3225 = cat(_T_3110[12], _T_3110[11]) @[el2_lib.scala 311:103] + node _T_3226 = cat(_T_3110[14], _T_3110[13]) @[el2_lib.scala 311:103] + node _T_3227 = cat(_T_3226, _T_3225) @[el2_lib.scala 311:103] + node _T_3228 = cat(_T_3227, _T_3224) @[el2_lib.scala 311:103] + node _T_3229 = cat(_T_3228, _T_3221) @[el2_lib.scala 311:103] + node _T_3230 = xorr(_T_3229) @[el2_lib.scala 311:110] + node _T_3231 = xor(_T_3215, _T_3230) @[el2_lib.scala 311:98] + node _T_3232 = bits(_T_3105, 3, 3) @[el2_lib.scala 311:122] + node _T_3233 = cat(_T_3109[2], _T_3109[1]) @[el2_lib.scala 311:130] + node _T_3234 = cat(_T_3233, _T_3109[0]) @[el2_lib.scala 311:130] + node _T_3235 = cat(_T_3109[4], _T_3109[3]) @[el2_lib.scala 311:130] + node _T_3236 = cat(_T_3109[6], _T_3109[5]) @[el2_lib.scala 311:130] + node _T_3237 = cat(_T_3236, _T_3235) @[el2_lib.scala 311:130] + node _T_3238 = cat(_T_3237, _T_3234) @[el2_lib.scala 311:130] + node _T_3239 = cat(_T_3109[8], _T_3109[7]) @[el2_lib.scala 311:130] + node _T_3240 = cat(_T_3109[10], _T_3109[9]) @[el2_lib.scala 311:130] + node _T_3241 = cat(_T_3240, _T_3239) @[el2_lib.scala 311:130] + node _T_3242 = cat(_T_3109[12], _T_3109[11]) @[el2_lib.scala 311:130] + node _T_3243 = cat(_T_3109[14], _T_3109[13]) @[el2_lib.scala 311:130] + node _T_3244 = cat(_T_3243, _T_3242) @[el2_lib.scala 311:130] + node _T_3245 = cat(_T_3244, _T_3241) @[el2_lib.scala 311:130] + node _T_3246 = cat(_T_3245, _T_3238) @[el2_lib.scala 311:130] + node _T_3247 = xorr(_T_3246) @[el2_lib.scala 311:137] + node _T_3248 = xor(_T_3232, _T_3247) @[el2_lib.scala 311:125] + node _T_3249 = bits(_T_3105, 2, 2) @[el2_lib.scala 311:149] + node _T_3250 = cat(_T_3108[1], _T_3108[0]) @[el2_lib.scala 311:157] + node _T_3251 = cat(_T_3108[3], _T_3108[2]) @[el2_lib.scala 311:157] + node _T_3252 = cat(_T_3251, _T_3250) @[el2_lib.scala 311:157] + node _T_3253 = cat(_T_3108[5], _T_3108[4]) @[el2_lib.scala 311:157] + node _T_3254 = cat(_T_3108[8], _T_3108[7]) @[el2_lib.scala 311:157] + node _T_3255 = cat(_T_3254, _T_3108[6]) @[el2_lib.scala 311:157] + node _T_3256 = cat(_T_3255, _T_3253) @[el2_lib.scala 311:157] + node _T_3257 = cat(_T_3256, _T_3252) @[el2_lib.scala 311:157] + node _T_3258 = cat(_T_3108[10], _T_3108[9]) @[el2_lib.scala 311:157] + node _T_3259 = cat(_T_3108[12], _T_3108[11]) @[el2_lib.scala 311:157] + node _T_3260 = cat(_T_3259, _T_3258) @[el2_lib.scala 311:157] + node _T_3261 = cat(_T_3108[14], _T_3108[13]) @[el2_lib.scala 311:157] + node _T_3262 = cat(_T_3108[17], _T_3108[16]) @[el2_lib.scala 311:157] + node _T_3263 = cat(_T_3262, _T_3108[15]) @[el2_lib.scala 311:157] + node _T_3264 = cat(_T_3263, _T_3261) @[el2_lib.scala 311:157] + node _T_3265 = cat(_T_3264, _T_3260) @[el2_lib.scala 311:157] + node _T_3266 = cat(_T_3265, _T_3257) @[el2_lib.scala 311:157] + node _T_3267 = xorr(_T_3266) @[el2_lib.scala 311:164] + node _T_3268 = xor(_T_3249, _T_3267) @[el2_lib.scala 311:152] + node _T_3269 = bits(_T_3105, 1, 1) @[el2_lib.scala 311:176] + node _T_3270 = cat(_T_3107[1], _T_3107[0]) @[el2_lib.scala 311:184] + node _T_3271 = cat(_T_3107[3], _T_3107[2]) @[el2_lib.scala 311:184] + node _T_3272 = cat(_T_3271, _T_3270) @[el2_lib.scala 311:184] + node _T_3273 = cat(_T_3107[5], _T_3107[4]) @[el2_lib.scala 311:184] + node _T_3274 = cat(_T_3107[8], _T_3107[7]) @[el2_lib.scala 311:184] + node _T_3275 = cat(_T_3274, _T_3107[6]) @[el2_lib.scala 311:184] + node _T_3276 = cat(_T_3275, _T_3273) @[el2_lib.scala 311:184] + node _T_3277 = cat(_T_3276, _T_3272) @[el2_lib.scala 311:184] + node _T_3278 = cat(_T_3107[10], _T_3107[9]) @[el2_lib.scala 311:184] + node _T_3279 = cat(_T_3107[12], _T_3107[11]) @[el2_lib.scala 311:184] + node _T_3280 = cat(_T_3279, _T_3278) @[el2_lib.scala 311:184] + node _T_3281 = cat(_T_3107[14], _T_3107[13]) @[el2_lib.scala 311:184] + node _T_3282 = cat(_T_3107[17], _T_3107[16]) @[el2_lib.scala 311:184] + node _T_3283 = cat(_T_3282, _T_3107[15]) @[el2_lib.scala 311:184] + node _T_3284 = cat(_T_3283, _T_3281) @[el2_lib.scala 311:184] + node _T_3285 = cat(_T_3284, _T_3280) @[el2_lib.scala 311:184] + node _T_3286 = cat(_T_3285, _T_3277) @[el2_lib.scala 311:184] + node _T_3287 = xorr(_T_3286) @[el2_lib.scala 311:191] + node _T_3288 = xor(_T_3269, _T_3287) @[el2_lib.scala 311:179] + node _T_3289 = bits(_T_3105, 0, 0) @[el2_lib.scala 311:203] + node _T_3290 = cat(_T_3106[1], _T_3106[0]) @[el2_lib.scala 311:211] + node _T_3291 = cat(_T_3106[3], _T_3106[2]) @[el2_lib.scala 311:211] + node _T_3292 = cat(_T_3291, _T_3290) @[el2_lib.scala 311:211] + node _T_3293 = cat(_T_3106[5], _T_3106[4]) @[el2_lib.scala 311:211] + node _T_3294 = cat(_T_3106[8], _T_3106[7]) @[el2_lib.scala 311:211] + node _T_3295 = cat(_T_3294, _T_3106[6]) @[el2_lib.scala 311:211] + node _T_3296 = cat(_T_3295, _T_3293) @[el2_lib.scala 311:211] + node _T_3297 = cat(_T_3296, _T_3292) @[el2_lib.scala 311:211] + node _T_3298 = cat(_T_3106[10], _T_3106[9]) @[el2_lib.scala 311:211] + node _T_3299 = cat(_T_3106[12], _T_3106[11]) @[el2_lib.scala 311:211] + node _T_3300 = cat(_T_3299, _T_3298) @[el2_lib.scala 311:211] + node _T_3301 = cat(_T_3106[14], _T_3106[13]) @[el2_lib.scala 311:211] + node _T_3302 = cat(_T_3106[17], _T_3106[16]) @[el2_lib.scala 311:211] + node _T_3303 = cat(_T_3302, _T_3106[15]) @[el2_lib.scala 311:211] + node _T_3304 = cat(_T_3303, _T_3301) @[el2_lib.scala 311:211] + node _T_3305 = cat(_T_3304, _T_3300) @[el2_lib.scala 311:211] + node _T_3306 = cat(_T_3305, _T_3297) @[el2_lib.scala 311:211] + node _T_3307 = xorr(_T_3306) @[el2_lib.scala 311:218] + node _T_3308 = xor(_T_3289, _T_3307) @[el2_lib.scala 311:206] node _T_3309 = cat(_T_3268, _T_3288) @[Cat.scala 29:58] node _T_3310 = cat(_T_3309, _T_3308) @[Cat.scala 29:58] node _T_3311 = cat(_T_3231, _T_3248) @[Cat.scala 29:58] node _T_3312 = cat(_T_3206, _T_3214) @[Cat.scala 29:58] node _T_3313 = cat(_T_3312, _T_3311) @[Cat.scala 29:58] node _T_3314 = cat(_T_3313, _T_3310) @[Cat.scala 29:58] - node _T_3315 = neq(_T_3314, UInt<1>("h00")) @[el2_lib.scala 319:44] - node _T_3316 = and(_T_3103, _T_3315) @[el2_lib.scala 319:32] - node _T_3317 = bits(_T_3314, 6, 6) @[el2_lib.scala 319:64] - node _T_3318 = and(_T_3316, _T_3317) @[el2_lib.scala 319:53] - node _T_3319 = neq(_T_3314, UInt<1>("h00")) @[el2_lib.scala 320:44] - node _T_3320 = and(_T_3103, _T_3319) @[el2_lib.scala 320:32] - node _T_3321 = bits(_T_3314, 6, 6) @[el2_lib.scala 320:65] - node _T_3322 = not(_T_3321) @[el2_lib.scala 320:55] - node _T_3323 = and(_T_3320, _T_3322) @[el2_lib.scala 320:53] - wire _T_3324 : UInt<1>[39] @[el2_lib.scala 321:26] - node _T_3325 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3326 = eq(_T_3325, UInt<1>("h01")) @[el2_lib.scala 324:41] - _T_3324[0] <= _T_3326 @[el2_lib.scala 324:23] - node _T_3327 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3328 = eq(_T_3327, UInt<2>("h02")) @[el2_lib.scala 324:41] - _T_3324[1] <= _T_3328 @[el2_lib.scala 324:23] - node _T_3329 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3330 = eq(_T_3329, UInt<2>("h03")) @[el2_lib.scala 324:41] - _T_3324[2] <= _T_3330 @[el2_lib.scala 324:23] - node _T_3331 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3332 = eq(_T_3331, UInt<3>("h04")) @[el2_lib.scala 324:41] - _T_3324[3] <= _T_3332 @[el2_lib.scala 324:23] - node _T_3333 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3334 = eq(_T_3333, UInt<3>("h05")) @[el2_lib.scala 324:41] - _T_3324[4] <= _T_3334 @[el2_lib.scala 324:23] - node _T_3335 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3336 = eq(_T_3335, UInt<3>("h06")) @[el2_lib.scala 324:41] - _T_3324[5] <= _T_3336 @[el2_lib.scala 324:23] - node _T_3337 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3338 = eq(_T_3337, UInt<3>("h07")) @[el2_lib.scala 324:41] - _T_3324[6] <= _T_3338 @[el2_lib.scala 324:23] - node _T_3339 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3340 = eq(_T_3339, UInt<4>("h08")) @[el2_lib.scala 324:41] - _T_3324[7] <= _T_3340 @[el2_lib.scala 324:23] - node _T_3341 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3342 = eq(_T_3341, UInt<4>("h09")) @[el2_lib.scala 324:41] - _T_3324[8] <= _T_3342 @[el2_lib.scala 324:23] - node _T_3343 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3344 = eq(_T_3343, UInt<4>("h0a")) @[el2_lib.scala 324:41] - _T_3324[9] <= _T_3344 @[el2_lib.scala 324:23] - node _T_3345 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3346 = eq(_T_3345, UInt<4>("h0b")) @[el2_lib.scala 324:41] - _T_3324[10] <= _T_3346 @[el2_lib.scala 324:23] - node _T_3347 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3348 = eq(_T_3347, UInt<4>("h0c")) @[el2_lib.scala 324:41] - _T_3324[11] <= _T_3348 @[el2_lib.scala 324:23] - node _T_3349 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3350 = eq(_T_3349, UInt<4>("h0d")) @[el2_lib.scala 324:41] - _T_3324[12] <= _T_3350 @[el2_lib.scala 324:23] - node _T_3351 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3352 = eq(_T_3351, UInt<4>("h0e")) @[el2_lib.scala 324:41] - _T_3324[13] <= _T_3352 @[el2_lib.scala 324:23] - node _T_3353 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3354 = eq(_T_3353, UInt<4>("h0f")) @[el2_lib.scala 324:41] - _T_3324[14] <= _T_3354 @[el2_lib.scala 324:23] - node _T_3355 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3356 = eq(_T_3355, UInt<5>("h010")) @[el2_lib.scala 324:41] - _T_3324[15] <= _T_3356 @[el2_lib.scala 324:23] - node _T_3357 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3358 = eq(_T_3357, UInt<5>("h011")) @[el2_lib.scala 324:41] - _T_3324[16] <= _T_3358 @[el2_lib.scala 324:23] - node _T_3359 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3360 = eq(_T_3359, UInt<5>("h012")) @[el2_lib.scala 324:41] - _T_3324[17] <= _T_3360 @[el2_lib.scala 324:23] - node _T_3361 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3362 = eq(_T_3361, UInt<5>("h013")) @[el2_lib.scala 324:41] - _T_3324[18] <= _T_3362 @[el2_lib.scala 324:23] - node _T_3363 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3364 = eq(_T_3363, UInt<5>("h014")) @[el2_lib.scala 324:41] - _T_3324[19] <= _T_3364 @[el2_lib.scala 324:23] - node _T_3365 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3366 = eq(_T_3365, UInt<5>("h015")) @[el2_lib.scala 324:41] - _T_3324[20] <= _T_3366 @[el2_lib.scala 324:23] - node _T_3367 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3368 = eq(_T_3367, UInt<5>("h016")) @[el2_lib.scala 324:41] - _T_3324[21] <= _T_3368 @[el2_lib.scala 324:23] - node _T_3369 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3370 = eq(_T_3369, UInt<5>("h017")) @[el2_lib.scala 324:41] - _T_3324[22] <= _T_3370 @[el2_lib.scala 324:23] - node _T_3371 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3372 = eq(_T_3371, UInt<5>("h018")) @[el2_lib.scala 324:41] - _T_3324[23] <= _T_3372 @[el2_lib.scala 324:23] - node _T_3373 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3374 = eq(_T_3373, UInt<5>("h019")) @[el2_lib.scala 324:41] - _T_3324[24] <= _T_3374 @[el2_lib.scala 324:23] - node _T_3375 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3376 = eq(_T_3375, UInt<5>("h01a")) @[el2_lib.scala 324:41] - _T_3324[25] <= _T_3376 @[el2_lib.scala 324:23] - node _T_3377 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3378 = eq(_T_3377, UInt<5>("h01b")) @[el2_lib.scala 324:41] - _T_3324[26] <= _T_3378 @[el2_lib.scala 324:23] - node _T_3379 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3380 = eq(_T_3379, UInt<5>("h01c")) @[el2_lib.scala 324:41] - _T_3324[27] <= _T_3380 @[el2_lib.scala 324:23] - node _T_3381 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3382 = eq(_T_3381, UInt<5>("h01d")) @[el2_lib.scala 324:41] - _T_3324[28] <= _T_3382 @[el2_lib.scala 324:23] - node _T_3383 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3384 = eq(_T_3383, UInt<5>("h01e")) @[el2_lib.scala 324:41] - _T_3324[29] <= _T_3384 @[el2_lib.scala 324:23] - node _T_3385 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3386 = eq(_T_3385, UInt<5>("h01f")) @[el2_lib.scala 324:41] - _T_3324[30] <= _T_3386 @[el2_lib.scala 324:23] - node _T_3387 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3388 = eq(_T_3387, UInt<6>("h020")) @[el2_lib.scala 324:41] - _T_3324[31] <= _T_3388 @[el2_lib.scala 324:23] - node _T_3389 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3390 = eq(_T_3389, UInt<6>("h021")) @[el2_lib.scala 324:41] - _T_3324[32] <= _T_3390 @[el2_lib.scala 324:23] - node _T_3391 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3392 = eq(_T_3391, UInt<6>("h022")) @[el2_lib.scala 324:41] - _T_3324[33] <= _T_3392 @[el2_lib.scala 324:23] - node _T_3393 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3394 = eq(_T_3393, UInt<6>("h023")) @[el2_lib.scala 324:41] - _T_3324[34] <= _T_3394 @[el2_lib.scala 324:23] - node _T_3395 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3396 = eq(_T_3395, UInt<6>("h024")) @[el2_lib.scala 324:41] - _T_3324[35] <= _T_3396 @[el2_lib.scala 324:23] - node _T_3397 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3398 = eq(_T_3397, UInt<6>("h025")) @[el2_lib.scala 324:41] - _T_3324[36] <= _T_3398 @[el2_lib.scala 324:23] - node _T_3399 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3400 = eq(_T_3399, UInt<6>("h026")) @[el2_lib.scala 324:41] - _T_3324[37] <= _T_3400 @[el2_lib.scala 324:23] - node _T_3401 = bits(_T_3314, 5, 0) @[el2_lib.scala 324:35] - node _T_3402 = eq(_T_3401, UInt<6>("h027")) @[el2_lib.scala 324:41] - _T_3324[38] <= _T_3402 @[el2_lib.scala 324:23] - node _T_3403 = bits(_T_3105, 6, 6) @[el2_lib.scala 326:37] - node _T_3404 = bits(_T_3104, 31, 26) @[el2_lib.scala 326:45] - node _T_3405 = bits(_T_3105, 5, 5) @[el2_lib.scala 326:60] - node _T_3406 = bits(_T_3104, 25, 11) @[el2_lib.scala 326:68] - node _T_3407 = bits(_T_3105, 4, 4) @[el2_lib.scala 326:83] - node _T_3408 = bits(_T_3104, 10, 4) @[el2_lib.scala 326:91] - node _T_3409 = bits(_T_3105, 3, 3) @[el2_lib.scala 326:105] - node _T_3410 = bits(_T_3104, 3, 1) @[el2_lib.scala 326:113] - node _T_3411 = bits(_T_3105, 2, 2) @[el2_lib.scala 326:126] - node _T_3412 = bits(_T_3104, 0, 0) @[el2_lib.scala 326:134] - node _T_3413 = bits(_T_3105, 1, 0) @[el2_lib.scala 326:145] + node _T_3315 = neq(_T_3314, UInt<1>("h00")) @[el2_lib.scala 312:44] + node _T_3316 = and(_T_3103, _T_3315) @[el2_lib.scala 312:32] + node _T_3317 = bits(_T_3314, 6, 6) @[el2_lib.scala 312:64] + node _T_3318 = and(_T_3316, _T_3317) @[el2_lib.scala 312:53] + node _T_3319 = neq(_T_3314, UInt<1>("h00")) @[el2_lib.scala 313:44] + node _T_3320 = and(_T_3103, _T_3319) @[el2_lib.scala 313:32] + node _T_3321 = bits(_T_3314, 6, 6) @[el2_lib.scala 313:65] + node _T_3322 = not(_T_3321) @[el2_lib.scala 313:55] + node _T_3323 = and(_T_3320, _T_3322) @[el2_lib.scala 313:53] + wire _T_3324 : UInt<1>[39] @[el2_lib.scala 314:26] + node _T_3325 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3326 = eq(_T_3325, UInt<1>("h01")) @[el2_lib.scala 317:41] + _T_3324[0] <= _T_3326 @[el2_lib.scala 317:23] + node _T_3327 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3328 = eq(_T_3327, UInt<2>("h02")) @[el2_lib.scala 317:41] + _T_3324[1] <= _T_3328 @[el2_lib.scala 317:23] + node _T_3329 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3330 = eq(_T_3329, UInt<2>("h03")) @[el2_lib.scala 317:41] + _T_3324[2] <= _T_3330 @[el2_lib.scala 317:23] + node _T_3331 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3332 = eq(_T_3331, UInt<3>("h04")) @[el2_lib.scala 317:41] + _T_3324[3] <= _T_3332 @[el2_lib.scala 317:23] + node _T_3333 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3334 = eq(_T_3333, UInt<3>("h05")) @[el2_lib.scala 317:41] + _T_3324[4] <= _T_3334 @[el2_lib.scala 317:23] + node _T_3335 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3336 = eq(_T_3335, UInt<3>("h06")) @[el2_lib.scala 317:41] + _T_3324[5] <= _T_3336 @[el2_lib.scala 317:23] + node _T_3337 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3338 = eq(_T_3337, UInt<3>("h07")) @[el2_lib.scala 317:41] + _T_3324[6] <= _T_3338 @[el2_lib.scala 317:23] + node _T_3339 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3340 = eq(_T_3339, UInt<4>("h08")) @[el2_lib.scala 317:41] + _T_3324[7] <= _T_3340 @[el2_lib.scala 317:23] + node _T_3341 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3342 = eq(_T_3341, UInt<4>("h09")) @[el2_lib.scala 317:41] + _T_3324[8] <= _T_3342 @[el2_lib.scala 317:23] + node _T_3343 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3344 = eq(_T_3343, UInt<4>("h0a")) @[el2_lib.scala 317:41] + _T_3324[9] <= _T_3344 @[el2_lib.scala 317:23] + node _T_3345 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3346 = eq(_T_3345, UInt<4>("h0b")) @[el2_lib.scala 317:41] + _T_3324[10] <= _T_3346 @[el2_lib.scala 317:23] + node _T_3347 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3348 = eq(_T_3347, UInt<4>("h0c")) @[el2_lib.scala 317:41] + _T_3324[11] <= _T_3348 @[el2_lib.scala 317:23] + node _T_3349 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3350 = eq(_T_3349, UInt<4>("h0d")) @[el2_lib.scala 317:41] + _T_3324[12] <= _T_3350 @[el2_lib.scala 317:23] + node _T_3351 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3352 = eq(_T_3351, UInt<4>("h0e")) @[el2_lib.scala 317:41] + _T_3324[13] <= _T_3352 @[el2_lib.scala 317:23] + node _T_3353 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3354 = eq(_T_3353, UInt<4>("h0f")) @[el2_lib.scala 317:41] + _T_3324[14] <= _T_3354 @[el2_lib.scala 317:23] + node _T_3355 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3356 = eq(_T_3355, UInt<5>("h010")) @[el2_lib.scala 317:41] + _T_3324[15] <= _T_3356 @[el2_lib.scala 317:23] + node _T_3357 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3358 = eq(_T_3357, UInt<5>("h011")) @[el2_lib.scala 317:41] + _T_3324[16] <= _T_3358 @[el2_lib.scala 317:23] + node _T_3359 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3360 = eq(_T_3359, UInt<5>("h012")) @[el2_lib.scala 317:41] + _T_3324[17] <= _T_3360 @[el2_lib.scala 317:23] + node _T_3361 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3362 = eq(_T_3361, UInt<5>("h013")) @[el2_lib.scala 317:41] + _T_3324[18] <= _T_3362 @[el2_lib.scala 317:23] + node _T_3363 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3364 = eq(_T_3363, UInt<5>("h014")) @[el2_lib.scala 317:41] + _T_3324[19] <= _T_3364 @[el2_lib.scala 317:23] + node _T_3365 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3366 = eq(_T_3365, UInt<5>("h015")) @[el2_lib.scala 317:41] + _T_3324[20] <= _T_3366 @[el2_lib.scala 317:23] + node _T_3367 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3368 = eq(_T_3367, UInt<5>("h016")) @[el2_lib.scala 317:41] + _T_3324[21] <= _T_3368 @[el2_lib.scala 317:23] + node _T_3369 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3370 = eq(_T_3369, UInt<5>("h017")) @[el2_lib.scala 317:41] + _T_3324[22] <= _T_3370 @[el2_lib.scala 317:23] + node _T_3371 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3372 = eq(_T_3371, UInt<5>("h018")) @[el2_lib.scala 317:41] + _T_3324[23] <= _T_3372 @[el2_lib.scala 317:23] + node _T_3373 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3374 = eq(_T_3373, UInt<5>("h019")) @[el2_lib.scala 317:41] + _T_3324[24] <= _T_3374 @[el2_lib.scala 317:23] + node _T_3375 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3376 = eq(_T_3375, UInt<5>("h01a")) @[el2_lib.scala 317:41] + _T_3324[25] <= _T_3376 @[el2_lib.scala 317:23] + node _T_3377 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3378 = eq(_T_3377, UInt<5>("h01b")) @[el2_lib.scala 317:41] + _T_3324[26] <= _T_3378 @[el2_lib.scala 317:23] + node _T_3379 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3380 = eq(_T_3379, UInt<5>("h01c")) @[el2_lib.scala 317:41] + _T_3324[27] <= _T_3380 @[el2_lib.scala 317:23] + node _T_3381 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3382 = eq(_T_3381, UInt<5>("h01d")) @[el2_lib.scala 317:41] + _T_3324[28] <= _T_3382 @[el2_lib.scala 317:23] + node _T_3383 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3384 = eq(_T_3383, UInt<5>("h01e")) @[el2_lib.scala 317:41] + _T_3324[29] <= _T_3384 @[el2_lib.scala 317:23] + node _T_3385 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3386 = eq(_T_3385, UInt<5>("h01f")) @[el2_lib.scala 317:41] + _T_3324[30] <= _T_3386 @[el2_lib.scala 317:23] + node _T_3387 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3388 = eq(_T_3387, UInt<6>("h020")) @[el2_lib.scala 317:41] + _T_3324[31] <= _T_3388 @[el2_lib.scala 317:23] + node _T_3389 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3390 = eq(_T_3389, UInt<6>("h021")) @[el2_lib.scala 317:41] + _T_3324[32] <= _T_3390 @[el2_lib.scala 317:23] + node _T_3391 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3392 = eq(_T_3391, UInt<6>("h022")) @[el2_lib.scala 317:41] + _T_3324[33] <= _T_3392 @[el2_lib.scala 317:23] + node _T_3393 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3394 = eq(_T_3393, UInt<6>("h023")) @[el2_lib.scala 317:41] + _T_3324[34] <= _T_3394 @[el2_lib.scala 317:23] + node _T_3395 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3396 = eq(_T_3395, UInt<6>("h024")) @[el2_lib.scala 317:41] + _T_3324[35] <= _T_3396 @[el2_lib.scala 317:23] + node _T_3397 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3398 = eq(_T_3397, UInt<6>("h025")) @[el2_lib.scala 317:41] + _T_3324[36] <= _T_3398 @[el2_lib.scala 317:23] + node _T_3399 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3400 = eq(_T_3399, UInt<6>("h026")) @[el2_lib.scala 317:41] + _T_3324[37] <= _T_3400 @[el2_lib.scala 317:23] + node _T_3401 = bits(_T_3314, 5, 0) @[el2_lib.scala 317:35] + node _T_3402 = eq(_T_3401, UInt<6>("h027")) @[el2_lib.scala 317:41] + _T_3324[38] <= _T_3402 @[el2_lib.scala 317:23] + node _T_3403 = bits(_T_3105, 6, 6) @[el2_lib.scala 319:37] + node _T_3404 = bits(_T_3104, 31, 26) @[el2_lib.scala 319:45] + node _T_3405 = bits(_T_3105, 5, 5) @[el2_lib.scala 319:60] + node _T_3406 = bits(_T_3104, 25, 11) @[el2_lib.scala 319:68] + node _T_3407 = bits(_T_3105, 4, 4) @[el2_lib.scala 319:83] + node _T_3408 = bits(_T_3104, 10, 4) @[el2_lib.scala 319:91] + node _T_3409 = bits(_T_3105, 3, 3) @[el2_lib.scala 319:105] + node _T_3410 = bits(_T_3104, 3, 1) @[el2_lib.scala 319:113] + node _T_3411 = bits(_T_3105, 2, 2) @[el2_lib.scala 319:126] + node _T_3412 = bits(_T_3104, 0, 0) @[el2_lib.scala 319:134] + node _T_3413 = bits(_T_3105, 1, 0) @[el2_lib.scala 319:145] node _T_3414 = cat(_T_3412, _T_3413) @[Cat.scala 29:58] node _T_3415 = cat(_T_3409, _T_3410) @[Cat.scala 29:58] node _T_3416 = cat(_T_3415, _T_3411) @[Cat.scala 29:58] @@ -4682,65 +4742,65 @@ circuit el2_ifu_mem_ctl : node _T_3421 = cat(_T_3420, _T_3405) @[Cat.scala 29:58] node _T_3422 = cat(_T_3421, _T_3419) @[Cat.scala 29:58] node _T_3423 = cat(_T_3422, _T_3417) @[Cat.scala 29:58] - node _T_3424 = bits(_T_3318, 0, 0) @[el2_lib.scala 327:49] - node _T_3425 = cat(_T_3324[1], _T_3324[0]) @[el2_lib.scala 327:69] - node _T_3426 = cat(_T_3324[3], _T_3324[2]) @[el2_lib.scala 327:69] - node _T_3427 = cat(_T_3426, _T_3425) @[el2_lib.scala 327:69] - node _T_3428 = cat(_T_3324[5], _T_3324[4]) @[el2_lib.scala 327:69] - node _T_3429 = cat(_T_3324[8], _T_3324[7]) @[el2_lib.scala 327:69] - node _T_3430 = cat(_T_3429, _T_3324[6]) @[el2_lib.scala 327:69] - node _T_3431 = cat(_T_3430, _T_3428) @[el2_lib.scala 327:69] - node _T_3432 = cat(_T_3431, _T_3427) @[el2_lib.scala 327:69] - node _T_3433 = cat(_T_3324[10], _T_3324[9]) @[el2_lib.scala 327:69] - node _T_3434 = cat(_T_3324[13], _T_3324[12]) @[el2_lib.scala 327:69] - node _T_3435 = cat(_T_3434, _T_3324[11]) @[el2_lib.scala 327:69] - node _T_3436 = cat(_T_3435, _T_3433) @[el2_lib.scala 327:69] - node _T_3437 = cat(_T_3324[15], _T_3324[14]) @[el2_lib.scala 327:69] - node _T_3438 = cat(_T_3324[18], _T_3324[17]) @[el2_lib.scala 327:69] - node _T_3439 = cat(_T_3438, _T_3324[16]) @[el2_lib.scala 327:69] - node _T_3440 = cat(_T_3439, _T_3437) @[el2_lib.scala 327:69] - node _T_3441 = cat(_T_3440, _T_3436) @[el2_lib.scala 327:69] - node _T_3442 = cat(_T_3441, _T_3432) @[el2_lib.scala 327:69] - node _T_3443 = cat(_T_3324[20], _T_3324[19]) @[el2_lib.scala 327:69] - node _T_3444 = cat(_T_3324[23], _T_3324[22]) @[el2_lib.scala 327:69] - node _T_3445 = cat(_T_3444, _T_3324[21]) @[el2_lib.scala 327:69] - node _T_3446 = cat(_T_3445, _T_3443) @[el2_lib.scala 327:69] - node _T_3447 = cat(_T_3324[25], _T_3324[24]) @[el2_lib.scala 327:69] - node _T_3448 = cat(_T_3324[28], _T_3324[27]) @[el2_lib.scala 327:69] - node _T_3449 = cat(_T_3448, _T_3324[26]) @[el2_lib.scala 327:69] - node _T_3450 = cat(_T_3449, _T_3447) @[el2_lib.scala 327:69] - node _T_3451 = cat(_T_3450, _T_3446) @[el2_lib.scala 327:69] - node _T_3452 = cat(_T_3324[30], _T_3324[29]) @[el2_lib.scala 327:69] - node _T_3453 = cat(_T_3324[33], _T_3324[32]) @[el2_lib.scala 327:69] - node _T_3454 = cat(_T_3453, _T_3324[31]) @[el2_lib.scala 327:69] - node _T_3455 = cat(_T_3454, _T_3452) @[el2_lib.scala 327:69] - node _T_3456 = cat(_T_3324[35], _T_3324[34]) @[el2_lib.scala 327:69] - node _T_3457 = cat(_T_3324[38], _T_3324[37]) @[el2_lib.scala 327:69] - node _T_3458 = cat(_T_3457, _T_3324[36]) @[el2_lib.scala 327:69] - node _T_3459 = cat(_T_3458, _T_3456) @[el2_lib.scala 327:69] - node _T_3460 = cat(_T_3459, _T_3455) @[el2_lib.scala 327:69] - node _T_3461 = cat(_T_3460, _T_3451) @[el2_lib.scala 327:69] - node _T_3462 = cat(_T_3461, _T_3442) @[el2_lib.scala 327:69] - node _T_3463 = xor(_T_3462, _T_3423) @[el2_lib.scala 327:76] - node _T_3464 = mux(_T_3424, _T_3463, _T_3423) @[el2_lib.scala 327:31] - node _T_3465 = bits(_T_3464, 37, 32) @[el2_lib.scala 329:37] - node _T_3466 = bits(_T_3464, 30, 16) @[el2_lib.scala 329:61] - node _T_3467 = bits(_T_3464, 14, 8) @[el2_lib.scala 329:86] - node _T_3468 = bits(_T_3464, 6, 4) @[el2_lib.scala 329:110] - node _T_3469 = bits(_T_3464, 2, 2) @[el2_lib.scala 329:133] + node _T_3424 = bits(_T_3318, 0, 0) @[el2_lib.scala 320:49] + node _T_3425 = cat(_T_3324[1], _T_3324[0]) @[el2_lib.scala 320:69] + node _T_3426 = cat(_T_3324[3], _T_3324[2]) @[el2_lib.scala 320:69] + node _T_3427 = cat(_T_3426, _T_3425) @[el2_lib.scala 320:69] + node _T_3428 = cat(_T_3324[5], _T_3324[4]) @[el2_lib.scala 320:69] + node _T_3429 = cat(_T_3324[8], _T_3324[7]) @[el2_lib.scala 320:69] + node _T_3430 = cat(_T_3429, _T_3324[6]) @[el2_lib.scala 320:69] + node _T_3431 = cat(_T_3430, _T_3428) @[el2_lib.scala 320:69] + node _T_3432 = cat(_T_3431, _T_3427) @[el2_lib.scala 320:69] + node _T_3433 = cat(_T_3324[10], _T_3324[9]) @[el2_lib.scala 320:69] + node _T_3434 = cat(_T_3324[13], _T_3324[12]) @[el2_lib.scala 320:69] + node _T_3435 = cat(_T_3434, _T_3324[11]) @[el2_lib.scala 320:69] + node _T_3436 = cat(_T_3435, _T_3433) @[el2_lib.scala 320:69] + node _T_3437 = cat(_T_3324[15], _T_3324[14]) @[el2_lib.scala 320:69] + node _T_3438 = cat(_T_3324[18], _T_3324[17]) @[el2_lib.scala 320:69] + node _T_3439 = cat(_T_3438, _T_3324[16]) @[el2_lib.scala 320:69] + node _T_3440 = cat(_T_3439, _T_3437) @[el2_lib.scala 320:69] + node _T_3441 = cat(_T_3440, _T_3436) @[el2_lib.scala 320:69] + node _T_3442 = cat(_T_3441, _T_3432) @[el2_lib.scala 320:69] + node _T_3443 = cat(_T_3324[20], _T_3324[19]) @[el2_lib.scala 320:69] + node _T_3444 = cat(_T_3324[23], _T_3324[22]) @[el2_lib.scala 320:69] + node _T_3445 = cat(_T_3444, _T_3324[21]) @[el2_lib.scala 320:69] + node _T_3446 = cat(_T_3445, _T_3443) @[el2_lib.scala 320:69] + node _T_3447 = cat(_T_3324[25], _T_3324[24]) @[el2_lib.scala 320:69] + node _T_3448 = cat(_T_3324[28], _T_3324[27]) @[el2_lib.scala 320:69] + node _T_3449 = cat(_T_3448, _T_3324[26]) @[el2_lib.scala 320:69] + node _T_3450 = cat(_T_3449, _T_3447) @[el2_lib.scala 320:69] + node _T_3451 = cat(_T_3450, _T_3446) @[el2_lib.scala 320:69] + node _T_3452 = cat(_T_3324[30], _T_3324[29]) @[el2_lib.scala 320:69] + node _T_3453 = cat(_T_3324[33], _T_3324[32]) @[el2_lib.scala 320:69] + node _T_3454 = cat(_T_3453, _T_3324[31]) @[el2_lib.scala 320:69] + node _T_3455 = cat(_T_3454, _T_3452) @[el2_lib.scala 320:69] + node _T_3456 = cat(_T_3324[35], _T_3324[34]) @[el2_lib.scala 320:69] + node _T_3457 = cat(_T_3324[38], _T_3324[37]) @[el2_lib.scala 320:69] + node _T_3458 = cat(_T_3457, _T_3324[36]) @[el2_lib.scala 320:69] + node _T_3459 = cat(_T_3458, _T_3456) @[el2_lib.scala 320:69] + node _T_3460 = cat(_T_3459, _T_3455) @[el2_lib.scala 320:69] + node _T_3461 = cat(_T_3460, _T_3451) @[el2_lib.scala 320:69] + node _T_3462 = cat(_T_3461, _T_3442) @[el2_lib.scala 320:69] + node _T_3463 = xor(_T_3462, _T_3423) @[el2_lib.scala 320:76] + node _T_3464 = mux(_T_3424, _T_3463, _T_3423) @[el2_lib.scala 320:31] + node _T_3465 = bits(_T_3464, 37, 32) @[el2_lib.scala 322:37] + node _T_3466 = bits(_T_3464, 30, 16) @[el2_lib.scala 322:61] + node _T_3467 = bits(_T_3464, 14, 8) @[el2_lib.scala 322:86] + node _T_3468 = bits(_T_3464, 6, 4) @[el2_lib.scala 322:110] + node _T_3469 = bits(_T_3464, 2, 2) @[el2_lib.scala 322:133] node _T_3470 = cat(_T_3468, _T_3469) @[Cat.scala 29:58] node _T_3471 = cat(_T_3465, _T_3466) @[Cat.scala 29:58] node _T_3472 = cat(_T_3471, _T_3467) @[Cat.scala 29:58] node _T_3473 = cat(_T_3472, _T_3470) @[Cat.scala 29:58] - node _T_3474 = bits(_T_3464, 38, 38) @[el2_lib.scala 330:39] - node _T_3475 = bits(_T_3314, 6, 0) @[el2_lib.scala 330:56] - node _T_3476 = eq(_T_3475, UInt<7>("h040")) @[el2_lib.scala 330:62] - node _T_3477 = xor(_T_3474, _T_3476) @[el2_lib.scala 330:44] - node _T_3478 = bits(_T_3464, 31, 31) @[el2_lib.scala 330:102] - node _T_3479 = bits(_T_3464, 15, 15) @[el2_lib.scala 330:124] - node _T_3480 = bits(_T_3464, 7, 7) @[el2_lib.scala 330:146] - node _T_3481 = bits(_T_3464, 3, 3) @[el2_lib.scala 330:167] - node _T_3482 = bits(_T_3464, 1, 0) @[el2_lib.scala 330:188] + node _T_3474 = bits(_T_3464, 38, 38) @[el2_lib.scala 323:39] + node _T_3475 = bits(_T_3314, 6, 0) @[el2_lib.scala 323:56] + node _T_3476 = eq(_T_3475, UInt<7>("h040")) @[el2_lib.scala 323:62] + node _T_3477 = xor(_T_3474, _T_3476) @[el2_lib.scala 323:44] + node _T_3478 = bits(_T_3464, 31, 31) @[el2_lib.scala 323:102] + node _T_3479 = bits(_T_3464, 15, 15) @[el2_lib.scala 323:124] + node _T_3480 = bits(_T_3464, 7, 7) @[el2_lib.scala 323:146] + node _T_3481 = bits(_T_3464, 3, 3) @[el2_lib.scala 323:167] + node _T_3482 = bits(_T_3464, 1, 0) @[el2_lib.scala 323:188] node _T_3483 = cat(_T_3480, _T_3481) @[Cat.scala 29:58] node _T_3484 = cat(_T_3483, _T_3482) @[Cat.scala 29:58] node _T_3485 = cat(_T_3477, _T_3478) @[Cat.scala 29:58] @@ -4749,443 +4809,443 @@ circuit el2_ifu_mem_ctl : node _T_3488 = bits(iccm_ecc_word_enable, 1, 1) @[el2_ifu_mem_ctl.scala 669:73] node _T_3489 = bits(io.iccm_rd_data_ecc, 70, 39) @[el2_ifu_mem_ctl.scala 669:93] node _T_3490 = bits(io.iccm_rd_data_ecc, 77, 71) @[el2_ifu_mem_ctl.scala 669:128] - wire _T_3491 : UInt<1>[18] @[el2_lib.scala 298:18] - wire _T_3492 : UInt<1>[18] @[el2_lib.scala 299:18] - wire _T_3493 : UInt<1>[18] @[el2_lib.scala 300:18] - wire _T_3494 : UInt<1>[15] @[el2_lib.scala 301:18] - wire _T_3495 : UInt<1>[15] @[el2_lib.scala 302:18] - wire _T_3496 : UInt<1>[6] @[el2_lib.scala 303:18] - node _T_3497 = bits(_T_3489, 0, 0) @[el2_lib.scala 310:36] - _T_3491[0] <= _T_3497 @[el2_lib.scala 310:30] - node _T_3498 = bits(_T_3489, 0, 0) @[el2_lib.scala 311:36] - _T_3492[0] <= _T_3498 @[el2_lib.scala 311:30] - node _T_3499 = bits(_T_3489, 1, 1) @[el2_lib.scala 310:36] - _T_3491[1] <= _T_3499 @[el2_lib.scala 310:30] - node _T_3500 = bits(_T_3489, 1, 1) @[el2_lib.scala 312:36] - _T_3493[0] <= _T_3500 @[el2_lib.scala 312:30] - node _T_3501 = bits(_T_3489, 2, 2) @[el2_lib.scala 311:36] - _T_3492[1] <= _T_3501 @[el2_lib.scala 311:30] - node _T_3502 = bits(_T_3489, 2, 2) @[el2_lib.scala 312:36] - _T_3493[1] <= _T_3502 @[el2_lib.scala 312:30] - node _T_3503 = bits(_T_3489, 3, 3) @[el2_lib.scala 310:36] - _T_3491[2] <= _T_3503 @[el2_lib.scala 310:30] - node _T_3504 = bits(_T_3489, 3, 3) @[el2_lib.scala 311:36] - _T_3492[2] <= _T_3504 @[el2_lib.scala 311:30] - node _T_3505 = bits(_T_3489, 3, 3) @[el2_lib.scala 312:36] - _T_3493[2] <= _T_3505 @[el2_lib.scala 312:30] - node _T_3506 = bits(_T_3489, 4, 4) @[el2_lib.scala 310:36] - _T_3491[3] <= _T_3506 @[el2_lib.scala 310:30] - node _T_3507 = bits(_T_3489, 4, 4) @[el2_lib.scala 313:36] - _T_3494[0] <= _T_3507 @[el2_lib.scala 313:30] - node _T_3508 = bits(_T_3489, 5, 5) @[el2_lib.scala 311:36] - _T_3492[3] <= _T_3508 @[el2_lib.scala 311:30] - node _T_3509 = bits(_T_3489, 5, 5) @[el2_lib.scala 313:36] - _T_3494[1] <= _T_3509 @[el2_lib.scala 313:30] - node _T_3510 = bits(_T_3489, 6, 6) @[el2_lib.scala 310:36] - _T_3491[4] <= _T_3510 @[el2_lib.scala 310:30] - node _T_3511 = bits(_T_3489, 6, 6) @[el2_lib.scala 311:36] - _T_3492[4] <= _T_3511 @[el2_lib.scala 311:30] - node _T_3512 = bits(_T_3489, 6, 6) @[el2_lib.scala 313:36] - _T_3494[2] <= _T_3512 @[el2_lib.scala 313:30] - node _T_3513 = bits(_T_3489, 7, 7) @[el2_lib.scala 312:36] - _T_3493[3] <= _T_3513 @[el2_lib.scala 312:30] - node _T_3514 = bits(_T_3489, 7, 7) @[el2_lib.scala 313:36] - _T_3494[3] <= _T_3514 @[el2_lib.scala 313:30] - node _T_3515 = bits(_T_3489, 8, 8) @[el2_lib.scala 310:36] - _T_3491[5] <= _T_3515 @[el2_lib.scala 310:30] - node _T_3516 = bits(_T_3489, 8, 8) @[el2_lib.scala 312:36] - _T_3493[4] <= _T_3516 @[el2_lib.scala 312:30] - node _T_3517 = bits(_T_3489, 8, 8) @[el2_lib.scala 313:36] - _T_3494[4] <= _T_3517 @[el2_lib.scala 313:30] - node _T_3518 = bits(_T_3489, 9, 9) @[el2_lib.scala 311:36] - _T_3492[5] <= _T_3518 @[el2_lib.scala 311:30] - node _T_3519 = bits(_T_3489, 9, 9) @[el2_lib.scala 312:36] - _T_3493[5] <= _T_3519 @[el2_lib.scala 312:30] - node _T_3520 = bits(_T_3489, 9, 9) @[el2_lib.scala 313:36] - _T_3494[5] <= _T_3520 @[el2_lib.scala 313:30] - node _T_3521 = bits(_T_3489, 10, 10) @[el2_lib.scala 310:36] - _T_3491[6] <= _T_3521 @[el2_lib.scala 310:30] - node _T_3522 = bits(_T_3489, 10, 10) @[el2_lib.scala 311:36] - _T_3492[6] <= _T_3522 @[el2_lib.scala 311:30] - node _T_3523 = bits(_T_3489, 10, 10) @[el2_lib.scala 312:36] - _T_3493[6] <= _T_3523 @[el2_lib.scala 312:30] - node _T_3524 = bits(_T_3489, 10, 10) @[el2_lib.scala 313:36] - _T_3494[6] <= _T_3524 @[el2_lib.scala 313:30] - node _T_3525 = bits(_T_3489, 11, 11) @[el2_lib.scala 310:36] - _T_3491[7] <= _T_3525 @[el2_lib.scala 310:30] - node _T_3526 = bits(_T_3489, 11, 11) @[el2_lib.scala 314:36] - _T_3495[0] <= _T_3526 @[el2_lib.scala 314:30] - node _T_3527 = bits(_T_3489, 12, 12) @[el2_lib.scala 311:36] - _T_3492[7] <= _T_3527 @[el2_lib.scala 311:30] - node _T_3528 = bits(_T_3489, 12, 12) @[el2_lib.scala 314:36] - _T_3495[1] <= _T_3528 @[el2_lib.scala 314:30] - node _T_3529 = bits(_T_3489, 13, 13) @[el2_lib.scala 310:36] - _T_3491[8] <= _T_3529 @[el2_lib.scala 310:30] - node _T_3530 = bits(_T_3489, 13, 13) @[el2_lib.scala 311:36] - _T_3492[8] <= _T_3530 @[el2_lib.scala 311:30] - node _T_3531 = bits(_T_3489, 13, 13) @[el2_lib.scala 314:36] - _T_3495[2] <= _T_3531 @[el2_lib.scala 314:30] - node _T_3532 = bits(_T_3489, 14, 14) @[el2_lib.scala 312:36] - _T_3493[7] <= _T_3532 @[el2_lib.scala 312:30] - node _T_3533 = bits(_T_3489, 14, 14) @[el2_lib.scala 314:36] - _T_3495[3] <= _T_3533 @[el2_lib.scala 314:30] - node _T_3534 = bits(_T_3489, 15, 15) @[el2_lib.scala 310:36] - _T_3491[9] <= _T_3534 @[el2_lib.scala 310:30] - node _T_3535 = bits(_T_3489, 15, 15) @[el2_lib.scala 312:36] - _T_3493[8] <= _T_3535 @[el2_lib.scala 312:30] - node _T_3536 = bits(_T_3489, 15, 15) @[el2_lib.scala 314:36] - _T_3495[4] <= _T_3536 @[el2_lib.scala 314:30] - node _T_3537 = bits(_T_3489, 16, 16) @[el2_lib.scala 311:36] - _T_3492[9] <= _T_3537 @[el2_lib.scala 311:30] - node _T_3538 = bits(_T_3489, 16, 16) @[el2_lib.scala 312:36] - _T_3493[9] <= _T_3538 @[el2_lib.scala 312:30] - node _T_3539 = bits(_T_3489, 16, 16) @[el2_lib.scala 314:36] - _T_3495[5] <= _T_3539 @[el2_lib.scala 314:30] - node _T_3540 = bits(_T_3489, 17, 17) @[el2_lib.scala 310:36] - _T_3491[10] <= _T_3540 @[el2_lib.scala 310:30] - node _T_3541 = bits(_T_3489, 17, 17) @[el2_lib.scala 311:36] - _T_3492[10] <= _T_3541 @[el2_lib.scala 311:30] - node _T_3542 = bits(_T_3489, 17, 17) @[el2_lib.scala 312:36] - _T_3493[10] <= _T_3542 @[el2_lib.scala 312:30] - node _T_3543 = bits(_T_3489, 17, 17) @[el2_lib.scala 314:36] - _T_3495[6] <= _T_3543 @[el2_lib.scala 314:30] - node _T_3544 = bits(_T_3489, 18, 18) @[el2_lib.scala 313:36] - _T_3494[7] <= _T_3544 @[el2_lib.scala 313:30] - node _T_3545 = bits(_T_3489, 18, 18) @[el2_lib.scala 314:36] - _T_3495[7] <= _T_3545 @[el2_lib.scala 314:30] - node _T_3546 = bits(_T_3489, 19, 19) @[el2_lib.scala 310:36] - _T_3491[11] <= _T_3546 @[el2_lib.scala 310:30] - node _T_3547 = bits(_T_3489, 19, 19) @[el2_lib.scala 313:36] - _T_3494[8] <= _T_3547 @[el2_lib.scala 313:30] - node _T_3548 = bits(_T_3489, 19, 19) @[el2_lib.scala 314:36] - _T_3495[8] <= _T_3548 @[el2_lib.scala 314:30] - node _T_3549 = bits(_T_3489, 20, 20) @[el2_lib.scala 311:36] - _T_3492[11] <= _T_3549 @[el2_lib.scala 311:30] - node _T_3550 = bits(_T_3489, 20, 20) @[el2_lib.scala 313:36] - _T_3494[9] <= _T_3550 @[el2_lib.scala 313:30] - node _T_3551 = bits(_T_3489, 20, 20) @[el2_lib.scala 314:36] - _T_3495[9] <= _T_3551 @[el2_lib.scala 314:30] - node _T_3552 = bits(_T_3489, 21, 21) @[el2_lib.scala 310:36] - _T_3491[12] <= _T_3552 @[el2_lib.scala 310:30] - node _T_3553 = bits(_T_3489, 21, 21) @[el2_lib.scala 311:36] - _T_3492[12] <= _T_3553 @[el2_lib.scala 311:30] - node _T_3554 = bits(_T_3489, 21, 21) @[el2_lib.scala 313:36] - _T_3494[10] <= _T_3554 @[el2_lib.scala 313:30] - node _T_3555 = bits(_T_3489, 21, 21) @[el2_lib.scala 314:36] - _T_3495[10] <= _T_3555 @[el2_lib.scala 314:30] - node _T_3556 = bits(_T_3489, 22, 22) @[el2_lib.scala 312:36] - _T_3493[11] <= _T_3556 @[el2_lib.scala 312:30] - node _T_3557 = bits(_T_3489, 22, 22) @[el2_lib.scala 313:36] - _T_3494[11] <= _T_3557 @[el2_lib.scala 313:30] - node _T_3558 = bits(_T_3489, 22, 22) @[el2_lib.scala 314:36] - _T_3495[11] <= _T_3558 @[el2_lib.scala 314:30] - node _T_3559 = bits(_T_3489, 23, 23) @[el2_lib.scala 310:36] - _T_3491[13] <= _T_3559 @[el2_lib.scala 310:30] - node _T_3560 = bits(_T_3489, 23, 23) @[el2_lib.scala 312:36] - _T_3493[12] <= _T_3560 @[el2_lib.scala 312:30] - node _T_3561 = bits(_T_3489, 23, 23) @[el2_lib.scala 313:36] - _T_3494[12] <= _T_3561 @[el2_lib.scala 313:30] - node _T_3562 = bits(_T_3489, 23, 23) @[el2_lib.scala 314:36] - _T_3495[12] <= _T_3562 @[el2_lib.scala 314:30] - node _T_3563 = bits(_T_3489, 24, 24) @[el2_lib.scala 311:36] - _T_3492[13] <= _T_3563 @[el2_lib.scala 311:30] - node _T_3564 = bits(_T_3489, 24, 24) @[el2_lib.scala 312:36] - _T_3493[13] <= _T_3564 @[el2_lib.scala 312:30] - node _T_3565 = bits(_T_3489, 24, 24) @[el2_lib.scala 313:36] - _T_3494[13] <= _T_3565 @[el2_lib.scala 313:30] - node _T_3566 = bits(_T_3489, 24, 24) @[el2_lib.scala 314:36] - _T_3495[13] <= _T_3566 @[el2_lib.scala 314:30] - node _T_3567 = bits(_T_3489, 25, 25) @[el2_lib.scala 310:36] - _T_3491[14] <= _T_3567 @[el2_lib.scala 310:30] - node _T_3568 = bits(_T_3489, 25, 25) @[el2_lib.scala 311:36] - _T_3492[14] <= _T_3568 @[el2_lib.scala 311:30] - node _T_3569 = bits(_T_3489, 25, 25) @[el2_lib.scala 312:36] - _T_3493[14] <= _T_3569 @[el2_lib.scala 312:30] - node _T_3570 = bits(_T_3489, 25, 25) @[el2_lib.scala 313:36] - _T_3494[14] <= _T_3570 @[el2_lib.scala 313:30] - node _T_3571 = bits(_T_3489, 25, 25) @[el2_lib.scala 314:36] - _T_3495[14] <= _T_3571 @[el2_lib.scala 314:30] - node _T_3572 = bits(_T_3489, 26, 26) @[el2_lib.scala 310:36] - _T_3491[15] <= _T_3572 @[el2_lib.scala 310:30] - node _T_3573 = bits(_T_3489, 26, 26) @[el2_lib.scala 315:36] - _T_3496[0] <= _T_3573 @[el2_lib.scala 315:30] - node _T_3574 = bits(_T_3489, 27, 27) @[el2_lib.scala 311:36] - _T_3492[15] <= _T_3574 @[el2_lib.scala 311:30] - node _T_3575 = bits(_T_3489, 27, 27) @[el2_lib.scala 315:36] - _T_3496[1] <= _T_3575 @[el2_lib.scala 315:30] - node _T_3576 = bits(_T_3489, 28, 28) @[el2_lib.scala 310:36] - _T_3491[16] <= _T_3576 @[el2_lib.scala 310:30] - node _T_3577 = bits(_T_3489, 28, 28) @[el2_lib.scala 311:36] - _T_3492[16] <= _T_3577 @[el2_lib.scala 311:30] - node _T_3578 = bits(_T_3489, 28, 28) @[el2_lib.scala 315:36] - _T_3496[2] <= _T_3578 @[el2_lib.scala 315:30] - node _T_3579 = bits(_T_3489, 29, 29) @[el2_lib.scala 312:36] - _T_3493[15] <= _T_3579 @[el2_lib.scala 312:30] - node _T_3580 = bits(_T_3489, 29, 29) @[el2_lib.scala 315:36] - _T_3496[3] <= _T_3580 @[el2_lib.scala 315:30] - node _T_3581 = bits(_T_3489, 30, 30) @[el2_lib.scala 310:36] - _T_3491[17] <= _T_3581 @[el2_lib.scala 310:30] - node _T_3582 = bits(_T_3489, 30, 30) @[el2_lib.scala 312:36] - _T_3493[16] <= _T_3582 @[el2_lib.scala 312:30] - node _T_3583 = bits(_T_3489, 30, 30) @[el2_lib.scala 315:36] - _T_3496[4] <= _T_3583 @[el2_lib.scala 315:30] - node _T_3584 = bits(_T_3489, 31, 31) @[el2_lib.scala 311:36] - _T_3492[17] <= _T_3584 @[el2_lib.scala 311:30] - node _T_3585 = bits(_T_3489, 31, 31) @[el2_lib.scala 312:36] - _T_3493[17] <= _T_3585 @[el2_lib.scala 312:30] - node _T_3586 = bits(_T_3489, 31, 31) @[el2_lib.scala 315:36] - _T_3496[5] <= _T_3586 @[el2_lib.scala 315:30] - node _T_3587 = xorr(_T_3489) @[el2_lib.scala 318:30] - node _T_3588 = xorr(_T_3490) @[el2_lib.scala 318:44] - node _T_3589 = xor(_T_3587, _T_3588) @[el2_lib.scala 318:35] - node _T_3590 = not(UInt<1>("h00")) @[el2_lib.scala 318:52] - node _T_3591 = and(_T_3589, _T_3590) @[el2_lib.scala 318:50] - node _T_3592 = bits(_T_3490, 5, 5) @[el2_lib.scala 318:68] - node _T_3593 = cat(_T_3496[2], _T_3496[1]) @[el2_lib.scala 318:76] - node _T_3594 = cat(_T_3593, _T_3496[0]) @[el2_lib.scala 318:76] - node _T_3595 = cat(_T_3496[5], _T_3496[4]) @[el2_lib.scala 318:76] - node _T_3596 = cat(_T_3595, _T_3496[3]) @[el2_lib.scala 318:76] - node _T_3597 = cat(_T_3596, _T_3594) @[el2_lib.scala 318:76] - node _T_3598 = xorr(_T_3597) @[el2_lib.scala 318:83] - node _T_3599 = xor(_T_3592, _T_3598) @[el2_lib.scala 318:71] - node _T_3600 = bits(_T_3490, 4, 4) @[el2_lib.scala 318:95] - node _T_3601 = cat(_T_3495[2], _T_3495[1]) @[el2_lib.scala 318:103] - node _T_3602 = cat(_T_3601, _T_3495[0]) @[el2_lib.scala 318:103] - node _T_3603 = cat(_T_3495[4], _T_3495[3]) @[el2_lib.scala 318:103] - node _T_3604 = cat(_T_3495[6], _T_3495[5]) @[el2_lib.scala 318:103] - node _T_3605 = cat(_T_3604, _T_3603) @[el2_lib.scala 318:103] - node _T_3606 = cat(_T_3605, _T_3602) @[el2_lib.scala 318:103] - node _T_3607 = cat(_T_3495[8], _T_3495[7]) @[el2_lib.scala 318:103] - node _T_3608 = cat(_T_3495[10], _T_3495[9]) @[el2_lib.scala 318:103] - node _T_3609 = cat(_T_3608, _T_3607) @[el2_lib.scala 318:103] - node _T_3610 = cat(_T_3495[12], _T_3495[11]) @[el2_lib.scala 318:103] - node _T_3611 = cat(_T_3495[14], _T_3495[13]) @[el2_lib.scala 318:103] - node _T_3612 = cat(_T_3611, _T_3610) @[el2_lib.scala 318:103] - node _T_3613 = cat(_T_3612, _T_3609) @[el2_lib.scala 318:103] - node _T_3614 = cat(_T_3613, _T_3606) @[el2_lib.scala 318:103] - node _T_3615 = xorr(_T_3614) @[el2_lib.scala 318:110] - node _T_3616 = xor(_T_3600, _T_3615) @[el2_lib.scala 318:98] - node _T_3617 = bits(_T_3490, 3, 3) @[el2_lib.scala 318:122] - node _T_3618 = cat(_T_3494[2], _T_3494[1]) @[el2_lib.scala 318:130] - node _T_3619 = cat(_T_3618, _T_3494[0]) @[el2_lib.scala 318:130] - node _T_3620 = cat(_T_3494[4], _T_3494[3]) @[el2_lib.scala 318:130] - node _T_3621 = cat(_T_3494[6], _T_3494[5]) @[el2_lib.scala 318:130] - node _T_3622 = cat(_T_3621, _T_3620) @[el2_lib.scala 318:130] - node _T_3623 = cat(_T_3622, _T_3619) @[el2_lib.scala 318:130] - node _T_3624 = cat(_T_3494[8], _T_3494[7]) @[el2_lib.scala 318:130] - node _T_3625 = cat(_T_3494[10], _T_3494[9]) @[el2_lib.scala 318:130] - node _T_3626 = cat(_T_3625, _T_3624) @[el2_lib.scala 318:130] - node _T_3627 = cat(_T_3494[12], _T_3494[11]) @[el2_lib.scala 318:130] - node _T_3628 = cat(_T_3494[14], _T_3494[13]) @[el2_lib.scala 318:130] - node _T_3629 = cat(_T_3628, _T_3627) @[el2_lib.scala 318:130] - node _T_3630 = cat(_T_3629, _T_3626) @[el2_lib.scala 318:130] - node _T_3631 = cat(_T_3630, _T_3623) @[el2_lib.scala 318:130] - node _T_3632 = xorr(_T_3631) @[el2_lib.scala 318:137] - node _T_3633 = xor(_T_3617, _T_3632) @[el2_lib.scala 318:125] - node _T_3634 = bits(_T_3490, 2, 2) @[el2_lib.scala 318:149] - node _T_3635 = cat(_T_3493[1], _T_3493[0]) @[el2_lib.scala 318:157] - node _T_3636 = cat(_T_3493[3], _T_3493[2]) @[el2_lib.scala 318:157] - node _T_3637 = cat(_T_3636, _T_3635) @[el2_lib.scala 318:157] - node _T_3638 = cat(_T_3493[5], _T_3493[4]) @[el2_lib.scala 318:157] - node _T_3639 = cat(_T_3493[8], _T_3493[7]) @[el2_lib.scala 318:157] - node _T_3640 = cat(_T_3639, _T_3493[6]) @[el2_lib.scala 318:157] - node _T_3641 = cat(_T_3640, _T_3638) @[el2_lib.scala 318:157] - node _T_3642 = cat(_T_3641, _T_3637) @[el2_lib.scala 318:157] - node _T_3643 = cat(_T_3493[10], _T_3493[9]) @[el2_lib.scala 318:157] - node _T_3644 = cat(_T_3493[12], _T_3493[11]) @[el2_lib.scala 318:157] - node _T_3645 = cat(_T_3644, _T_3643) @[el2_lib.scala 318:157] - node _T_3646 = cat(_T_3493[14], _T_3493[13]) @[el2_lib.scala 318:157] - node _T_3647 = cat(_T_3493[17], _T_3493[16]) @[el2_lib.scala 318:157] - node _T_3648 = cat(_T_3647, _T_3493[15]) @[el2_lib.scala 318:157] - node _T_3649 = cat(_T_3648, _T_3646) @[el2_lib.scala 318:157] - node _T_3650 = cat(_T_3649, _T_3645) @[el2_lib.scala 318:157] - node _T_3651 = cat(_T_3650, _T_3642) @[el2_lib.scala 318:157] - node _T_3652 = xorr(_T_3651) @[el2_lib.scala 318:164] - node _T_3653 = xor(_T_3634, _T_3652) @[el2_lib.scala 318:152] - node _T_3654 = bits(_T_3490, 1, 1) @[el2_lib.scala 318:176] - node _T_3655 = cat(_T_3492[1], _T_3492[0]) @[el2_lib.scala 318:184] - node _T_3656 = cat(_T_3492[3], _T_3492[2]) @[el2_lib.scala 318:184] - node _T_3657 = cat(_T_3656, _T_3655) @[el2_lib.scala 318:184] - node _T_3658 = cat(_T_3492[5], _T_3492[4]) @[el2_lib.scala 318:184] - node _T_3659 = cat(_T_3492[8], _T_3492[7]) @[el2_lib.scala 318:184] - node _T_3660 = cat(_T_3659, _T_3492[6]) @[el2_lib.scala 318:184] - node _T_3661 = cat(_T_3660, _T_3658) @[el2_lib.scala 318:184] - node _T_3662 = cat(_T_3661, _T_3657) @[el2_lib.scala 318:184] - node _T_3663 = cat(_T_3492[10], _T_3492[9]) @[el2_lib.scala 318:184] - node _T_3664 = cat(_T_3492[12], _T_3492[11]) @[el2_lib.scala 318:184] - node _T_3665 = cat(_T_3664, _T_3663) @[el2_lib.scala 318:184] - node _T_3666 = cat(_T_3492[14], _T_3492[13]) @[el2_lib.scala 318:184] - node _T_3667 = cat(_T_3492[17], _T_3492[16]) @[el2_lib.scala 318:184] - node _T_3668 = cat(_T_3667, _T_3492[15]) @[el2_lib.scala 318:184] - node _T_3669 = cat(_T_3668, _T_3666) @[el2_lib.scala 318:184] - node _T_3670 = cat(_T_3669, _T_3665) @[el2_lib.scala 318:184] - node _T_3671 = cat(_T_3670, _T_3662) @[el2_lib.scala 318:184] - node _T_3672 = xorr(_T_3671) @[el2_lib.scala 318:191] - node _T_3673 = xor(_T_3654, _T_3672) @[el2_lib.scala 318:179] - node _T_3674 = bits(_T_3490, 0, 0) @[el2_lib.scala 318:203] - node _T_3675 = cat(_T_3491[1], _T_3491[0]) @[el2_lib.scala 318:211] - node _T_3676 = cat(_T_3491[3], _T_3491[2]) @[el2_lib.scala 318:211] - node _T_3677 = cat(_T_3676, _T_3675) @[el2_lib.scala 318:211] - node _T_3678 = cat(_T_3491[5], _T_3491[4]) @[el2_lib.scala 318:211] - node _T_3679 = cat(_T_3491[8], _T_3491[7]) @[el2_lib.scala 318:211] - node _T_3680 = cat(_T_3679, _T_3491[6]) @[el2_lib.scala 318:211] - node _T_3681 = cat(_T_3680, _T_3678) @[el2_lib.scala 318:211] - node _T_3682 = cat(_T_3681, _T_3677) @[el2_lib.scala 318:211] - node _T_3683 = cat(_T_3491[10], _T_3491[9]) @[el2_lib.scala 318:211] - node _T_3684 = cat(_T_3491[12], _T_3491[11]) @[el2_lib.scala 318:211] - node _T_3685 = cat(_T_3684, _T_3683) @[el2_lib.scala 318:211] - node _T_3686 = cat(_T_3491[14], _T_3491[13]) @[el2_lib.scala 318:211] - node _T_3687 = cat(_T_3491[17], _T_3491[16]) @[el2_lib.scala 318:211] - node _T_3688 = cat(_T_3687, _T_3491[15]) @[el2_lib.scala 318:211] - node _T_3689 = cat(_T_3688, _T_3686) @[el2_lib.scala 318:211] - node _T_3690 = cat(_T_3689, _T_3685) @[el2_lib.scala 318:211] - node _T_3691 = cat(_T_3690, _T_3682) @[el2_lib.scala 318:211] - node _T_3692 = xorr(_T_3691) @[el2_lib.scala 318:218] - node _T_3693 = xor(_T_3674, _T_3692) @[el2_lib.scala 318:206] + wire _T_3491 : UInt<1>[18] @[el2_lib.scala 291:18] + wire _T_3492 : UInt<1>[18] @[el2_lib.scala 292:18] + wire _T_3493 : UInt<1>[18] @[el2_lib.scala 293:18] + wire _T_3494 : UInt<1>[15] @[el2_lib.scala 294:18] + wire _T_3495 : UInt<1>[15] @[el2_lib.scala 295:18] + wire _T_3496 : UInt<1>[6] @[el2_lib.scala 296:18] + node _T_3497 = bits(_T_3489, 0, 0) @[el2_lib.scala 303:36] + _T_3491[0] <= _T_3497 @[el2_lib.scala 303:30] + node _T_3498 = bits(_T_3489, 0, 0) @[el2_lib.scala 304:36] + _T_3492[0] <= _T_3498 @[el2_lib.scala 304:30] + node _T_3499 = bits(_T_3489, 1, 1) @[el2_lib.scala 303:36] + _T_3491[1] <= _T_3499 @[el2_lib.scala 303:30] + node _T_3500 = bits(_T_3489, 1, 1) @[el2_lib.scala 305:36] + _T_3493[0] <= _T_3500 @[el2_lib.scala 305:30] + node _T_3501 = bits(_T_3489, 2, 2) @[el2_lib.scala 304:36] + _T_3492[1] <= _T_3501 @[el2_lib.scala 304:30] + node _T_3502 = bits(_T_3489, 2, 2) @[el2_lib.scala 305:36] + _T_3493[1] <= _T_3502 @[el2_lib.scala 305:30] + node _T_3503 = bits(_T_3489, 3, 3) @[el2_lib.scala 303:36] + _T_3491[2] <= _T_3503 @[el2_lib.scala 303:30] + node _T_3504 = bits(_T_3489, 3, 3) @[el2_lib.scala 304:36] + _T_3492[2] <= _T_3504 @[el2_lib.scala 304:30] + node _T_3505 = bits(_T_3489, 3, 3) @[el2_lib.scala 305:36] + _T_3493[2] <= _T_3505 @[el2_lib.scala 305:30] + node _T_3506 = bits(_T_3489, 4, 4) @[el2_lib.scala 303:36] + _T_3491[3] <= _T_3506 @[el2_lib.scala 303:30] + node _T_3507 = bits(_T_3489, 4, 4) @[el2_lib.scala 306:36] + _T_3494[0] <= _T_3507 @[el2_lib.scala 306:30] + node _T_3508 = bits(_T_3489, 5, 5) @[el2_lib.scala 304:36] + _T_3492[3] <= _T_3508 @[el2_lib.scala 304:30] + node _T_3509 = bits(_T_3489, 5, 5) @[el2_lib.scala 306:36] + _T_3494[1] <= _T_3509 @[el2_lib.scala 306:30] + node _T_3510 = bits(_T_3489, 6, 6) @[el2_lib.scala 303:36] + _T_3491[4] <= _T_3510 @[el2_lib.scala 303:30] + node _T_3511 = bits(_T_3489, 6, 6) @[el2_lib.scala 304:36] + _T_3492[4] <= _T_3511 @[el2_lib.scala 304:30] + node _T_3512 = bits(_T_3489, 6, 6) @[el2_lib.scala 306:36] + _T_3494[2] <= _T_3512 @[el2_lib.scala 306:30] + node _T_3513 = bits(_T_3489, 7, 7) @[el2_lib.scala 305:36] + _T_3493[3] <= _T_3513 @[el2_lib.scala 305:30] + node _T_3514 = bits(_T_3489, 7, 7) @[el2_lib.scala 306:36] + _T_3494[3] <= _T_3514 @[el2_lib.scala 306:30] + node _T_3515 = bits(_T_3489, 8, 8) @[el2_lib.scala 303:36] + _T_3491[5] <= _T_3515 @[el2_lib.scala 303:30] + node _T_3516 = bits(_T_3489, 8, 8) @[el2_lib.scala 305:36] + _T_3493[4] <= _T_3516 @[el2_lib.scala 305:30] + node _T_3517 = bits(_T_3489, 8, 8) @[el2_lib.scala 306:36] + _T_3494[4] <= _T_3517 @[el2_lib.scala 306:30] + node _T_3518 = bits(_T_3489, 9, 9) @[el2_lib.scala 304:36] + _T_3492[5] <= _T_3518 @[el2_lib.scala 304:30] + node _T_3519 = bits(_T_3489, 9, 9) @[el2_lib.scala 305:36] + _T_3493[5] <= _T_3519 @[el2_lib.scala 305:30] + node _T_3520 = bits(_T_3489, 9, 9) @[el2_lib.scala 306:36] + _T_3494[5] <= _T_3520 @[el2_lib.scala 306:30] + node _T_3521 = bits(_T_3489, 10, 10) @[el2_lib.scala 303:36] + _T_3491[6] <= _T_3521 @[el2_lib.scala 303:30] + node _T_3522 = bits(_T_3489, 10, 10) @[el2_lib.scala 304:36] + _T_3492[6] <= _T_3522 @[el2_lib.scala 304:30] + node _T_3523 = bits(_T_3489, 10, 10) @[el2_lib.scala 305:36] + _T_3493[6] <= _T_3523 @[el2_lib.scala 305:30] + node _T_3524 = bits(_T_3489, 10, 10) @[el2_lib.scala 306:36] + _T_3494[6] <= _T_3524 @[el2_lib.scala 306:30] + node _T_3525 = bits(_T_3489, 11, 11) @[el2_lib.scala 303:36] + _T_3491[7] <= _T_3525 @[el2_lib.scala 303:30] + node _T_3526 = bits(_T_3489, 11, 11) @[el2_lib.scala 307:36] + _T_3495[0] <= _T_3526 @[el2_lib.scala 307:30] + node _T_3527 = bits(_T_3489, 12, 12) @[el2_lib.scala 304:36] + _T_3492[7] <= _T_3527 @[el2_lib.scala 304:30] + node _T_3528 = bits(_T_3489, 12, 12) @[el2_lib.scala 307:36] + _T_3495[1] <= _T_3528 @[el2_lib.scala 307:30] + node _T_3529 = bits(_T_3489, 13, 13) @[el2_lib.scala 303:36] + _T_3491[8] <= _T_3529 @[el2_lib.scala 303:30] + node _T_3530 = bits(_T_3489, 13, 13) @[el2_lib.scala 304:36] + _T_3492[8] <= _T_3530 @[el2_lib.scala 304:30] + node _T_3531 = bits(_T_3489, 13, 13) @[el2_lib.scala 307:36] + _T_3495[2] <= _T_3531 @[el2_lib.scala 307:30] + node _T_3532 = bits(_T_3489, 14, 14) @[el2_lib.scala 305:36] + _T_3493[7] <= _T_3532 @[el2_lib.scala 305:30] + node _T_3533 = bits(_T_3489, 14, 14) @[el2_lib.scala 307:36] + _T_3495[3] <= _T_3533 @[el2_lib.scala 307:30] + node _T_3534 = bits(_T_3489, 15, 15) @[el2_lib.scala 303:36] + _T_3491[9] <= _T_3534 @[el2_lib.scala 303:30] + node _T_3535 = bits(_T_3489, 15, 15) @[el2_lib.scala 305:36] + _T_3493[8] <= _T_3535 @[el2_lib.scala 305:30] + node _T_3536 = bits(_T_3489, 15, 15) @[el2_lib.scala 307:36] + _T_3495[4] <= _T_3536 @[el2_lib.scala 307:30] + node _T_3537 = bits(_T_3489, 16, 16) @[el2_lib.scala 304:36] + _T_3492[9] <= _T_3537 @[el2_lib.scala 304:30] + node _T_3538 = bits(_T_3489, 16, 16) @[el2_lib.scala 305:36] + _T_3493[9] <= _T_3538 @[el2_lib.scala 305:30] + node _T_3539 = bits(_T_3489, 16, 16) @[el2_lib.scala 307:36] + _T_3495[5] <= _T_3539 @[el2_lib.scala 307:30] + node _T_3540 = bits(_T_3489, 17, 17) @[el2_lib.scala 303:36] + _T_3491[10] <= _T_3540 @[el2_lib.scala 303:30] + node _T_3541 = bits(_T_3489, 17, 17) @[el2_lib.scala 304:36] + _T_3492[10] <= _T_3541 @[el2_lib.scala 304:30] + node _T_3542 = bits(_T_3489, 17, 17) @[el2_lib.scala 305:36] + _T_3493[10] <= _T_3542 @[el2_lib.scala 305:30] + node _T_3543 = bits(_T_3489, 17, 17) @[el2_lib.scala 307:36] + _T_3495[6] <= _T_3543 @[el2_lib.scala 307:30] + node _T_3544 = bits(_T_3489, 18, 18) @[el2_lib.scala 306:36] + _T_3494[7] <= _T_3544 @[el2_lib.scala 306:30] + node _T_3545 = bits(_T_3489, 18, 18) @[el2_lib.scala 307:36] + _T_3495[7] <= _T_3545 @[el2_lib.scala 307:30] + node _T_3546 = bits(_T_3489, 19, 19) @[el2_lib.scala 303:36] + _T_3491[11] <= _T_3546 @[el2_lib.scala 303:30] + node _T_3547 = bits(_T_3489, 19, 19) @[el2_lib.scala 306:36] + _T_3494[8] <= _T_3547 @[el2_lib.scala 306:30] + node _T_3548 = bits(_T_3489, 19, 19) @[el2_lib.scala 307:36] + _T_3495[8] <= _T_3548 @[el2_lib.scala 307:30] + node _T_3549 = bits(_T_3489, 20, 20) @[el2_lib.scala 304:36] + _T_3492[11] <= _T_3549 @[el2_lib.scala 304:30] + node _T_3550 = bits(_T_3489, 20, 20) @[el2_lib.scala 306:36] + _T_3494[9] <= _T_3550 @[el2_lib.scala 306:30] + node _T_3551 = bits(_T_3489, 20, 20) @[el2_lib.scala 307:36] + _T_3495[9] <= _T_3551 @[el2_lib.scala 307:30] + node _T_3552 = bits(_T_3489, 21, 21) @[el2_lib.scala 303:36] + _T_3491[12] <= _T_3552 @[el2_lib.scala 303:30] + node _T_3553 = bits(_T_3489, 21, 21) @[el2_lib.scala 304:36] + _T_3492[12] <= _T_3553 @[el2_lib.scala 304:30] + node _T_3554 = bits(_T_3489, 21, 21) @[el2_lib.scala 306:36] + _T_3494[10] <= _T_3554 @[el2_lib.scala 306:30] + node _T_3555 = bits(_T_3489, 21, 21) @[el2_lib.scala 307:36] + _T_3495[10] <= _T_3555 @[el2_lib.scala 307:30] + node _T_3556 = bits(_T_3489, 22, 22) @[el2_lib.scala 305:36] + _T_3493[11] <= _T_3556 @[el2_lib.scala 305:30] + node _T_3557 = bits(_T_3489, 22, 22) @[el2_lib.scala 306:36] + _T_3494[11] <= _T_3557 @[el2_lib.scala 306:30] + node _T_3558 = bits(_T_3489, 22, 22) @[el2_lib.scala 307:36] + _T_3495[11] <= _T_3558 @[el2_lib.scala 307:30] + node _T_3559 = bits(_T_3489, 23, 23) @[el2_lib.scala 303:36] + _T_3491[13] <= _T_3559 @[el2_lib.scala 303:30] + node _T_3560 = bits(_T_3489, 23, 23) @[el2_lib.scala 305:36] + _T_3493[12] <= _T_3560 @[el2_lib.scala 305:30] + node _T_3561 = bits(_T_3489, 23, 23) @[el2_lib.scala 306:36] + _T_3494[12] <= _T_3561 @[el2_lib.scala 306:30] + node _T_3562 = bits(_T_3489, 23, 23) @[el2_lib.scala 307:36] + _T_3495[12] <= _T_3562 @[el2_lib.scala 307:30] + node _T_3563 = bits(_T_3489, 24, 24) @[el2_lib.scala 304:36] + _T_3492[13] <= _T_3563 @[el2_lib.scala 304:30] + node _T_3564 = bits(_T_3489, 24, 24) @[el2_lib.scala 305:36] + _T_3493[13] <= _T_3564 @[el2_lib.scala 305:30] + node _T_3565 = bits(_T_3489, 24, 24) @[el2_lib.scala 306:36] + _T_3494[13] <= _T_3565 @[el2_lib.scala 306:30] + node _T_3566 = bits(_T_3489, 24, 24) @[el2_lib.scala 307:36] + _T_3495[13] <= _T_3566 @[el2_lib.scala 307:30] + node _T_3567 = bits(_T_3489, 25, 25) @[el2_lib.scala 303:36] + _T_3491[14] <= _T_3567 @[el2_lib.scala 303:30] + node _T_3568 = bits(_T_3489, 25, 25) @[el2_lib.scala 304:36] + _T_3492[14] <= _T_3568 @[el2_lib.scala 304:30] + node _T_3569 = bits(_T_3489, 25, 25) @[el2_lib.scala 305:36] + _T_3493[14] <= _T_3569 @[el2_lib.scala 305:30] + node _T_3570 = bits(_T_3489, 25, 25) @[el2_lib.scala 306:36] + _T_3494[14] <= _T_3570 @[el2_lib.scala 306:30] + node _T_3571 = bits(_T_3489, 25, 25) @[el2_lib.scala 307:36] + _T_3495[14] <= _T_3571 @[el2_lib.scala 307:30] + node _T_3572 = bits(_T_3489, 26, 26) @[el2_lib.scala 303:36] + _T_3491[15] <= _T_3572 @[el2_lib.scala 303:30] + node _T_3573 = bits(_T_3489, 26, 26) @[el2_lib.scala 308:36] + _T_3496[0] <= _T_3573 @[el2_lib.scala 308:30] + node _T_3574 = bits(_T_3489, 27, 27) @[el2_lib.scala 304:36] + _T_3492[15] <= _T_3574 @[el2_lib.scala 304:30] + node _T_3575 = bits(_T_3489, 27, 27) @[el2_lib.scala 308:36] + _T_3496[1] <= _T_3575 @[el2_lib.scala 308:30] + node _T_3576 = bits(_T_3489, 28, 28) @[el2_lib.scala 303:36] + _T_3491[16] <= _T_3576 @[el2_lib.scala 303:30] + node _T_3577 = bits(_T_3489, 28, 28) @[el2_lib.scala 304:36] + _T_3492[16] <= _T_3577 @[el2_lib.scala 304:30] + node _T_3578 = bits(_T_3489, 28, 28) @[el2_lib.scala 308:36] + _T_3496[2] <= _T_3578 @[el2_lib.scala 308:30] + node _T_3579 = bits(_T_3489, 29, 29) @[el2_lib.scala 305:36] + _T_3493[15] <= _T_3579 @[el2_lib.scala 305:30] + node _T_3580 = bits(_T_3489, 29, 29) @[el2_lib.scala 308:36] + _T_3496[3] <= _T_3580 @[el2_lib.scala 308:30] + node _T_3581 = bits(_T_3489, 30, 30) @[el2_lib.scala 303:36] + _T_3491[17] <= _T_3581 @[el2_lib.scala 303:30] + node _T_3582 = bits(_T_3489, 30, 30) @[el2_lib.scala 305:36] + _T_3493[16] <= _T_3582 @[el2_lib.scala 305:30] + node _T_3583 = bits(_T_3489, 30, 30) @[el2_lib.scala 308:36] + _T_3496[4] <= _T_3583 @[el2_lib.scala 308:30] + node _T_3584 = bits(_T_3489, 31, 31) @[el2_lib.scala 304:36] + _T_3492[17] <= _T_3584 @[el2_lib.scala 304:30] + node _T_3585 = bits(_T_3489, 31, 31) @[el2_lib.scala 305:36] + _T_3493[17] <= _T_3585 @[el2_lib.scala 305:30] + node _T_3586 = bits(_T_3489, 31, 31) @[el2_lib.scala 308:36] + _T_3496[5] <= _T_3586 @[el2_lib.scala 308:30] + node _T_3587 = xorr(_T_3489) @[el2_lib.scala 311:30] + node _T_3588 = xorr(_T_3490) @[el2_lib.scala 311:44] + node _T_3589 = xor(_T_3587, _T_3588) @[el2_lib.scala 311:35] + node _T_3590 = not(UInt<1>("h00")) @[el2_lib.scala 311:52] + node _T_3591 = and(_T_3589, _T_3590) @[el2_lib.scala 311:50] + node _T_3592 = bits(_T_3490, 5, 5) @[el2_lib.scala 311:68] + node _T_3593 = cat(_T_3496[2], _T_3496[1]) @[el2_lib.scala 311:76] + node _T_3594 = cat(_T_3593, _T_3496[0]) @[el2_lib.scala 311:76] + node _T_3595 = cat(_T_3496[5], _T_3496[4]) @[el2_lib.scala 311:76] + node _T_3596 = cat(_T_3595, _T_3496[3]) @[el2_lib.scala 311:76] + node _T_3597 = cat(_T_3596, _T_3594) @[el2_lib.scala 311:76] + node _T_3598 = xorr(_T_3597) @[el2_lib.scala 311:83] + node _T_3599 = xor(_T_3592, _T_3598) @[el2_lib.scala 311:71] + node _T_3600 = bits(_T_3490, 4, 4) @[el2_lib.scala 311:95] + node _T_3601 = cat(_T_3495[2], _T_3495[1]) @[el2_lib.scala 311:103] + node _T_3602 = cat(_T_3601, _T_3495[0]) @[el2_lib.scala 311:103] + node _T_3603 = cat(_T_3495[4], _T_3495[3]) @[el2_lib.scala 311:103] + node _T_3604 = cat(_T_3495[6], _T_3495[5]) @[el2_lib.scala 311:103] + node _T_3605 = cat(_T_3604, _T_3603) @[el2_lib.scala 311:103] + node _T_3606 = cat(_T_3605, _T_3602) @[el2_lib.scala 311:103] + node _T_3607 = cat(_T_3495[8], _T_3495[7]) @[el2_lib.scala 311:103] + node _T_3608 = cat(_T_3495[10], _T_3495[9]) @[el2_lib.scala 311:103] + node _T_3609 = cat(_T_3608, _T_3607) @[el2_lib.scala 311:103] + node _T_3610 = cat(_T_3495[12], _T_3495[11]) @[el2_lib.scala 311:103] + node _T_3611 = cat(_T_3495[14], _T_3495[13]) @[el2_lib.scala 311:103] + node _T_3612 = cat(_T_3611, _T_3610) @[el2_lib.scala 311:103] + node _T_3613 = cat(_T_3612, _T_3609) @[el2_lib.scala 311:103] + node _T_3614 = cat(_T_3613, _T_3606) @[el2_lib.scala 311:103] + node _T_3615 = xorr(_T_3614) @[el2_lib.scala 311:110] + node _T_3616 = xor(_T_3600, _T_3615) @[el2_lib.scala 311:98] + node _T_3617 = bits(_T_3490, 3, 3) @[el2_lib.scala 311:122] + node _T_3618 = cat(_T_3494[2], _T_3494[1]) @[el2_lib.scala 311:130] + node _T_3619 = cat(_T_3618, _T_3494[0]) @[el2_lib.scala 311:130] + node _T_3620 = cat(_T_3494[4], _T_3494[3]) @[el2_lib.scala 311:130] + node _T_3621 = cat(_T_3494[6], _T_3494[5]) @[el2_lib.scala 311:130] + node _T_3622 = cat(_T_3621, _T_3620) @[el2_lib.scala 311:130] + node _T_3623 = cat(_T_3622, _T_3619) @[el2_lib.scala 311:130] + node _T_3624 = cat(_T_3494[8], _T_3494[7]) @[el2_lib.scala 311:130] + node _T_3625 = cat(_T_3494[10], _T_3494[9]) @[el2_lib.scala 311:130] + node _T_3626 = cat(_T_3625, _T_3624) @[el2_lib.scala 311:130] + node _T_3627 = cat(_T_3494[12], _T_3494[11]) @[el2_lib.scala 311:130] + node _T_3628 = cat(_T_3494[14], _T_3494[13]) @[el2_lib.scala 311:130] + node _T_3629 = cat(_T_3628, _T_3627) @[el2_lib.scala 311:130] + node _T_3630 = cat(_T_3629, _T_3626) @[el2_lib.scala 311:130] + node _T_3631 = cat(_T_3630, _T_3623) @[el2_lib.scala 311:130] + node _T_3632 = xorr(_T_3631) @[el2_lib.scala 311:137] + node _T_3633 = xor(_T_3617, _T_3632) @[el2_lib.scala 311:125] + node _T_3634 = bits(_T_3490, 2, 2) @[el2_lib.scala 311:149] + node _T_3635 = cat(_T_3493[1], _T_3493[0]) @[el2_lib.scala 311:157] + node _T_3636 = cat(_T_3493[3], _T_3493[2]) @[el2_lib.scala 311:157] + node _T_3637 = cat(_T_3636, _T_3635) @[el2_lib.scala 311:157] + node _T_3638 = cat(_T_3493[5], _T_3493[4]) @[el2_lib.scala 311:157] + node _T_3639 = cat(_T_3493[8], _T_3493[7]) @[el2_lib.scala 311:157] + node _T_3640 = cat(_T_3639, _T_3493[6]) @[el2_lib.scala 311:157] + node _T_3641 = cat(_T_3640, _T_3638) @[el2_lib.scala 311:157] + node _T_3642 = cat(_T_3641, _T_3637) @[el2_lib.scala 311:157] + node _T_3643 = cat(_T_3493[10], _T_3493[9]) @[el2_lib.scala 311:157] + node _T_3644 = cat(_T_3493[12], _T_3493[11]) @[el2_lib.scala 311:157] + node _T_3645 = cat(_T_3644, _T_3643) @[el2_lib.scala 311:157] + node _T_3646 = cat(_T_3493[14], _T_3493[13]) @[el2_lib.scala 311:157] + node _T_3647 = cat(_T_3493[17], _T_3493[16]) @[el2_lib.scala 311:157] + node _T_3648 = cat(_T_3647, _T_3493[15]) @[el2_lib.scala 311:157] + node _T_3649 = cat(_T_3648, _T_3646) @[el2_lib.scala 311:157] + node _T_3650 = cat(_T_3649, _T_3645) @[el2_lib.scala 311:157] + node _T_3651 = cat(_T_3650, _T_3642) @[el2_lib.scala 311:157] + node _T_3652 = xorr(_T_3651) @[el2_lib.scala 311:164] + node _T_3653 = xor(_T_3634, _T_3652) @[el2_lib.scala 311:152] + node _T_3654 = bits(_T_3490, 1, 1) @[el2_lib.scala 311:176] + node _T_3655 = cat(_T_3492[1], _T_3492[0]) @[el2_lib.scala 311:184] + node _T_3656 = cat(_T_3492[3], _T_3492[2]) @[el2_lib.scala 311:184] + node _T_3657 = cat(_T_3656, _T_3655) @[el2_lib.scala 311:184] + node _T_3658 = cat(_T_3492[5], _T_3492[4]) @[el2_lib.scala 311:184] + node _T_3659 = cat(_T_3492[8], _T_3492[7]) @[el2_lib.scala 311:184] + node _T_3660 = cat(_T_3659, _T_3492[6]) @[el2_lib.scala 311:184] + node _T_3661 = cat(_T_3660, _T_3658) @[el2_lib.scala 311:184] + node _T_3662 = cat(_T_3661, _T_3657) @[el2_lib.scala 311:184] + node _T_3663 = cat(_T_3492[10], _T_3492[9]) @[el2_lib.scala 311:184] + node _T_3664 = cat(_T_3492[12], _T_3492[11]) @[el2_lib.scala 311:184] + node _T_3665 = cat(_T_3664, _T_3663) @[el2_lib.scala 311:184] + node _T_3666 = cat(_T_3492[14], _T_3492[13]) @[el2_lib.scala 311:184] + node _T_3667 = cat(_T_3492[17], _T_3492[16]) @[el2_lib.scala 311:184] + node _T_3668 = cat(_T_3667, _T_3492[15]) @[el2_lib.scala 311:184] + node _T_3669 = cat(_T_3668, _T_3666) @[el2_lib.scala 311:184] + node _T_3670 = cat(_T_3669, _T_3665) @[el2_lib.scala 311:184] + node _T_3671 = cat(_T_3670, _T_3662) @[el2_lib.scala 311:184] + node _T_3672 = xorr(_T_3671) @[el2_lib.scala 311:191] + node _T_3673 = xor(_T_3654, _T_3672) @[el2_lib.scala 311:179] + node _T_3674 = bits(_T_3490, 0, 0) @[el2_lib.scala 311:203] + node _T_3675 = cat(_T_3491[1], _T_3491[0]) @[el2_lib.scala 311:211] + node _T_3676 = cat(_T_3491[3], _T_3491[2]) @[el2_lib.scala 311:211] + node _T_3677 = cat(_T_3676, _T_3675) @[el2_lib.scala 311:211] + node _T_3678 = cat(_T_3491[5], _T_3491[4]) @[el2_lib.scala 311:211] + node _T_3679 = cat(_T_3491[8], _T_3491[7]) @[el2_lib.scala 311:211] + node _T_3680 = cat(_T_3679, _T_3491[6]) @[el2_lib.scala 311:211] + node _T_3681 = cat(_T_3680, _T_3678) @[el2_lib.scala 311:211] + node _T_3682 = cat(_T_3681, _T_3677) @[el2_lib.scala 311:211] + node _T_3683 = cat(_T_3491[10], _T_3491[9]) @[el2_lib.scala 311:211] + node _T_3684 = cat(_T_3491[12], _T_3491[11]) @[el2_lib.scala 311:211] + node _T_3685 = cat(_T_3684, _T_3683) @[el2_lib.scala 311:211] + node _T_3686 = cat(_T_3491[14], _T_3491[13]) @[el2_lib.scala 311:211] + node _T_3687 = cat(_T_3491[17], _T_3491[16]) @[el2_lib.scala 311:211] + node _T_3688 = cat(_T_3687, _T_3491[15]) @[el2_lib.scala 311:211] + node _T_3689 = cat(_T_3688, _T_3686) @[el2_lib.scala 311:211] + node _T_3690 = cat(_T_3689, _T_3685) @[el2_lib.scala 311:211] + node _T_3691 = cat(_T_3690, _T_3682) @[el2_lib.scala 311:211] + node _T_3692 = xorr(_T_3691) @[el2_lib.scala 311:218] + node _T_3693 = xor(_T_3674, _T_3692) @[el2_lib.scala 311:206] node _T_3694 = cat(_T_3653, _T_3673) @[Cat.scala 29:58] node _T_3695 = cat(_T_3694, _T_3693) @[Cat.scala 29:58] node _T_3696 = cat(_T_3616, _T_3633) @[Cat.scala 29:58] node _T_3697 = cat(_T_3591, _T_3599) @[Cat.scala 29:58] node _T_3698 = cat(_T_3697, _T_3696) @[Cat.scala 29:58] node _T_3699 = cat(_T_3698, _T_3695) @[Cat.scala 29:58] - node _T_3700 = neq(_T_3699, UInt<1>("h00")) @[el2_lib.scala 319:44] - node _T_3701 = and(_T_3488, _T_3700) @[el2_lib.scala 319:32] - node _T_3702 = bits(_T_3699, 6, 6) @[el2_lib.scala 319:64] - node _T_3703 = and(_T_3701, _T_3702) @[el2_lib.scala 319:53] - node _T_3704 = neq(_T_3699, UInt<1>("h00")) @[el2_lib.scala 320:44] - node _T_3705 = and(_T_3488, _T_3704) @[el2_lib.scala 320:32] - node _T_3706 = bits(_T_3699, 6, 6) @[el2_lib.scala 320:65] - node _T_3707 = not(_T_3706) @[el2_lib.scala 320:55] - node _T_3708 = and(_T_3705, _T_3707) @[el2_lib.scala 320:53] - wire _T_3709 : UInt<1>[39] @[el2_lib.scala 321:26] - node _T_3710 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3711 = eq(_T_3710, UInt<1>("h01")) @[el2_lib.scala 324:41] - _T_3709[0] <= _T_3711 @[el2_lib.scala 324:23] - node _T_3712 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3713 = eq(_T_3712, UInt<2>("h02")) @[el2_lib.scala 324:41] - _T_3709[1] <= _T_3713 @[el2_lib.scala 324:23] - node _T_3714 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3715 = eq(_T_3714, UInt<2>("h03")) @[el2_lib.scala 324:41] - _T_3709[2] <= _T_3715 @[el2_lib.scala 324:23] - node _T_3716 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3717 = eq(_T_3716, UInt<3>("h04")) @[el2_lib.scala 324:41] - _T_3709[3] <= _T_3717 @[el2_lib.scala 324:23] - node _T_3718 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3719 = eq(_T_3718, UInt<3>("h05")) @[el2_lib.scala 324:41] - _T_3709[4] <= _T_3719 @[el2_lib.scala 324:23] - node _T_3720 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3721 = eq(_T_3720, UInt<3>("h06")) @[el2_lib.scala 324:41] - _T_3709[5] <= _T_3721 @[el2_lib.scala 324:23] - node _T_3722 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3723 = eq(_T_3722, UInt<3>("h07")) @[el2_lib.scala 324:41] - _T_3709[6] <= _T_3723 @[el2_lib.scala 324:23] - node _T_3724 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3725 = eq(_T_3724, UInt<4>("h08")) @[el2_lib.scala 324:41] - _T_3709[7] <= _T_3725 @[el2_lib.scala 324:23] - node _T_3726 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3727 = eq(_T_3726, UInt<4>("h09")) @[el2_lib.scala 324:41] - _T_3709[8] <= _T_3727 @[el2_lib.scala 324:23] - node _T_3728 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3729 = eq(_T_3728, UInt<4>("h0a")) @[el2_lib.scala 324:41] - _T_3709[9] <= _T_3729 @[el2_lib.scala 324:23] - node _T_3730 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3731 = eq(_T_3730, UInt<4>("h0b")) @[el2_lib.scala 324:41] - _T_3709[10] <= _T_3731 @[el2_lib.scala 324:23] - node _T_3732 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3733 = eq(_T_3732, UInt<4>("h0c")) @[el2_lib.scala 324:41] - _T_3709[11] <= _T_3733 @[el2_lib.scala 324:23] - node _T_3734 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3735 = eq(_T_3734, UInt<4>("h0d")) @[el2_lib.scala 324:41] - _T_3709[12] <= _T_3735 @[el2_lib.scala 324:23] - node _T_3736 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3737 = eq(_T_3736, UInt<4>("h0e")) @[el2_lib.scala 324:41] - _T_3709[13] <= _T_3737 @[el2_lib.scala 324:23] - node _T_3738 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3739 = eq(_T_3738, UInt<4>("h0f")) @[el2_lib.scala 324:41] - _T_3709[14] <= _T_3739 @[el2_lib.scala 324:23] - node _T_3740 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3741 = eq(_T_3740, UInt<5>("h010")) @[el2_lib.scala 324:41] - _T_3709[15] <= _T_3741 @[el2_lib.scala 324:23] - node _T_3742 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3743 = eq(_T_3742, UInt<5>("h011")) @[el2_lib.scala 324:41] - _T_3709[16] <= _T_3743 @[el2_lib.scala 324:23] - node _T_3744 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3745 = eq(_T_3744, UInt<5>("h012")) @[el2_lib.scala 324:41] - _T_3709[17] <= _T_3745 @[el2_lib.scala 324:23] - node _T_3746 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3747 = eq(_T_3746, UInt<5>("h013")) @[el2_lib.scala 324:41] - _T_3709[18] <= _T_3747 @[el2_lib.scala 324:23] - node _T_3748 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3749 = eq(_T_3748, UInt<5>("h014")) @[el2_lib.scala 324:41] - _T_3709[19] <= _T_3749 @[el2_lib.scala 324:23] - node _T_3750 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3751 = eq(_T_3750, UInt<5>("h015")) @[el2_lib.scala 324:41] - _T_3709[20] <= _T_3751 @[el2_lib.scala 324:23] - node _T_3752 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3753 = eq(_T_3752, UInt<5>("h016")) @[el2_lib.scala 324:41] - _T_3709[21] <= _T_3753 @[el2_lib.scala 324:23] - node _T_3754 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3755 = eq(_T_3754, UInt<5>("h017")) @[el2_lib.scala 324:41] - _T_3709[22] <= _T_3755 @[el2_lib.scala 324:23] - node _T_3756 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3757 = eq(_T_3756, UInt<5>("h018")) @[el2_lib.scala 324:41] - _T_3709[23] <= _T_3757 @[el2_lib.scala 324:23] - node _T_3758 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3759 = eq(_T_3758, UInt<5>("h019")) @[el2_lib.scala 324:41] - _T_3709[24] <= _T_3759 @[el2_lib.scala 324:23] - node _T_3760 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3761 = eq(_T_3760, UInt<5>("h01a")) @[el2_lib.scala 324:41] - _T_3709[25] <= _T_3761 @[el2_lib.scala 324:23] - node _T_3762 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3763 = eq(_T_3762, UInt<5>("h01b")) @[el2_lib.scala 324:41] - _T_3709[26] <= _T_3763 @[el2_lib.scala 324:23] - node _T_3764 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3765 = eq(_T_3764, UInt<5>("h01c")) @[el2_lib.scala 324:41] - _T_3709[27] <= _T_3765 @[el2_lib.scala 324:23] - node _T_3766 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3767 = eq(_T_3766, UInt<5>("h01d")) @[el2_lib.scala 324:41] - _T_3709[28] <= _T_3767 @[el2_lib.scala 324:23] - node _T_3768 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3769 = eq(_T_3768, UInt<5>("h01e")) @[el2_lib.scala 324:41] - _T_3709[29] <= _T_3769 @[el2_lib.scala 324:23] - node _T_3770 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3771 = eq(_T_3770, UInt<5>("h01f")) @[el2_lib.scala 324:41] - _T_3709[30] <= _T_3771 @[el2_lib.scala 324:23] - node _T_3772 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3773 = eq(_T_3772, UInt<6>("h020")) @[el2_lib.scala 324:41] - _T_3709[31] <= _T_3773 @[el2_lib.scala 324:23] - node _T_3774 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3775 = eq(_T_3774, UInt<6>("h021")) @[el2_lib.scala 324:41] - _T_3709[32] <= _T_3775 @[el2_lib.scala 324:23] - node _T_3776 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3777 = eq(_T_3776, UInt<6>("h022")) @[el2_lib.scala 324:41] - _T_3709[33] <= _T_3777 @[el2_lib.scala 324:23] - node _T_3778 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3779 = eq(_T_3778, UInt<6>("h023")) @[el2_lib.scala 324:41] - _T_3709[34] <= _T_3779 @[el2_lib.scala 324:23] - node _T_3780 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3781 = eq(_T_3780, UInt<6>("h024")) @[el2_lib.scala 324:41] - _T_3709[35] <= _T_3781 @[el2_lib.scala 324:23] - node _T_3782 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3783 = eq(_T_3782, UInt<6>("h025")) @[el2_lib.scala 324:41] - _T_3709[36] <= _T_3783 @[el2_lib.scala 324:23] - node _T_3784 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3785 = eq(_T_3784, UInt<6>("h026")) @[el2_lib.scala 324:41] - _T_3709[37] <= _T_3785 @[el2_lib.scala 324:23] - node _T_3786 = bits(_T_3699, 5, 0) @[el2_lib.scala 324:35] - node _T_3787 = eq(_T_3786, UInt<6>("h027")) @[el2_lib.scala 324:41] - _T_3709[38] <= _T_3787 @[el2_lib.scala 324:23] - node _T_3788 = bits(_T_3490, 6, 6) @[el2_lib.scala 326:37] - node _T_3789 = bits(_T_3489, 31, 26) @[el2_lib.scala 326:45] - node _T_3790 = bits(_T_3490, 5, 5) @[el2_lib.scala 326:60] - node _T_3791 = bits(_T_3489, 25, 11) @[el2_lib.scala 326:68] - node _T_3792 = bits(_T_3490, 4, 4) @[el2_lib.scala 326:83] - node _T_3793 = bits(_T_3489, 10, 4) @[el2_lib.scala 326:91] - node _T_3794 = bits(_T_3490, 3, 3) @[el2_lib.scala 326:105] - node _T_3795 = bits(_T_3489, 3, 1) @[el2_lib.scala 326:113] - node _T_3796 = bits(_T_3490, 2, 2) @[el2_lib.scala 326:126] - node _T_3797 = bits(_T_3489, 0, 0) @[el2_lib.scala 326:134] - node _T_3798 = bits(_T_3490, 1, 0) @[el2_lib.scala 326:145] + node _T_3700 = neq(_T_3699, UInt<1>("h00")) @[el2_lib.scala 312:44] + node _T_3701 = and(_T_3488, _T_3700) @[el2_lib.scala 312:32] + node _T_3702 = bits(_T_3699, 6, 6) @[el2_lib.scala 312:64] + node _T_3703 = and(_T_3701, _T_3702) @[el2_lib.scala 312:53] + node _T_3704 = neq(_T_3699, UInt<1>("h00")) @[el2_lib.scala 313:44] + node _T_3705 = and(_T_3488, _T_3704) @[el2_lib.scala 313:32] + node _T_3706 = bits(_T_3699, 6, 6) @[el2_lib.scala 313:65] + node _T_3707 = not(_T_3706) @[el2_lib.scala 313:55] + node _T_3708 = and(_T_3705, _T_3707) @[el2_lib.scala 313:53] + wire _T_3709 : UInt<1>[39] @[el2_lib.scala 314:26] + node _T_3710 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3711 = eq(_T_3710, UInt<1>("h01")) @[el2_lib.scala 317:41] + _T_3709[0] <= _T_3711 @[el2_lib.scala 317:23] + node _T_3712 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3713 = eq(_T_3712, UInt<2>("h02")) @[el2_lib.scala 317:41] + _T_3709[1] <= _T_3713 @[el2_lib.scala 317:23] + node _T_3714 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3715 = eq(_T_3714, UInt<2>("h03")) @[el2_lib.scala 317:41] + _T_3709[2] <= _T_3715 @[el2_lib.scala 317:23] + node _T_3716 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3717 = eq(_T_3716, UInt<3>("h04")) @[el2_lib.scala 317:41] + _T_3709[3] <= _T_3717 @[el2_lib.scala 317:23] + node _T_3718 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3719 = eq(_T_3718, UInt<3>("h05")) @[el2_lib.scala 317:41] + _T_3709[4] <= _T_3719 @[el2_lib.scala 317:23] + node _T_3720 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3721 = eq(_T_3720, UInt<3>("h06")) @[el2_lib.scala 317:41] + _T_3709[5] <= _T_3721 @[el2_lib.scala 317:23] + node _T_3722 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3723 = eq(_T_3722, UInt<3>("h07")) @[el2_lib.scala 317:41] + _T_3709[6] <= _T_3723 @[el2_lib.scala 317:23] + node _T_3724 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3725 = eq(_T_3724, UInt<4>("h08")) @[el2_lib.scala 317:41] + _T_3709[7] <= _T_3725 @[el2_lib.scala 317:23] + node _T_3726 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3727 = eq(_T_3726, UInt<4>("h09")) @[el2_lib.scala 317:41] + _T_3709[8] <= _T_3727 @[el2_lib.scala 317:23] + node _T_3728 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3729 = eq(_T_3728, UInt<4>("h0a")) @[el2_lib.scala 317:41] + _T_3709[9] <= _T_3729 @[el2_lib.scala 317:23] + node _T_3730 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3731 = eq(_T_3730, UInt<4>("h0b")) @[el2_lib.scala 317:41] + _T_3709[10] <= _T_3731 @[el2_lib.scala 317:23] + node _T_3732 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3733 = eq(_T_3732, UInt<4>("h0c")) @[el2_lib.scala 317:41] + _T_3709[11] <= _T_3733 @[el2_lib.scala 317:23] + node _T_3734 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3735 = eq(_T_3734, UInt<4>("h0d")) @[el2_lib.scala 317:41] + _T_3709[12] <= _T_3735 @[el2_lib.scala 317:23] + node _T_3736 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3737 = eq(_T_3736, UInt<4>("h0e")) @[el2_lib.scala 317:41] + _T_3709[13] <= _T_3737 @[el2_lib.scala 317:23] + node _T_3738 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3739 = eq(_T_3738, UInt<4>("h0f")) @[el2_lib.scala 317:41] + _T_3709[14] <= _T_3739 @[el2_lib.scala 317:23] + node _T_3740 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3741 = eq(_T_3740, UInt<5>("h010")) @[el2_lib.scala 317:41] + _T_3709[15] <= _T_3741 @[el2_lib.scala 317:23] + node _T_3742 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3743 = eq(_T_3742, UInt<5>("h011")) @[el2_lib.scala 317:41] + _T_3709[16] <= _T_3743 @[el2_lib.scala 317:23] + node _T_3744 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3745 = eq(_T_3744, UInt<5>("h012")) @[el2_lib.scala 317:41] + _T_3709[17] <= _T_3745 @[el2_lib.scala 317:23] + node _T_3746 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3747 = eq(_T_3746, UInt<5>("h013")) @[el2_lib.scala 317:41] + _T_3709[18] <= _T_3747 @[el2_lib.scala 317:23] + node _T_3748 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3749 = eq(_T_3748, UInt<5>("h014")) @[el2_lib.scala 317:41] + _T_3709[19] <= _T_3749 @[el2_lib.scala 317:23] + node _T_3750 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3751 = eq(_T_3750, UInt<5>("h015")) @[el2_lib.scala 317:41] + _T_3709[20] <= _T_3751 @[el2_lib.scala 317:23] + node _T_3752 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3753 = eq(_T_3752, UInt<5>("h016")) @[el2_lib.scala 317:41] + _T_3709[21] <= _T_3753 @[el2_lib.scala 317:23] + node _T_3754 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3755 = eq(_T_3754, UInt<5>("h017")) @[el2_lib.scala 317:41] + _T_3709[22] <= _T_3755 @[el2_lib.scala 317:23] + node _T_3756 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3757 = eq(_T_3756, UInt<5>("h018")) @[el2_lib.scala 317:41] + _T_3709[23] <= _T_3757 @[el2_lib.scala 317:23] + node _T_3758 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3759 = eq(_T_3758, UInt<5>("h019")) @[el2_lib.scala 317:41] + _T_3709[24] <= _T_3759 @[el2_lib.scala 317:23] + node _T_3760 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3761 = eq(_T_3760, UInt<5>("h01a")) @[el2_lib.scala 317:41] + _T_3709[25] <= _T_3761 @[el2_lib.scala 317:23] + node _T_3762 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3763 = eq(_T_3762, UInt<5>("h01b")) @[el2_lib.scala 317:41] + _T_3709[26] <= _T_3763 @[el2_lib.scala 317:23] + node _T_3764 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3765 = eq(_T_3764, UInt<5>("h01c")) @[el2_lib.scala 317:41] + _T_3709[27] <= _T_3765 @[el2_lib.scala 317:23] + node _T_3766 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3767 = eq(_T_3766, UInt<5>("h01d")) @[el2_lib.scala 317:41] + _T_3709[28] <= _T_3767 @[el2_lib.scala 317:23] + node _T_3768 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3769 = eq(_T_3768, UInt<5>("h01e")) @[el2_lib.scala 317:41] + _T_3709[29] <= _T_3769 @[el2_lib.scala 317:23] + node _T_3770 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3771 = eq(_T_3770, UInt<5>("h01f")) @[el2_lib.scala 317:41] + _T_3709[30] <= _T_3771 @[el2_lib.scala 317:23] + node _T_3772 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3773 = eq(_T_3772, UInt<6>("h020")) @[el2_lib.scala 317:41] + _T_3709[31] <= _T_3773 @[el2_lib.scala 317:23] + node _T_3774 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3775 = eq(_T_3774, UInt<6>("h021")) @[el2_lib.scala 317:41] + _T_3709[32] <= _T_3775 @[el2_lib.scala 317:23] + node _T_3776 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3777 = eq(_T_3776, UInt<6>("h022")) @[el2_lib.scala 317:41] + _T_3709[33] <= _T_3777 @[el2_lib.scala 317:23] + node _T_3778 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3779 = eq(_T_3778, UInt<6>("h023")) @[el2_lib.scala 317:41] + _T_3709[34] <= _T_3779 @[el2_lib.scala 317:23] + node _T_3780 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3781 = eq(_T_3780, UInt<6>("h024")) @[el2_lib.scala 317:41] + _T_3709[35] <= _T_3781 @[el2_lib.scala 317:23] + node _T_3782 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3783 = eq(_T_3782, UInt<6>("h025")) @[el2_lib.scala 317:41] + _T_3709[36] <= _T_3783 @[el2_lib.scala 317:23] + node _T_3784 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3785 = eq(_T_3784, UInt<6>("h026")) @[el2_lib.scala 317:41] + _T_3709[37] <= _T_3785 @[el2_lib.scala 317:23] + node _T_3786 = bits(_T_3699, 5, 0) @[el2_lib.scala 317:35] + node _T_3787 = eq(_T_3786, UInt<6>("h027")) @[el2_lib.scala 317:41] + _T_3709[38] <= _T_3787 @[el2_lib.scala 317:23] + node _T_3788 = bits(_T_3490, 6, 6) @[el2_lib.scala 319:37] + node _T_3789 = bits(_T_3489, 31, 26) @[el2_lib.scala 319:45] + node _T_3790 = bits(_T_3490, 5, 5) @[el2_lib.scala 319:60] + node _T_3791 = bits(_T_3489, 25, 11) @[el2_lib.scala 319:68] + node _T_3792 = bits(_T_3490, 4, 4) @[el2_lib.scala 319:83] + node _T_3793 = bits(_T_3489, 10, 4) @[el2_lib.scala 319:91] + node _T_3794 = bits(_T_3490, 3, 3) @[el2_lib.scala 319:105] + node _T_3795 = bits(_T_3489, 3, 1) @[el2_lib.scala 319:113] + node _T_3796 = bits(_T_3490, 2, 2) @[el2_lib.scala 319:126] + node _T_3797 = bits(_T_3489, 0, 0) @[el2_lib.scala 319:134] + node _T_3798 = bits(_T_3490, 1, 0) @[el2_lib.scala 319:145] node _T_3799 = cat(_T_3797, _T_3798) @[Cat.scala 29:58] node _T_3800 = cat(_T_3794, _T_3795) @[Cat.scala 29:58] node _T_3801 = cat(_T_3800, _T_3796) @[Cat.scala 29:58] @@ -5196,65 +5256,65 @@ circuit el2_ifu_mem_ctl : node _T_3806 = cat(_T_3805, _T_3790) @[Cat.scala 29:58] node _T_3807 = cat(_T_3806, _T_3804) @[Cat.scala 29:58] node _T_3808 = cat(_T_3807, _T_3802) @[Cat.scala 29:58] - node _T_3809 = bits(_T_3703, 0, 0) @[el2_lib.scala 327:49] - node _T_3810 = cat(_T_3709[1], _T_3709[0]) @[el2_lib.scala 327:69] - node _T_3811 = cat(_T_3709[3], _T_3709[2]) @[el2_lib.scala 327:69] - node _T_3812 = cat(_T_3811, _T_3810) @[el2_lib.scala 327:69] - node _T_3813 = cat(_T_3709[5], _T_3709[4]) @[el2_lib.scala 327:69] - node _T_3814 = cat(_T_3709[8], _T_3709[7]) @[el2_lib.scala 327:69] - node _T_3815 = cat(_T_3814, _T_3709[6]) @[el2_lib.scala 327:69] - node _T_3816 = cat(_T_3815, _T_3813) @[el2_lib.scala 327:69] - node _T_3817 = cat(_T_3816, _T_3812) @[el2_lib.scala 327:69] - node _T_3818 = cat(_T_3709[10], _T_3709[9]) @[el2_lib.scala 327:69] - node _T_3819 = cat(_T_3709[13], _T_3709[12]) @[el2_lib.scala 327:69] - node _T_3820 = cat(_T_3819, _T_3709[11]) @[el2_lib.scala 327:69] - node _T_3821 = cat(_T_3820, _T_3818) @[el2_lib.scala 327:69] - node _T_3822 = cat(_T_3709[15], _T_3709[14]) @[el2_lib.scala 327:69] - node _T_3823 = cat(_T_3709[18], _T_3709[17]) @[el2_lib.scala 327:69] - node _T_3824 = cat(_T_3823, _T_3709[16]) @[el2_lib.scala 327:69] - node _T_3825 = cat(_T_3824, _T_3822) @[el2_lib.scala 327:69] - node _T_3826 = cat(_T_3825, _T_3821) @[el2_lib.scala 327:69] - node _T_3827 = cat(_T_3826, _T_3817) @[el2_lib.scala 327:69] - node _T_3828 = cat(_T_3709[20], _T_3709[19]) @[el2_lib.scala 327:69] - node _T_3829 = cat(_T_3709[23], _T_3709[22]) @[el2_lib.scala 327:69] - node _T_3830 = cat(_T_3829, _T_3709[21]) @[el2_lib.scala 327:69] - node _T_3831 = cat(_T_3830, _T_3828) @[el2_lib.scala 327:69] - node _T_3832 = cat(_T_3709[25], _T_3709[24]) @[el2_lib.scala 327:69] - node _T_3833 = cat(_T_3709[28], _T_3709[27]) @[el2_lib.scala 327:69] - node _T_3834 = cat(_T_3833, _T_3709[26]) @[el2_lib.scala 327:69] - node _T_3835 = cat(_T_3834, _T_3832) @[el2_lib.scala 327:69] - node _T_3836 = cat(_T_3835, _T_3831) @[el2_lib.scala 327:69] - node _T_3837 = cat(_T_3709[30], _T_3709[29]) @[el2_lib.scala 327:69] - node _T_3838 = cat(_T_3709[33], _T_3709[32]) @[el2_lib.scala 327:69] - node _T_3839 = cat(_T_3838, _T_3709[31]) @[el2_lib.scala 327:69] - node _T_3840 = cat(_T_3839, _T_3837) @[el2_lib.scala 327:69] - node _T_3841 = cat(_T_3709[35], _T_3709[34]) @[el2_lib.scala 327:69] - node _T_3842 = cat(_T_3709[38], _T_3709[37]) @[el2_lib.scala 327:69] - node _T_3843 = cat(_T_3842, _T_3709[36]) @[el2_lib.scala 327:69] - node _T_3844 = cat(_T_3843, _T_3841) @[el2_lib.scala 327:69] - node _T_3845 = cat(_T_3844, _T_3840) @[el2_lib.scala 327:69] - node _T_3846 = cat(_T_3845, _T_3836) @[el2_lib.scala 327:69] - node _T_3847 = cat(_T_3846, _T_3827) @[el2_lib.scala 327:69] - node _T_3848 = xor(_T_3847, _T_3808) @[el2_lib.scala 327:76] - node _T_3849 = mux(_T_3809, _T_3848, _T_3808) @[el2_lib.scala 327:31] - node _T_3850 = bits(_T_3849, 37, 32) @[el2_lib.scala 329:37] - node _T_3851 = bits(_T_3849, 30, 16) @[el2_lib.scala 329:61] - node _T_3852 = bits(_T_3849, 14, 8) @[el2_lib.scala 329:86] - node _T_3853 = bits(_T_3849, 6, 4) @[el2_lib.scala 329:110] - node _T_3854 = bits(_T_3849, 2, 2) @[el2_lib.scala 329:133] + node _T_3809 = bits(_T_3703, 0, 0) @[el2_lib.scala 320:49] + node _T_3810 = cat(_T_3709[1], _T_3709[0]) @[el2_lib.scala 320:69] + node _T_3811 = cat(_T_3709[3], _T_3709[2]) @[el2_lib.scala 320:69] + node _T_3812 = cat(_T_3811, _T_3810) @[el2_lib.scala 320:69] + node _T_3813 = cat(_T_3709[5], _T_3709[4]) @[el2_lib.scala 320:69] + node _T_3814 = cat(_T_3709[8], _T_3709[7]) @[el2_lib.scala 320:69] + node _T_3815 = cat(_T_3814, _T_3709[6]) @[el2_lib.scala 320:69] + node _T_3816 = cat(_T_3815, _T_3813) @[el2_lib.scala 320:69] + node _T_3817 = cat(_T_3816, _T_3812) @[el2_lib.scala 320:69] + node _T_3818 = cat(_T_3709[10], _T_3709[9]) @[el2_lib.scala 320:69] + node _T_3819 = cat(_T_3709[13], _T_3709[12]) @[el2_lib.scala 320:69] + node _T_3820 = cat(_T_3819, _T_3709[11]) @[el2_lib.scala 320:69] + node _T_3821 = cat(_T_3820, _T_3818) @[el2_lib.scala 320:69] + node _T_3822 = cat(_T_3709[15], _T_3709[14]) @[el2_lib.scala 320:69] + node _T_3823 = cat(_T_3709[18], _T_3709[17]) @[el2_lib.scala 320:69] + node _T_3824 = cat(_T_3823, _T_3709[16]) @[el2_lib.scala 320:69] + node _T_3825 = cat(_T_3824, _T_3822) @[el2_lib.scala 320:69] + node _T_3826 = cat(_T_3825, _T_3821) @[el2_lib.scala 320:69] + node _T_3827 = cat(_T_3826, _T_3817) @[el2_lib.scala 320:69] + node _T_3828 = cat(_T_3709[20], _T_3709[19]) @[el2_lib.scala 320:69] + node _T_3829 = cat(_T_3709[23], _T_3709[22]) @[el2_lib.scala 320:69] + node _T_3830 = cat(_T_3829, _T_3709[21]) @[el2_lib.scala 320:69] + node _T_3831 = cat(_T_3830, _T_3828) @[el2_lib.scala 320:69] + node _T_3832 = cat(_T_3709[25], _T_3709[24]) @[el2_lib.scala 320:69] + node _T_3833 = cat(_T_3709[28], _T_3709[27]) @[el2_lib.scala 320:69] + node _T_3834 = cat(_T_3833, _T_3709[26]) @[el2_lib.scala 320:69] + node _T_3835 = cat(_T_3834, _T_3832) @[el2_lib.scala 320:69] + node _T_3836 = cat(_T_3835, _T_3831) @[el2_lib.scala 320:69] + node _T_3837 = cat(_T_3709[30], _T_3709[29]) @[el2_lib.scala 320:69] + node _T_3838 = cat(_T_3709[33], _T_3709[32]) @[el2_lib.scala 320:69] + node _T_3839 = cat(_T_3838, _T_3709[31]) @[el2_lib.scala 320:69] + node _T_3840 = cat(_T_3839, _T_3837) @[el2_lib.scala 320:69] + node _T_3841 = cat(_T_3709[35], _T_3709[34]) @[el2_lib.scala 320:69] + node _T_3842 = cat(_T_3709[38], _T_3709[37]) @[el2_lib.scala 320:69] + node _T_3843 = cat(_T_3842, _T_3709[36]) @[el2_lib.scala 320:69] + node _T_3844 = cat(_T_3843, _T_3841) @[el2_lib.scala 320:69] + node _T_3845 = cat(_T_3844, _T_3840) @[el2_lib.scala 320:69] + node _T_3846 = cat(_T_3845, _T_3836) @[el2_lib.scala 320:69] + node _T_3847 = cat(_T_3846, _T_3827) @[el2_lib.scala 320:69] + node _T_3848 = xor(_T_3847, _T_3808) @[el2_lib.scala 320:76] + node _T_3849 = mux(_T_3809, _T_3848, _T_3808) @[el2_lib.scala 320:31] + node _T_3850 = bits(_T_3849, 37, 32) @[el2_lib.scala 322:37] + node _T_3851 = bits(_T_3849, 30, 16) @[el2_lib.scala 322:61] + node _T_3852 = bits(_T_3849, 14, 8) @[el2_lib.scala 322:86] + node _T_3853 = bits(_T_3849, 6, 4) @[el2_lib.scala 322:110] + node _T_3854 = bits(_T_3849, 2, 2) @[el2_lib.scala 322:133] node _T_3855 = cat(_T_3853, _T_3854) @[Cat.scala 29:58] node _T_3856 = cat(_T_3850, _T_3851) @[Cat.scala 29:58] node _T_3857 = cat(_T_3856, _T_3852) @[Cat.scala 29:58] node _T_3858 = cat(_T_3857, _T_3855) @[Cat.scala 29:58] - node _T_3859 = bits(_T_3849, 38, 38) @[el2_lib.scala 330:39] - node _T_3860 = bits(_T_3699, 6, 0) @[el2_lib.scala 330:56] - node _T_3861 = eq(_T_3860, UInt<7>("h040")) @[el2_lib.scala 330:62] - node _T_3862 = xor(_T_3859, _T_3861) @[el2_lib.scala 330:44] - node _T_3863 = bits(_T_3849, 31, 31) @[el2_lib.scala 330:102] - node _T_3864 = bits(_T_3849, 15, 15) @[el2_lib.scala 330:124] - node _T_3865 = bits(_T_3849, 7, 7) @[el2_lib.scala 330:146] - node _T_3866 = bits(_T_3849, 3, 3) @[el2_lib.scala 330:167] - node _T_3867 = bits(_T_3849, 1, 0) @[el2_lib.scala 330:188] + node _T_3859 = bits(_T_3849, 38, 38) @[el2_lib.scala 323:39] + node _T_3860 = bits(_T_3699, 6, 0) @[el2_lib.scala 323:56] + node _T_3861 = eq(_T_3860, UInt<7>("h040")) @[el2_lib.scala 323:62] + node _T_3862 = xor(_T_3859, _T_3861) @[el2_lib.scala 323:44] + node _T_3863 = bits(_T_3849, 31, 31) @[el2_lib.scala 323:102] + node _T_3864 = bits(_T_3849, 15, 15) @[el2_lib.scala 323:124] + node _T_3865 = bits(_T_3849, 7, 7) @[el2_lib.scala 323:146] + node _T_3866 = bits(_T_3849, 3, 3) @[el2_lib.scala 323:167] + node _T_3867 = bits(_T_3849, 1, 0) @[el2_lib.scala 323:188] node _T_3868 = cat(_T_3865, _T_3866) @[Cat.scala 29:58] node _T_3869 = cat(_T_3868, _T_3867) @[Cat.scala 29:58] node _T_3870 = cat(_T_3862, _T_3863) @[Cat.scala 29:58] @@ -13440,7 +13500,7 @@ circuit el2_ifu_mem_ctl : reg _T_10398 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 816:57] _T_10398 <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 816:57] io.ifu_pmu_ic_miss <= _T_10398 @[el2_ifu_mem_ctl.scala 816:22] - reg _T_10399 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 817:56] + reg _T_10399 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 817:56] _T_10399 <= ic_act_hit_f @[el2_ifu_mem_ctl.scala 817:56] io.ifu_pmu_ic_hit <= _T_10399 @[el2_ifu_mem_ctl.scala 817:21] reg _T_10400 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 818:59] diff --git a/el2_ifu_mem_ctl.v b/el2_ifu_mem_ctl.v index f666e1c5..472921ca 100644 --- a/el2_ifu_mem_ctl.v +++ b/el2_ifu_mem_ctl.v @@ -1,3 +1,24 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[el2_lib.scala 452:26] + wire clkhdr_CK; // @[el2_lib.scala 452:26] + wire clkhdr_EN; // @[el2_lib.scala 452:26] + wire clkhdr_SE; // @[el2_lib.scala 452:26] + TEC_RV_ICG clkhdr ( // @[el2_lib.scala 452:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[el2_lib.scala 453:14] + assign clkhdr_CK = io_clk; // @[el2_lib.scala 454:18] + assign clkhdr_EN = io_en; // @[el2_lib.scala 455:18] + assign clkhdr_SE = io_scan_mode; // @[el2_lib.scala 456:18] +endmodule module el2_ifu_mem_ctl( input clock, input reset, @@ -594,22 +615,33 @@ module el2_ifu_mem_ctl( reg [31:0] _RAND_468; reg [31:0] _RAND_469; `endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 461:22] + wire rvclkhdr_io_clk; // @[el2_lib.scala 461:22] + wire rvclkhdr_io_en; // @[el2_lib.scala 461:22] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 461:22] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 461:22] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 461:22] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 461:22] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 461:22] reg flush_final_f; // @[el2_ifu_mem_ctl.scala 185:30] reg ifc_fetch_req_f_raw; // @[el2_ifu_mem_ctl.scala 320:36] wire _T_317 = ~io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 321:44] wire ifc_fetch_req_f = ifc_fetch_req_f_raw & _T_317; // @[el2_ifu_mem_ctl.scala 321:42] + wire _T = io_ifc_fetch_req_bf_raw | ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 186:53] reg [2:0] miss_state; // @[Reg.scala 27:20] wire miss_pending = miss_state != 3'h0; // @[el2_ifu_mem_ctl.scala 253:30] + wire _T_1 = _T | miss_pending; // @[el2_ifu_mem_ctl.scala 186:71] + wire _T_2 = _T_1 | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 186:86] reg scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 547:52] wire scnd_miss_req = scnd_miss_req_q & _T_317; // @[el2_ifu_mem_ctl.scala 549:36] wire debug_c1_clken = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_ifu_mem_ctl.scala 187:42] wire [3:0] ic_fetch_val_int_f = {2'h0,io_ic_fetch_val_f}; // @[Cat.scala 29:58] - reg [30:0] ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 308:34] + reg [30:0] ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 308:63] wire [4:0] _GEN_464 = {{1'd0}, ic_fetch_val_int_f}; // @[el2_ifu_mem_ctl.scala 665:53] wire [4:0] ic_fetch_val_shift_right = _GEN_464 << ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 665:53] wire [1:0] _GEN_465 = {{1'd0}, _T_317}; // @[el2_ifu_mem_ctl.scala 668:91] wire [1:0] _T_3098 = ic_fetch_val_shift_right[3:2] & _GEN_465; // @[el2_ifu_mem_ctl.scala 668:91] - reg ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 322:31] + reg ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 322:60] wire fetch_req_iccm_f = ifc_fetch_req_f & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 275:46] wire [1:0] _GEN_466 = {{1'd0}, fetch_req_iccm_f}; // @[el2_ifu_mem_ctl.scala 668:113] wire [1:0] _T_3099 = _T_3098 & _GEN_466; // @[el2_ifu_mem_ctl.scala 668:113] @@ -624,66 +656,66 @@ module el2_ifu_mem_ctl( wire [1:0] _T_3093 = _T_3092 | _GEN_467; // @[el2_ifu_mem_ctl.scala 668:130] wire [1:0] _T_3095 = _T_3093 & _GEN_468; // @[el2_ifu_mem_ctl.scala 668:152] wire [3:0] iccm_ecc_word_enable = {_T_3102,_T_3095}; // @[Cat.scala 29:58] - wire _T_3202 = ^io_iccm_rd_data_ecc[31:0]; // @[el2_lib.scala 318:30] - wire _T_3203 = ^io_iccm_rd_data_ecc[38:32]; // @[el2_lib.scala 318:44] - wire _T_3204 = _T_3202 ^ _T_3203; // @[el2_lib.scala 318:35] - wire [5:0] _T_3212 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[29],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[27],io_iccm_rd_data_ecc[26]}; // @[el2_lib.scala 318:76] - wire _T_3213 = ^_T_3212; // @[el2_lib.scala 318:83] - wire _T_3214 = io_iccm_rd_data_ecc[37] ^ _T_3213; // @[el2_lib.scala 318:71] - wire [6:0] _T_3221 = {io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],io_iccm_rd_data_ecc[15],io_iccm_rd_data_ecc[14],io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[12],io_iccm_rd_data_ecc[11]}; // @[el2_lib.scala 318:103] - wire [14:0] _T_3229 = {io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[18],_T_3221}; // @[el2_lib.scala 318:103] - wire _T_3230 = ^_T_3229; // @[el2_lib.scala 318:110] - wire _T_3231 = io_iccm_rd_data_ecc[36] ^ _T_3230; // @[el2_lib.scala 318:98] - wire [6:0] _T_3238 = {io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[7],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[5],io_iccm_rd_data_ecc[4]}; // @[el2_lib.scala 318:130] - wire [14:0] _T_3246 = {io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[18],_T_3238}; // @[el2_lib.scala 318:130] - wire _T_3247 = ^_T_3246; // @[el2_lib.scala 318:137] - wire _T_3248 = io_iccm_rd_data_ecc[35] ^ _T_3247; // @[el2_lib.scala 318:125] - wire [8:0] _T_3257 = {io_iccm_rd_data_ecc[15],io_iccm_rd_data_ecc[14],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[7],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[2],io_iccm_rd_data_ecc[1]}; // @[el2_lib.scala 318:157] - wire [17:0] _T_3266 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[29],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],_T_3257}; // @[el2_lib.scala 318:157] - wire _T_3267 = ^_T_3266; // @[el2_lib.scala 318:164] - wire _T_3268 = io_iccm_rd_data_ecc[34] ^ _T_3267; // @[el2_lib.scala 318:152] - wire [8:0] _T_3277 = {io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[12],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[5],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[2],io_iccm_rd_data_ecc[0]}; // @[el2_lib.scala 318:184] - wire [17:0] _T_3286 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[27],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],_T_3277}; // @[el2_lib.scala 318:184] - wire _T_3287 = ^_T_3286; // @[el2_lib.scala 318:191] - wire _T_3288 = io_iccm_rd_data_ecc[33] ^ _T_3287; // @[el2_lib.scala 318:179] - wire [8:0] _T_3297 = {io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[11],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[4],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[1],io_iccm_rd_data_ecc[0]}; // @[el2_lib.scala 318:211] - wire [17:0] _T_3306 = {io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[26],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[15],_T_3297}; // @[el2_lib.scala 318:211] - wire _T_3307 = ^_T_3306; // @[el2_lib.scala 318:218] - wire _T_3308 = io_iccm_rd_data_ecc[32] ^ _T_3307; // @[el2_lib.scala 318:206] + wire _T_3202 = ^io_iccm_rd_data_ecc[31:0]; // @[el2_lib.scala 311:30] + wire _T_3203 = ^io_iccm_rd_data_ecc[38:32]; // @[el2_lib.scala 311:44] + wire _T_3204 = _T_3202 ^ _T_3203; // @[el2_lib.scala 311:35] + wire [5:0] _T_3212 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[29],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[27],io_iccm_rd_data_ecc[26]}; // @[el2_lib.scala 311:76] + wire _T_3213 = ^_T_3212; // @[el2_lib.scala 311:83] + wire _T_3214 = io_iccm_rd_data_ecc[37] ^ _T_3213; // @[el2_lib.scala 311:71] + wire [6:0] _T_3221 = {io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],io_iccm_rd_data_ecc[15],io_iccm_rd_data_ecc[14],io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[12],io_iccm_rd_data_ecc[11]}; // @[el2_lib.scala 311:103] + wire [14:0] _T_3229 = {io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[18],_T_3221}; // @[el2_lib.scala 311:103] + wire _T_3230 = ^_T_3229; // @[el2_lib.scala 311:110] + wire _T_3231 = io_iccm_rd_data_ecc[36] ^ _T_3230; // @[el2_lib.scala 311:98] + wire [6:0] _T_3238 = {io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[7],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[5],io_iccm_rd_data_ecc[4]}; // @[el2_lib.scala 311:130] + wire [14:0] _T_3246 = {io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[18],_T_3238}; // @[el2_lib.scala 311:130] + wire _T_3247 = ^_T_3246; // @[el2_lib.scala 311:137] + wire _T_3248 = io_iccm_rd_data_ecc[35] ^ _T_3247; // @[el2_lib.scala 311:125] + wire [8:0] _T_3257 = {io_iccm_rd_data_ecc[15],io_iccm_rd_data_ecc[14],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[7],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[2],io_iccm_rd_data_ecc[1]}; // @[el2_lib.scala 311:157] + wire [17:0] _T_3266 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[29],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],_T_3257}; // @[el2_lib.scala 311:157] + wire _T_3267 = ^_T_3266; // @[el2_lib.scala 311:164] + wire _T_3268 = io_iccm_rd_data_ecc[34] ^ _T_3267; // @[el2_lib.scala 311:152] + wire [8:0] _T_3277 = {io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[12],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[5],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[2],io_iccm_rd_data_ecc[0]}; // @[el2_lib.scala 311:184] + wire [17:0] _T_3286 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[27],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],_T_3277}; // @[el2_lib.scala 311:184] + wire _T_3287 = ^_T_3286; // @[el2_lib.scala 311:191] + wire _T_3288 = io_iccm_rd_data_ecc[33] ^ _T_3287; // @[el2_lib.scala 311:179] + wire [8:0] _T_3297 = {io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[11],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[4],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[1],io_iccm_rd_data_ecc[0]}; // @[el2_lib.scala 311:211] + wire [17:0] _T_3306 = {io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[26],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[15],_T_3297}; // @[el2_lib.scala 311:211] + wire _T_3307 = ^_T_3306; // @[el2_lib.scala 311:218] + wire _T_3308 = io_iccm_rd_data_ecc[32] ^ _T_3307; // @[el2_lib.scala 311:206] wire [6:0] _T_3314 = {_T_3204,_T_3214,_T_3231,_T_3248,_T_3268,_T_3288,_T_3308}; // @[Cat.scala 29:58] - wire _T_3315 = _T_3314 != 7'h0; // @[el2_lib.scala 319:44] - wire _T_3316 = iccm_ecc_word_enable[0] & _T_3315; // @[el2_lib.scala 319:32] - wire _T_3318 = _T_3316 & _T_3314[6]; // @[el2_lib.scala 319:53] - wire _T_3587 = ^io_iccm_rd_data_ecc[70:39]; // @[el2_lib.scala 318:30] - wire _T_3588 = ^io_iccm_rd_data_ecc[77:71]; // @[el2_lib.scala 318:44] - wire _T_3589 = _T_3587 ^ _T_3588; // @[el2_lib.scala 318:35] - wire [5:0] _T_3597 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[68],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[66],io_iccm_rd_data_ecc[65]}; // @[el2_lib.scala 318:76] - wire _T_3598 = ^_T_3597; // @[el2_lib.scala 318:83] - wire _T_3599 = io_iccm_rd_data_ecc[76] ^ _T_3598; // @[el2_lib.scala 318:71] - wire [6:0] _T_3606 = {io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],io_iccm_rd_data_ecc[54],io_iccm_rd_data_ecc[53],io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[51],io_iccm_rd_data_ecc[50]}; // @[el2_lib.scala 318:103] - wire [14:0] _T_3614 = {io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[57],_T_3606}; // @[el2_lib.scala 318:103] - wire _T_3615 = ^_T_3614; // @[el2_lib.scala 318:110] - wire _T_3616 = io_iccm_rd_data_ecc[75] ^ _T_3615; // @[el2_lib.scala 318:98] - wire [6:0] _T_3623 = {io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[46],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[44],io_iccm_rd_data_ecc[43]}; // @[el2_lib.scala 318:130] - wire [14:0] _T_3631 = {io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[57],_T_3623}; // @[el2_lib.scala 318:130] - wire _T_3632 = ^_T_3631; // @[el2_lib.scala 318:137] - wire _T_3633 = io_iccm_rd_data_ecc[74] ^ _T_3632; // @[el2_lib.scala 318:125] - wire [8:0] _T_3642 = {io_iccm_rd_data_ecc[54],io_iccm_rd_data_ecc[53],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[46],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[41],io_iccm_rd_data_ecc[40]}; // @[el2_lib.scala 318:157] - wire [17:0] _T_3651 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[68],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],_T_3642}; // @[el2_lib.scala 318:157] - wire _T_3652 = ^_T_3651; // @[el2_lib.scala 318:164] - wire _T_3653 = io_iccm_rd_data_ecc[73] ^ _T_3652; // @[el2_lib.scala 318:152] - wire [8:0] _T_3662 = {io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[51],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[44],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[41],io_iccm_rd_data_ecc[39]}; // @[el2_lib.scala 318:184] - wire [17:0] _T_3671 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[66],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],_T_3662}; // @[el2_lib.scala 318:184] - wire _T_3672 = ^_T_3671; // @[el2_lib.scala 318:191] - wire _T_3673 = io_iccm_rd_data_ecc[72] ^ _T_3672; // @[el2_lib.scala 318:179] - wire [8:0] _T_3682 = {io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[50],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[43],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[40],io_iccm_rd_data_ecc[39]}; // @[el2_lib.scala 318:211] - wire [17:0] _T_3691 = {io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[65],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[54],_T_3682}; // @[el2_lib.scala 318:211] - wire _T_3692 = ^_T_3691; // @[el2_lib.scala 318:218] - wire _T_3693 = io_iccm_rd_data_ecc[71] ^ _T_3692; // @[el2_lib.scala 318:206] + wire _T_3315 = _T_3314 != 7'h0; // @[el2_lib.scala 312:44] + wire _T_3316 = iccm_ecc_word_enable[0] & _T_3315; // @[el2_lib.scala 312:32] + wire _T_3318 = _T_3316 & _T_3314[6]; // @[el2_lib.scala 312:53] + wire _T_3587 = ^io_iccm_rd_data_ecc[70:39]; // @[el2_lib.scala 311:30] + wire _T_3588 = ^io_iccm_rd_data_ecc[77:71]; // @[el2_lib.scala 311:44] + wire _T_3589 = _T_3587 ^ _T_3588; // @[el2_lib.scala 311:35] + wire [5:0] _T_3597 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[68],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[66],io_iccm_rd_data_ecc[65]}; // @[el2_lib.scala 311:76] + wire _T_3598 = ^_T_3597; // @[el2_lib.scala 311:83] + wire _T_3599 = io_iccm_rd_data_ecc[76] ^ _T_3598; // @[el2_lib.scala 311:71] + wire [6:0] _T_3606 = {io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],io_iccm_rd_data_ecc[54],io_iccm_rd_data_ecc[53],io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[51],io_iccm_rd_data_ecc[50]}; // @[el2_lib.scala 311:103] + wire [14:0] _T_3614 = {io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[57],_T_3606}; // @[el2_lib.scala 311:103] + wire _T_3615 = ^_T_3614; // @[el2_lib.scala 311:110] + wire _T_3616 = io_iccm_rd_data_ecc[75] ^ _T_3615; // @[el2_lib.scala 311:98] + wire [6:0] _T_3623 = {io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[46],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[44],io_iccm_rd_data_ecc[43]}; // @[el2_lib.scala 311:130] + wire [14:0] _T_3631 = {io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[57],_T_3623}; // @[el2_lib.scala 311:130] + wire _T_3632 = ^_T_3631; // @[el2_lib.scala 311:137] + wire _T_3633 = io_iccm_rd_data_ecc[74] ^ _T_3632; // @[el2_lib.scala 311:125] + wire [8:0] _T_3642 = {io_iccm_rd_data_ecc[54],io_iccm_rd_data_ecc[53],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[46],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[41],io_iccm_rd_data_ecc[40]}; // @[el2_lib.scala 311:157] + wire [17:0] _T_3651 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[68],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],_T_3642}; // @[el2_lib.scala 311:157] + wire _T_3652 = ^_T_3651; // @[el2_lib.scala 311:164] + wire _T_3653 = io_iccm_rd_data_ecc[73] ^ _T_3652; // @[el2_lib.scala 311:152] + wire [8:0] _T_3662 = {io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[51],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[44],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[41],io_iccm_rd_data_ecc[39]}; // @[el2_lib.scala 311:184] + wire [17:0] _T_3671 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[66],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],_T_3662}; // @[el2_lib.scala 311:184] + wire _T_3672 = ^_T_3671; // @[el2_lib.scala 311:191] + wire _T_3673 = io_iccm_rd_data_ecc[72] ^ _T_3672; // @[el2_lib.scala 311:179] + wire [8:0] _T_3682 = {io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[50],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[43],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[40],io_iccm_rd_data_ecc[39]}; // @[el2_lib.scala 311:211] + wire [17:0] _T_3691 = {io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[65],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[54],_T_3682}; // @[el2_lib.scala 311:211] + wire _T_3692 = ^_T_3691; // @[el2_lib.scala 311:218] + wire _T_3693 = io_iccm_rd_data_ecc[71] ^ _T_3692; // @[el2_lib.scala 311:206] wire [6:0] _T_3699 = {_T_3589,_T_3599,_T_3616,_T_3633,_T_3653,_T_3673,_T_3693}; // @[Cat.scala 29:58] - wire _T_3700 = _T_3699 != 7'h0; // @[el2_lib.scala 319:44] - wire _T_3701 = iccm_ecc_word_enable[1] & _T_3700; // @[el2_lib.scala 319:32] - wire _T_3703 = _T_3701 & _T_3699[6]; // @[el2_lib.scala 319:53] + wire _T_3700 = _T_3699 != 7'h0; // @[el2_lib.scala 312:44] + wire _T_3701 = iccm_ecc_word_enable[1] & _T_3700; // @[el2_lib.scala 312:32] + wire _T_3703 = _T_3701 & _T_3699[6]; // @[el2_lib.scala 312:53] wire [1:0] iccm_single_ecc_error = {_T_3318,_T_3703}; // @[Cat.scala 29:58] wire _T_3 = |iccm_single_ecc_error; // @[el2_ifu_mem_ctl.scala 190:52] reg dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 631:51] @@ -721,7 +753,7 @@ module el2_ifu_mem_ctl( wire _T_229 = _T_228 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 283:41] wire _T_207 = ~ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 274:48] wire _T_208 = ifc_fetch_req_f & _T_207; // @[el2_ifu_mem_ctl.scala 274:46] - reg ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 324:42] + reg ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 324:71] wire _T_209 = ~ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 274:69] wire fetch_req_icache_f = _T_208 & _T_209; // @[el2_ifu_mem_ctl.scala 274:67] wire _T_230 = _T_229 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 283:59] @@ -733,7 +765,7 @@ module el2_ifu_mem_ctl( reg bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 546:61] wire ifu_bus_rvalid_ff = ifu_bus_rvalid_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 588:49] wire bus_ifu_wr_en_ff = ifu_bus_rvalid_ff & miss_pending; // @[el2_ifu_mem_ctl.scala 615:41] - reg uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 310:33] + reg uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 310:62] reg [2:0] bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 596:56] wire _T_2641 = bus_data_beat_count == 3'h1; // @[el2_ifu_mem_ctl.scala 613:69] wire _T_2642 = &bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 613:101] @@ -829,7 +861,7 @@ module el2_ifu_mem_ctl( wire _T_2250 = _T_2248 | _T_2249; // @[el2_ifu_mem_ctl.scala 458:74] wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2250; // @[el2_ifu_mem_ctl.scala 458:41] wire _T_2233 = miss_state == 3'h6; // @[el2_ifu_mem_ctl.scala 455:30] - reg [30:0] imb_ff; // @[el2_ifu_mem_ctl.scala 311:20] + reg [30:0] imb_ff; // @[el2_ifu_mem_ctl.scala 311:49] wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[5]; // @[el2_ifu_mem_ctl.scala 446:51] wire _T_2234 = ~miss_wrap_f; // @[el2_ifu_mem_ctl.scala 455:68] wire _T_2235 = miss_buff_hit_unq_f & _T_2234; // @[el2_ifu_mem_ctl.scala 455:66] @@ -972,7 +1004,7 @@ module el2_ifu_mem_ctl( wire sel_hold_imb = _T_187 | _T_189; // @[el2_ifu_mem_ctl.scala 256:93] wire _T_191 = _T_19 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 259:57] wire sel_hold_imb_scnd = _T_191 & _T_174; // @[el2_ifu_mem_ctl.scala 259:81] - reg way_status_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 267:35] + reg way_status_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 267:64] reg [6:0] ifu_ic_rw_int_addr_ff; // @[el2_ifu_mem_ctl.scala 732:14] wire _T_4766 = ifu_ic_rw_int_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_0; // @[Reg.scala 27:20] @@ -1488,9 +1520,9 @@ module el2_ifu_mem_ctl( wire _T_195 = ~reset_all_tags; // @[el2_ifu_mem_ctl.scala 262:96] wire [1:0] _T_197 = _T_195 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] wire [1:0] _T_198 = _T_197 & io_ic_tag_valid; // @[el2_ifu_mem_ctl.scala 262:113] - reg [1:0] tagv_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 268:29] - reg uncacheable_miss_scnd_ff; // @[el2_ifu_mem_ctl.scala 264:38] - reg [30:0] imb_scnd_ff; // @[el2_ifu_mem_ctl.scala 266:25] + reg [1:0] tagv_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 268:58] + reg uncacheable_miss_scnd_ff; // @[el2_ifu_mem_ctl.scala 264:67] + reg [30:0] imb_scnd_ff; // @[el2_ifu_mem_ctl.scala 266:54] wire [2:0] _T_206 = bus_ifu_wr_en_ff ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] reg [2:0] ifu_bus_rid_ff; // @[Reg.scala 27:20] wire [2:0] ic_wr_addr_bits_hi_3 = ifu_bus_rid_ff & _T_206; // @[el2_ifu_mem_ctl.scala 271:45] @@ -1519,9 +1551,9 @@ module el2_ifu_mem_ctl( wire _T_277 = ~scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 296:47] wire _T_278 = scnd_miss_req & _T_277; // @[el2_ifu_mem_ctl.scala 296:45] wire _T_280 = scnd_miss_req & scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 297:26] - reg way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 316:30] + reg way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 316:59] wire _T_10355 = ~way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 784:33] - reg [1:0] tagv_mb_ff; // @[el2_ifu_mem_ctl.scala 317:24] + reg [1:0] tagv_mb_ff; // @[el2_ifu_mem_ctl.scala 317:53] wire _T_10357 = _T_10355 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 784:51] wire _T_10359 = _T_10357 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 784:67] wire _T_10361 = ~tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 784:86] @@ -1551,7 +1583,7 @@ module el2_ifu_mem_ctl( wire stream_miss_f = _T_2241 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 456:84] wire _T_316 = ~stream_miss_f; // @[el2_ifu_mem_ctl.scala 319:106] wire ifc_fetch_req_qual_bf = _T_315 & _T_316; // @[el2_ifu_mem_ctl.scala 319:104] - reg ifc_region_acc_fault_f; // @[el2_ifu_mem_ctl.scala 325:39] + reg ifc_region_acc_fault_f; // @[el2_ifu_mem_ctl.scala 325:68] reg [2:0] bus_rd_addr_count; // @[Reg.scala 27:20] wire [28:0] ifu_ic_req_addr_f = {miss_addr,bus_rd_addr_count}; // @[Cat.scala 29:58] wire _T_323 = _T_239 | _T_2233; // @[el2_ifu_mem_ctl.scala 327:55] @@ -1600,40 +1632,40 @@ module el2_ifu_mem_ctl( wire sel_mb_status_addr = _T_344 & bus_ifu_wr_en_ff_q; // @[el2_ifu_mem_ctl.scala 335:96] wire [30:0] ifu_status_wr_addr = sel_mb_status_addr ? _T_336 : ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 336:31] reg [63:0] ifu_bus_rdata_ff; // @[Reg.scala 27:20] - wire [6:0] _T_567 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[57]}; // @[el2_lib.scala 401:13] - wire _T_568 = ^_T_567; // @[el2_lib.scala 401:20] - wire [6:0] _T_574 = {ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31],ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[26]}; // @[el2_lib.scala 401:30] - wire [7:0] _T_581 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33]}; // @[el2_lib.scala 401:30] - wire [14:0] _T_582 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33],_T_574}; // @[el2_lib.scala 401:30] - wire [7:0] _T_589 = {ifu_bus_rdata_ff[48],ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[41]}; // @[el2_lib.scala 401:30] - wire [30:0] _T_598 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_589,_T_582}; // @[el2_lib.scala 401:30] - wire _T_599 = ^_T_598; // @[el2_lib.scala 401:37] - wire [6:0] _T_605 = {ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[13],ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[11]}; // @[el2_lib.scala 401:47] - wire [14:0] _T_613 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_605}; // @[el2_lib.scala 401:47] - wire [30:0] _T_629 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_589,_T_613}; // @[el2_lib.scala 401:47] - wire _T_630 = ^_T_629; // @[el2_lib.scala 401:54] - wire [6:0] _T_636 = {ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[4]}; // @[el2_lib.scala 401:64] - wire [14:0] _T_644 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_636}; // @[el2_lib.scala 401:64] - wire [30:0] _T_660 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_581,_T_644}; // @[el2_lib.scala 401:64] - wire _T_661 = ^_T_660; // @[el2_lib.scala 401:71] - wire [7:0] _T_668 = {ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[1]}; // @[el2_lib.scala 401:81] - wire [16:0] _T_677 = {ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],_T_668}; // @[el2_lib.scala 401:81] - wire [8:0] _T_685 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 401:81] - wire [17:0] _T_694 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[48],_T_685}; // @[el2_lib.scala 401:81] - wire [34:0] _T_695 = {_T_694,_T_677}; // @[el2_lib.scala 401:81] - wire _T_696 = ^_T_695; // @[el2_lib.scala 401:88] - wire [7:0] _T_703 = {ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 401:98] - wire [16:0] _T_712 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[13],_T_703}; // @[el2_lib.scala 401:98] - wire [8:0] _T_720 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 401:98] - wire [17:0] _T_729 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[48],_T_720}; // @[el2_lib.scala 401:98] - wire [34:0] _T_730 = {_T_729,_T_712}; // @[el2_lib.scala 401:98] - wire _T_731 = ^_T_730; // @[el2_lib.scala 401:105] - wire [7:0] _T_738 = {ifu_bus_rdata_ff[11],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[4],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[1],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 401:115] - wire [16:0] _T_747 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[26],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[13],_T_738}; // @[el2_lib.scala 401:115] - wire [8:0] _T_755 = {ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[30]}; // @[el2_lib.scala 401:115] - wire [17:0] _T_764 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[57],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[48],_T_755}; // @[el2_lib.scala 401:115] - wire [34:0] _T_765 = {_T_764,_T_747}; // @[el2_lib.scala 401:115] - wire _T_766 = ^_T_765; // @[el2_lib.scala 401:122] + wire [6:0] _T_567 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[57]}; // @[el2_lib.scala 394:13] + wire _T_568 = ^_T_567; // @[el2_lib.scala 394:20] + wire [6:0] _T_574 = {ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31],ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[26]}; // @[el2_lib.scala 394:30] + wire [7:0] _T_581 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33]}; // @[el2_lib.scala 394:30] + wire [14:0] _T_582 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33],_T_574}; // @[el2_lib.scala 394:30] + wire [7:0] _T_589 = {ifu_bus_rdata_ff[48],ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[41]}; // @[el2_lib.scala 394:30] + wire [30:0] _T_598 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_589,_T_582}; // @[el2_lib.scala 394:30] + wire _T_599 = ^_T_598; // @[el2_lib.scala 394:37] + wire [6:0] _T_605 = {ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[13],ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[11]}; // @[el2_lib.scala 394:47] + wire [14:0] _T_613 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_605}; // @[el2_lib.scala 394:47] + wire [30:0] _T_629 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_589,_T_613}; // @[el2_lib.scala 394:47] + wire _T_630 = ^_T_629; // @[el2_lib.scala 394:54] + wire [6:0] _T_636 = {ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[4]}; // @[el2_lib.scala 394:64] + wire [14:0] _T_644 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_636}; // @[el2_lib.scala 394:64] + wire [30:0] _T_660 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_581,_T_644}; // @[el2_lib.scala 394:64] + wire _T_661 = ^_T_660; // @[el2_lib.scala 394:71] + wire [7:0] _T_668 = {ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[1]}; // @[el2_lib.scala 394:81] + wire [16:0] _T_677 = {ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],_T_668}; // @[el2_lib.scala 394:81] + wire [8:0] _T_685 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 394:81] + wire [17:0] _T_694 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[48],_T_685}; // @[el2_lib.scala 394:81] + wire [34:0] _T_695 = {_T_694,_T_677}; // @[el2_lib.scala 394:81] + wire _T_696 = ^_T_695; // @[el2_lib.scala 394:88] + wire [7:0] _T_703 = {ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 394:98] + wire [16:0] _T_712 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[13],_T_703}; // @[el2_lib.scala 394:98] + wire [8:0] _T_720 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 394:98] + wire [17:0] _T_729 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[48],_T_720}; // @[el2_lib.scala 394:98] + wire [34:0] _T_730 = {_T_729,_T_712}; // @[el2_lib.scala 394:98] + wire _T_731 = ^_T_730; // @[el2_lib.scala 394:105] + wire [7:0] _T_738 = {ifu_bus_rdata_ff[11],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[4],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[1],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 394:115] + wire [16:0] _T_747 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[26],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[13],_T_738}; // @[el2_lib.scala 394:115] + wire [8:0] _T_755 = {ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[30]}; // @[el2_lib.scala 394:115] + wire [17:0] _T_764 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[57],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[48],_T_755}; // @[el2_lib.scala 394:115] + wire [34:0] _T_765 = {_T_764,_T_747}; // @[el2_lib.scala 394:115] + wire _T_766 = ^_T_765; // @[el2_lib.scala 394:122] wire [3:0] _T_2295 = {ifu_bus_rid_ff[2:1],_T_2254,1'h1}; // @[Cat.scala 29:58] wire _T_2296 = _T_2295 == 4'h0; // @[el2_ifu_mem_ctl.scala 464:89] reg [31:0] ic_miss_buff_data_0; // @[Reg.scala 27:20] @@ -1747,40 +1779,40 @@ module el2_ifu_mem_ctl( wire [31:0] _T_2438 = _T_2421 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2453 = _T_2452 | _T_2438; // @[Mux.scala 27:72] wire [63:0] ic_miss_buff_half = {_T_2373,_T_2453}; // @[Cat.scala 29:58] - wire [6:0] _T_989 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[57]}; // @[el2_lib.scala 401:13] - wire _T_990 = ^_T_989; // @[el2_lib.scala 401:20] - wire [6:0] _T_996 = {ic_miss_buff_half[32],ic_miss_buff_half[31],ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[26]}; // @[el2_lib.scala 401:30] - wire [7:0] _T_1003 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33]}; // @[el2_lib.scala 401:30] - wire [14:0] _T_1004 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33],_T_996}; // @[el2_lib.scala 401:30] - wire [7:0] _T_1011 = {ic_miss_buff_half[48],ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[42],ic_miss_buff_half[41]}; // @[el2_lib.scala 401:30] - wire [30:0] _T_1020 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1011,_T_1004}; // @[el2_lib.scala 401:30] - wire _T_1021 = ^_T_1020; // @[el2_lib.scala 401:37] - wire [6:0] _T_1027 = {ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],ic_miss_buff_half[14],ic_miss_buff_half[13],ic_miss_buff_half[12],ic_miss_buff_half[11]}; // @[el2_lib.scala 401:47] - wire [14:0] _T_1035 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1027}; // @[el2_lib.scala 401:47] - wire [30:0] _T_1051 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1011,_T_1035}; // @[el2_lib.scala 401:47] - wire _T_1052 = ^_T_1051; // @[el2_lib.scala 401:54] - wire [6:0] _T_1058 = {ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[4]}; // @[el2_lib.scala 401:64] - wire [14:0] _T_1066 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1058}; // @[el2_lib.scala 401:64] - wire [30:0] _T_1082 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1003,_T_1066}; // @[el2_lib.scala 401:64] - wire _T_1083 = ^_T_1082; // @[el2_lib.scala 401:71] - wire [7:0] _T_1090 = {ic_miss_buff_half[14],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[1]}; // @[el2_lib.scala 401:81] - wire [16:0] _T_1099 = {ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],_T_1090}; // @[el2_lib.scala 401:81] - wire [8:0] _T_1107 = {ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 401:81] - wire [17:0] _T_1116 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[48],_T_1107}; // @[el2_lib.scala 401:81] - wire [34:0] _T_1117 = {_T_1116,_T_1099}; // @[el2_lib.scala 401:81] - wire _T_1118 = ^_T_1117; // @[el2_lib.scala 401:88] - wire [7:0] _T_1125 = {ic_miss_buff_half[12],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[0]}; // @[el2_lib.scala 401:98] - wire [16:0] _T_1134 = {ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[13],_T_1125}; // @[el2_lib.scala 401:98] - wire [8:0] _T_1142 = {ic_miss_buff_half[47],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 401:98] - wire [17:0] _T_1151 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[48],_T_1142}; // @[el2_lib.scala 401:98] - wire [34:0] _T_1152 = {_T_1151,_T_1134}; // @[el2_lib.scala 401:98] - wire _T_1153 = ^_T_1152; // @[el2_lib.scala 401:105] - wire [7:0] _T_1160 = {ic_miss_buff_half[11],ic_miss_buff_half[10],ic_miss_buff_half[8],ic_miss_buff_half[6],ic_miss_buff_half[4],ic_miss_buff_half[3],ic_miss_buff_half[1],ic_miss_buff_half[0]}; // @[el2_lib.scala 401:115] - wire [16:0] _T_1169 = {ic_miss_buff_half[28],ic_miss_buff_half[26],ic_miss_buff_half[25],ic_miss_buff_half[23],ic_miss_buff_half[21],ic_miss_buff_half[19],ic_miss_buff_half[17],ic_miss_buff_half[15],ic_miss_buff_half[13],_T_1160}; // @[el2_lib.scala 401:115] - wire [8:0] _T_1177 = {ic_miss_buff_half[46],ic_miss_buff_half[44],ic_miss_buff_half[42],ic_miss_buff_half[40],ic_miss_buff_half[38],ic_miss_buff_half[36],ic_miss_buff_half[34],ic_miss_buff_half[32],ic_miss_buff_half[30]}; // @[el2_lib.scala 401:115] - wire [17:0] _T_1186 = {ic_miss_buff_half[63],ic_miss_buff_half[61],ic_miss_buff_half[59],ic_miss_buff_half[57],ic_miss_buff_half[56],ic_miss_buff_half[54],ic_miss_buff_half[52],ic_miss_buff_half[50],ic_miss_buff_half[48],_T_1177}; // @[el2_lib.scala 401:115] - wire [34:0] _T_1187 = {_T_1186,_T_1169}; // @[el2_lib.scala 401:115] - wire _T_1188 = ^_T_1187; // @[el2_lib.scala 401:122] + wire [6:0] _T_989 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[57]}; // @[el2_lib.scala 394:13] + wire _T_990 = ^_T_989; // @[el2_lib.scala 394:20] + wire [6:0] _T_996 = {ic_miss_buff_half[32],ic_miss_buff_half[31],ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[26]}; // @[el2_lib.scala 394:30] + wire [7:0] _T_1003 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33]}; // @[el2_lib.scala 394:30] + wire [14:0] _T_1004 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33],_T_996}; // @[el2_lib.scala 394:30] + wire [7:0] _T_1011 = {ic_miss_buff_half[48],ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[42],ic_miss_buff_half[41]}; // @[el2_lib.scala 394:30] + wire [30:0] _T_1020 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1011,_T_1004}; // @[el2_lib.scala 394:30] + wire _T_1021 = ^_T_1020; // @[el2_lib.scala 394:37] + wire [6:0] _T_1027 = {ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],ic_miss_buff_half[14],ic_miss_buff_half[13],ic_miss_buff_half[12],ic_miss_buff_half[11]}; // @[el2_lib.scala 394:47] + wire [14:0] _T_1035 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1027}; // @[el2_lib.scala 394:47] + wire [30:0] _T_1051 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1011,_T_1035}; // @[el2_lib.scala 394:47] + wire _T_1052 = ^_T_1051; // @[el2_lib.scala 394:54] + wire [6:0] _T_1058 = {ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[4]}; // @[el2_lib.scala 394:64] + wire [14:0] _T_1066 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1058}; // @[el2_lib.scala 394:64] + wire [30:0] _T_1082 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1003,_T_1066}; // @[el2_lib.scala 394:64] + wire _T_1083 = ^_T_1082; // @[el2_lib.scala 394:71] + wire [7:0] _T_1090 = {ic_miss_buff_half[14],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[1]}; // @[el2_lib.scala 394:81] + wire [16:0] _T_1099 = {ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],_T_1090}; // @[el2_lib.scala 394:81] + wire [8:0] _T_1107 = {ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 394:81] + wire [17:0] _T_1116 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[48],_T_1107}; // @[el2_lib.scala 394:81] + wire [34:0] _T_1117 = {_T_1116,_T_1099}; // @[el2_lib.scala 394:81] + wire _T_1118 = ^_T_1117; // @[el2_lib.scala 394:88] + wire [7:0] _T_1125 = {ic_miss_buff_half[12],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[0]}; // @[el2_lib.scala 394:98] + wire [16:0] _T_1134 = {ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[13],_T_1125}; // @[el2_lib.scala 394:98] + wire [8:0] _T_1142 = {ic_miss_buff_half[47],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 394:98] + wire [17:0] _T_1151 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[48],_T_1142}; // @[el2_lib.scala 394:98] + wire [34:0] _T_1152 = {_T_1151,_T_1134}; // @[el2_lib.scala 394:98] + wire _T_1153 = ^_T_1152; // @[el2_lib.scala 394:105] + wire [7:0] _T_1160 = {ic_miss_buff_half[11],ic_miss_buff_half[10],ic_miss_buff_half[8],ic_miss_buff_half[6],ic_miss_buff_half[4],ic_miss_buff_half[3],ic_miss_buff_half[1],ic_miss_buff_half[0]}; // @[el2_lib.scala 394:115] + wire [16:0] _T_1169 = {ic_miss_buff_half[28],ic_miss_buff_half[26],ic_miss_buff_half[25],ic_miss_buff_half[23],ic_miss_buff_half[21],ic_miss_buff_half[19],ic_miss_buff_half[17],ic_miss_buff_half[15],ic_miss_buff_half[13],_T_1160}; // @[el2_lib.scala 394:115] + wire [8:0] _T_1177 = {ic_miss_buff_half[46],ic_miss_buff_half[44],ic_miss_buff_half[42],ic_miss_buff_half[40],ic_miss_buff_half[38],ic_miss_buff_half[36],ic_miss_buff_half[34],ic_miss_buff_half[32],ic_miss_buff_half[30]}; // @[el2_lib.scala 394:115] + wire [17:0] _T_1186 = {ic_miss_buff_half[63],ic_miss_buff_half[61],ic_miss_buff_half[59],ic_miss_buff_half[57],ic_miss_buff_half[56],ic_miss_buff_half[54],ic_miss_buff_half[52],ic_miss_buff_half[50],ic_miss_buff_half[48],_T_1177}; // @[el2_lib.scala 394:115] + wire [34:0] _T_1187 = {_T_1186,_T_1169}; // @[el2_lib.scala 394:115] + wire _T_1188 = ^_T_1187; // @[el2_lib.scala 394:122] wire [70:0] _T_1233 = {_T_568,_T_599,_T_630,_T_661,_T_696,_T_731,_T_766,ifu_bus_rdata_ff}; // @[Cat.scala 29:58] wire [70:0] _T_1232 = {_T_990,_T_1021,_T_1052,_T_1083,_T_1118,_T_1153,_T_1188,_T_2373,_T_2453}; // @[Cat.scala 29:58] wire [141:0] _T_1234 = {_T_568,_T_599,_T_630,_T_661,_T_696,_T_731,_T_766,ifu_bus_rdata_ff,_T_1232}; // @[Cat.scala 29:58] @@ -3094,182 +3126,182 @@ module el2_ifu_mem_ctl( wire _T_2683 = _T_2678 & _T_2682; // @[el2_ifu_mem_ctl.scala 633:58] wire _T_2684 = io_ifc_iccm_access_bf & io_ifc_fetch_req_bf; // @[el2_ifu_mem_ctl.scala 633:104] wire [2:0] _T_2689 = io_dma_iccm_req ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire _T_2710 = io_dma_mem_wdata[32] ^ io_dma_mem_wdata[33]; // @[el2_lib.scala 244:74] - wire _T_2711 = _T_2710 ^ io_dma_mem_wdata[35]; // @[el2_lib.scala 244:74] - wire _T_2712 = _T_2711 ^ io_dma_mem_wdata[36]; // @[el2_lib.scala 244:74] - wire _T_2713 = _T_2712 ^ io_dma_mem_wdata[38]; // @[el2_lib.scala 244:74] - wire _T_2714 = _T_2713 ^ io_dma_mem_wdata[40]; // @[el2_lib.scala 244:74] - wire _T_2715 = _T_2714 ^ io_dma_mem_wdata[42]; // @[el2_lib.scala 244:74] - wire _T_2716 = _T_2715 ^ io_dma_mem_wdata[43]; // @[el2_lib.scala 244:74] - wire _T_2717 = _T_2716 ^ io_dma_mem_wdata[45]; // @[el2_lib.scala 244:74] - wire _T_2718 = _T_2717 ^ io_dma_mem_wdata[47]; // @[el2_lib.scala 244:74] - wire _T_2719 = _T_2718 ^ io_dma_mem_wdata[49]; // @[el2_lib.scala 244:74] - wire _T_2720 = _T_2719 ^ io_dma_mem_wdata[51]; // @[el2_lib.scala 244:74] - wire _T_2721 = _T_2720 ^ io_dma_mem_wdata[53]; // @[el2_lib.scala 244:74] - wire _T_2722 = _T_2721 ^ io_dma_mem_wdata[55]; // @[el2_lib.scala 244:74] - wire _T_2723 = _T_2722 ^ io_dma_mem_wdata[57]; // @[el2_lib.scala 244:74] - wire _T_2724 = _T_2723 ^ io_dma_mem_wdata[58]; // @[el2_lib.scala 244:74] - wire _T_2725 = _T_2724 ^ io_dma_mem_wdata[60]; // @[el2_lib.scala 244:74] - wire _T_2726 = _T_2725 ^ io_dma_mem_wdata[62]; // @[el2_lib.scala 244:74] - wire _T_2745 = io_dma_mem_wdata[32] ^ io_dma_mem_wdata[34]; // @[el2_lib.scala 244:74] - wire _T_2746 = _T_2745 ^ io_dma_mem_wdata[35]; // @[el2_lib.scala 244:74] - wire _T_2747 = _T_2746 ^ io_dma_mem_wdata[37]; // @[el2_lib.scala 244:74] - wire _T_2748 = _T_2747 ^ io_dma_mem_wdata[38]; // @[el2_lib.scala 244:74] - wire _T_2749 = _T_2748 ^ io_dma_mem_wdata[41]; // @[el2_lib.scala 244:74] - wire _T_2750 = _T_2749 ^ io_dma_mem_wdata[42]; // @[el2_lib.scala 244:74] - wire _T_2751 = _T_2750 ^ io_dma_mem_wdata[44]; // @[el2_lib.scala 244:74] - wire _T_2752 = _T_2751 ^ io_dma_mem_wdata[45]; // @[el2_lib.scala 244:74] - wire _T_2753 = _T_2752 ^ io_dma_mem_wdata[48]; // @[el2_lib.scala 244:74] - wire _T_2754 = _T_2753 ^ io_dma_mem_wdata[49]; // @[el2_lib.scala 244:74] - wire _T_2755 = _T_2754 ^ io_dma_mem_wdata[52]; // @[el2_lib.scala 244:74] - wire _T_2756 = _T_2755 ^ io_dma_mem_wdata[53]; // @[el2_lib.scala 244:74] - wire _T_2757 = _T_2756 ^ io_dma_mem_wdata[56]; // @[el2_lib.scala 244:74] - wire _T_2758 = _T_2757 ^ io_dma_mem_wdata[57]; // @[el2_lib.scala 244:74] - wire _T_2759 = _T_2758 ^ io_dma_mem_wdata[59]; // @[el2_lib.scala 244:74] - wire _T_2760 = _T_2759 ^ io_dma_mem_wdata[60]; // @[el2_lib.scala 244:74] - wire _T_2761 = _T_2760 ^ io_dma_mem_wdata[63]; // @[el2_lib.scala 244:74] - wire _T_2780 = io_dma_mem_wdata[33] ^ io_dma_mem_wdata[34]; // @[el2_lib.scala 244:74] - wire _T_2781 = _T_2780 ^ io_dma_mem_wdata[35]; // @[el2_lib.scala 244:74] - wire _T_2782 = _T_2781 ^ io_dma_mem_wdata[39]; // @[el2_lib.scala 244:74] - wire _T_2783 = _T_2782 ^ io_dma_mem_wdata[40]; // @[el2_lib.scala 244:74] - wire _T_2784 = _T_2783 ^ io_dma_mem_wdata[41]; // @[el2_lib.scala 244:74] - wire _T_2785 = _T_2784 ^ io_dma_mem_wdata[42]; // @[el2_lib.scala 244:74] - wire _T_2786 = _T_2785 ^ io_dma_mem_wdata[46]; // @[el2_lib.scala 244:74] - wire _T_2787 = _T_2786 ^ io_dma_mem_wdata[47]; // @[el2_lib.scala 244:74] - wire _T_2788 = _T_2787 ^ io_dma_mem_wdata[48]; // @[el2_lib.scala 244:74] - wire _T_2789 = _T_2788 ^ io_dma_mem_wdata[49]; // @[el2_lib.scala 244:74] - wire _T_2790 = _T_2789 ^ io_dma_mem_wdata[54]; // @[el2_lib.scala 244:74] - wire _T_2791 = _T_2790 ^ io_dma_mem_wdata[55]; // @[el2_lib.scala 244:74] - wire _T_2792 = _T_2791 ^ io_dma_mem_wdata[56]; // @[el2_lib.scala 244:74] - wire _T_2793 = _T_2792 ^ io_dma_mem_wdata[57]; // @[el2_lib.scala 244:74] - wire _T_2794 = _T_2793 ^ io_dma_mem_wdata[61]; // @[el2_lib.scala 244:74] - wire _T_2795 = _T_2794 ^ io_dma_mem_wdata[62]; // @[el2_lib.scala 244:74] - wire _T_2796 = _T_2795 ^ io_dma_mem_wdata[63]; // @[el2_lib.scala 244:74] - wire _T_2812 = io_dma_mem_wdata[36] ^ io_dma_mem_wdata[37]; // @[el2_lib.scala 244:74] - wire _T_2813 = _T_2812 ^ io_dma_mem_wdata[38]; // @[el2_lib.scala 244:74] - wire _T_2814 = _T_2813 ^ io_dma_mem_wdata[39]; // @[el2_lib.scala 244:74] - wire _T_2815 = _T_2814 ^ io_dma_mem_wdata[40]; // @[el2_lib.scala 244:74] - wire _T_2816 = _T_2815 ^ io_dma_mem_wdata[41]; // @[el2_lib.scala 244:74] - wire _T_2817 = _T_2816 ^ io_dma_mem_wdata[42]; // @[el2_lib.scala 244:74] - wire _T_2818 = _T_2817 ^ io_dma_mem_wdata[50]; // @[el2_lib.scala 244:74] - wire _T_2819 = _T_2818 ^ io_dma_mem_wdata[51]; // @[el2_lib.scala 244:74] - wire _T_2820 = _T_2819 ^ io_dma_mem_wdata[52]; // @[el2_lib.scala 244:74] - wire _T_2821 = _T_2820 ^ io_dma_mem_wdata[53]; // @[el2_lib.scala 244:74] - wire _T_2822 = _T_2821 ^ io_dma_mem_wdata[54]; // @[el2_lib.scala 244:74] - wire _T_2823 = _T_2822 ^ io_dma_mem_wdata[55]; // @[el2_lib.scala 244:74] - wire _T_2824 = _T_2823 ^ io_dma_mem_wdata[56]; // @[el2_lib.scala 244:74] - wire _T_2825 = _T_2824 ^ io_dma_mem_wdata[57]; // @[el2_lib.scala 244:74] - wire _T_2841 = io_dma_mem_wdata[43] ^ io_dma_mem_wdata[44]; // @[el2_lib.scala 244:74] - wire _T_2842 = _T_2841 ^ io_dma_mem_wdata[45]; // @[el2_lib.scala 244:74] - wire _T_2843 = _T_2842 ^ io_dma_mem_wdata[46]; // @[el2_lib.scala 244:74] - wire _T_2844 = _T_2843 ^ io_dma_mem_wdata[47]; // @[el2_lib.scala 244:74] - wire _T_2845 = _T_2844 ^ io_dma_mem_wdata[48]; // @[el2_lib.scala 244:74] - wire _T_2846 = _T_2845 ^ io_dma_mem_wdata[49]; // @[el2_lib.scala 244:74] - wire _T_2847 = _T_2846 ^ io_dma_mem_wdata[50]; // @[el2_lib.scala 244:74] - wire _T_2848 = _T_2847 ^ io_dma_mem_wdata[51]; // @[el2_lib.scala 244:74] - wire _T_2849 = _T_2848 ^ io_dma_mem_wdata[52]; // @[el2_lib.scala 244:74] - wire _T_2850 = _T_2849 ^ io_dma_mem_wdata[53]; // @[el2_lib.scala 244:74] - wire _T_2851 = _T_2850 ^ io_dma_mem_wdata[54]; // @[el2_lib.scala 244:74] - wire _T_2852 = _T_2851 ^ io_dma_mem_wdata[55]; // @[el2_lib.scala 244:74] - wire _T_2853 = _T_2852 ^ io_dma_mem_wdata[56]; // @[el2_lib.scala 244:74] - wire _T_2854 = _T_2853 ^ io_dma_mem_wdata[57]; // @[el2_lib.scala 244:74] - wire _T_2861 = io_dma_mem_wdata[58] ^ io_dma_mem_wdata[59]; // @[el2_lib.scala 244:74] - wire _T_2862 = _T_2861 ^ io_dma_mem_wdata[60]; // @[el2_lib.scala 244:74] - wire _T_2863 = _T_2862 ^ io_dma_mem_wdata[61]; // @[el2_lib.scala 244:74] - wire _T_2864 = _T_2863 ^ io_dma_mem_wdata[62]; // @[el2_lib.scala 244:74] - wire _T_2865 = _T_2864 ^ io_dma_mem_wdata[63]; // @[el2_lib.scala 244:74] + wire _T_2710 = io_dma_mem_wdata[32] ^ io_dma_mem_wdata[33]; // @[el2_lib.scala 237:74] + wire _T_2711 = _T_2710 ^ io_dma_mem_wdata[35]; // @[el2_lib.scala 237:74] + wire _T_2712 = _T_2711 ^ io_dma_mem_wdata[36]; // @[el2_lib.scala 237:74] + wire _T_2713 = _T_2712 ^ io_dma_mem_wdata[38]; // @[el2_lib.scala 237:74] + wire _T_2714 = _T_2713 ^ io_dma_mem_wdata[40]; // @[el2_lib.scala 237:74] + wire _T_2715 = _T_2714 ^ io_dma_mem_wdata[42]; // @[el2_lib.scala 237:74] + wire _T_2716 = _T_2715 ^ io_dma_mem_wdata[43]; // @[el2_lib.scala 237:74] + wire _T_2717 = _T_2716 ^ io_dma_mem_wdata[45]; // @[el2_lib.scala 237:74] + wire _T_2718 = _T_2717 ^ io_dma_mem_wdata[47]; // @[el2_lib.scala 237:74] + wire _T_2719 = _T_2718 ^ io_dma_mem_wdata[49]; // @[el2_lib.scala 237:74] + wire _T_2720 = _T_2719 ^ io_dma_mem_wdata[51]; // @[el2_lib.scala 237:74] + wire _T_2721 = _T_2720 ^ io_dma_mem_wdata[53]; // @[el2_lib.scala 237:74] + wire _T_2722 = _T_2721 ^ io_dma_mem_wdata[55]; // @[el2_lib.scala 237:74] + wire _T_2723 = _T_2722 ^ io_dma_mem_wdata[57]; // @[el2_lib.scala 237:74] + wire _T_2724 = _T_2723 ^ io_dma_mem_wdata[58]; // @[el2_lib.scala 237:74] + wire _T_2725 = _T_2724 ^ io_dma_mem_wdata[60]; // @[el2_lib.scala 237:74] + wire _T_2726 = _T_2725 ^ io_dma_mem_wdata[62]; // @[el2_lib.scala 237:74] + wire _T_2745 = io_dma_mem_wdata[32] ^ io_dma_mem_wdata[34]; // @[el2_lib.scala 237:74] + wire _T_2746 = _T_2745 ^ io_dma_mem_wdata[35]; // @[el2_lib.scala 237:74] + wire _T_2747 = _T_2746 ^ io_dma_mem_wdata[37]; // @[el2_lib.scala 237:74] + wire _T_2748 = _T_2747 ^ io_dma_mem_wdata[38]; // @[el2_lib.scala 237:74] + wire _T_2749 = _T_2748 ^ io_dma_mem_wdata[41]; // @[el2_lib.scala 237:74] + wire _T_2750 = _T_2749 ^ io_dma_mem_wdata[42]; // @[el2_lib.scala 237:74] + wire _T_2751 = _T_2750 ^ io_dma_mem_wdata[44]; // @[el2_lib.scala 237:74] + wire _T_2752 = _T_2751 ^ io_dma_mem_wdata[45]; // @[el2_lib.scala 237:74] + wire _T_2753 = _T_2752 ^ io_dma_mem_wdata[48]; // @[el2_lib.scala 237:74] + wire _T_2754 = _T_2753 ^ io_dma_mem_wdata[49]; // @[el2_lib.scala 237:74] + wire _T_2755 = _T_2754 ^ io_dma_mem_wdata[52]; // @[el2_lib.scala 237:74] + wire _T_2756 = _T_2755 ^ io_dma_mem_wdata[53]; // @[el2_lib.scala 237:74] + wire _T_2757 = _T_2756 ^ io_dma_mem_wdata[56]; // @[el2_lib.scala 237:74] + wire _T_2758 = _T_2757 ^ io_dma_mem_wdata[57]; // @[el2_lib.scala 237:74] + wire _T_2759 = _T_2758 ^ io_dma_mem_wdata[59]; // @[el2_lib.scala 237:74] + wire _T_2760 = _T_2759 ^ io_dma_mem_wdata[60]; // @[el2_lib.scala 237:74] + wire _T_2761 = _T_2760 ^ io_dma_mem_wdata[63]; // @[el2_lib.scala 237:74] + wire _T_2780 = io_dma_mem_wdata[33] ^ io_dma_mem_wdata[34]; // @[el2_lib.scala 237:74] + wire _T_2781 = _T_2780 ^ io_dma_mem_wdata[35]; // @[el2_lib.scala 237:74] + wire _T_2782 = _T_2781 ^ io_dma_mem_wdata[39]; // @[el2_lib.scala 237:74] + wire _T_2783 = _T_2782 ^ io_dma_mem_wdata[40]; // @[el2_lib.scala 237:74] + wire _T_2784 = _T_2783 ^ io_dma_mem_wdata[41]; // @[el2_lib.scala 237:74] + wire _T_2785 = _T_2784 ^ io_dma_mem_wdata[42]; // @[el2_lib.scala 237:74] + wire _T_2786 = _T_2785 ^ io_dma_mem_wdata[46]; // @[el2_lib.scala 237:74] + wire _T_2787 = _T_2786 ^ io_dma_mem_wdata[47]; // @[el2_lib.scala 237:74] + wire _T_2788 = _T_2787 ^ io_dma_mem_wdata[48]; // @[el2_lib.scala 237:74] + wire _T_2789 = _T_2788 ^ io_dma_mem_wdata[49]; // @[el2_lib.scala 237:74] + wire _T_2790 = _T_2789 ^ io_dma_mem_wdata[54]; // @[el2_lib.scala 237:74] + wire _T_2791 = _T_2790 ^ io_dma_mem_wdata[55]; // @[el2_lib.scala 237:74] + wire _T_2792 = _T_2791 ^ io_dma_mem_wdata[56]; // @[el2_lib.scala 237:74] + wire _T_2793 = _T_2792 ^ io_dma_mem_wdata[57]; // @[el2_lib.scala 237:74] + wire _T_2794 = _T_2793 ^ io_dma_mem_wdata[61]; // @[el2_lib.scala 237:74] + wire _T_2795 = _T_2794 ^ io_dma_mem_wdata[62]; // @[el2_lib.scala 237:74] + wire _T_2796 = _T_2795 ^ io_dma_mem_wdata[63]; // @[el2_lib.scala 237:74] + wire _T_2812 = io_dma_mem_wdata[36] ^ io_dma_mem_wdata[37]; // @[el2_lib.scala 237:74] + wire _T_2813 = _T_2812 ^ io_dma_mem_wdata[38]; // @[el2_lib.scala 237:74] + wire _T_2814 = _T_2813 ^ io_dma_mem_wdata[39]; // @[el2_lib.scala 237:74] + wire _T_2815 = _T_2814 ^ io_dma_mem_wdata[40]; // @[el2_lib.scala 237:74] + wire _T_2816 = _T_2815 ^ io_dma_mem_wdata[41]; // @[el2_lib.scala 237:74] + wire _T_2817 = _T_2816 ^ io_dma_mem_wdata[42]; // @[el2_lib.scala 237:74] + wire _T_2818 = _T_2817 ^ io_dma_mem_wdata[50]; // @[el2_lib.scala 237:74] + wire _T_2819 = _T_2818 ^ io_dma_mem_wdata[51]; // @[el2_lib.scala 237:74] + wire _T_2820 = _T_2819 ^ io_dma_mem_wdata[52]; // @[el2_lib.scala 237:74] + wire _T_2821 = _T_2820 ^ io_dma_mem_wdata[53]; // @[el2_lib.scala 237:74] + wire _T_2822 = _T_2821 ^ io_dma_mem_wdata[54]; // @[el2_lib.scala 237:74] + wire _T_2823 = _T_2822 ^ io_dma_mem_wdata[55]; // @[el2_lib.scala 237:74] + wire _T_2824 = _T_2823 ^ io_dma_mem_wdata[56]; // @[el2_lib.scala 237:74] + wire _T_2825 = _T_2824 ^ io_dma_mem_wdata[57]; // @[el2_lib.scala 237:74] + wire _T_2841 = io_dma_mem_wdata[43] ^ io_dma_mem_wdata[44]; // @[el2_lib.scala 237:74] + wire _T_2842 = _T_2841 ^ io_dma_mem_wdata[45]; // @[el2_lib.scala 237:74] + wire _T_2843 = _T_2842 ^ io_dma_mem_wdata[46]; // @[el2_lib.scala 237:74] + wire _T_2844 = _T_2843 ^ io_dma_mem_wdata[47]; // @[el2_lib.scala 237:74] + wire _T_2845 = _T_2844 ^ io_dma_mem_wdata[48]; // @[el2_lib.scala 237:74] + wire _T_2846 = _T_2845 ^ io_dma_mem_wdata[49]; // @[el2_lib.scala 237:74] + wire _T_2847 = _T_2846 ^ io_dma_mem_wdata[50]; // @[el2_lib.scala 237:74] + wire _T_2848 = _T_2847 ^ io_dma_mem_wdata[51]; // @[el2_lib.scala 237:74] + wire _T_2849 = _T_2848 ^ io_dma_mem_wdata[52]; // @[el2_lib.scala 237:74] + wire _T_2850 = _T_2849 ^ io_dma_mem_wdata[53]; // @[el2_lib.scala 237:74] + wire _T_2851 = _T_2850 ^ io_dma_mem_wdata[54]; // @[el2_lib.scala 237:74] + wire _T_2852 = _T_2851 ^ io_dma_mem_wdata[55]; // @[el2_lib.scala 237:74] + wire _T_2853 = _T_2852 ^ io_dma_mem_wdata[56]; // @[el2_lib.scala 237:74] + wire _T_2854 = _T_2853 ^ io_dma_mem_wdata[57]; // @[el2_lib.scala 237:74] + wire _T_2861 = io_dma_mem_wdata[58] ^ io_dma_mem_wdata[59]; // @[el2_lib.scala 237:74] + wire _T_2862 = _T_2861 ^ io_dma_mem_wdata[60]; // @[el2_lib.scala 237:74] + wire _T_2863 = _T_2862 ^ io_dma_mem_wdata[61]; // @[el2_lib.scala 237:74] + wire _T_2864 = _T_2863 ^ io_dma_mem_wdata[62]; // @[el2_lib.scala 237:74] + wire _T_2865 = _T_2864 ^ io_dma_mem_wdata[63]; // @[el2_lib.scala 237:74] wire [5:0] _T_2870 = {_T_2865,_T_2854,_T_2825,_T_2796,_T_2761,_T_2726}; // @[Cat.scala 29:58] - wire _T_2871 = ^io_dma_mem_wdata[63:32]; // @[el2_lib.scala 252:13] - wire _T_2872 = ^_T_2870; // @[el2_lib.scala 252:23] - wire _T_2873 = _T_2871 ^ _T_2872; // @[el2_lib.scala 252:18] - wire _T_2894 = io_dma_mem_wdata[0] ^ io_dma_mem_wdata[1]; // @[el2_lib.scala 244:74] - wire _T_2895 = _T_2894 ^ io_dma_mem_wdata[3]; // @[el2_lib.scala 244:74] - wire _T_2896 = _T_2895 ^ io_dma_mem_wdata[4]; // @[el2_lib.scala 244:74] - wire _T_2897 = _T_2896 ^ io_dma_mem_wdata[6]; // @[el2_lib.scala 244:74] - wire _T_2898 = _T_2897 ^ io_dma_mem_wdata[8]; // @[el2_lib.scala 244:74] - wire _T_2899 = _T_2898 ^ io_dma_mem_wdata[10]; // @[el2_lib.scala 244:74] - wire _T_2900 = _T_2899 ^ io_dma_mem_wdata[11]; // @[el2_lib.scala 244:74] - wire _T_2901 = _T_2900 ^ io_dma_mem_wdata[13]; // @[el2_lib.scala 244:74] - wire _T_2902 = _T_2901 ^ io_dma_mem_wdata[15]; // @[el2_lib.scala 244:74] - wire _T_2903 = _T_2902 ^ io_dma_mem_wdata[17]; // @[el2_lib.scala 244:74] - wire _T_2904 = _T_2903 ^ io_dma_mem_wdata[19]; // @[el2_lib.scala 244:74] - wire _T_2905 = _T_2904 ^ io_dma_mem_wdata[21]; // @[el2_lib.scala 244:74] - wire _T_2906 = _T_2905 ^ io_dma_mem_wdata[23]; // @[el2_lib.scala 244:74] - wire _T_2907 = _T_2906 ^ io_dma_mem_wdata[25]; // @[el2_lib.scala 244:74] - wire _T_2908 = _T_2907 ^ io_dma_mem_wdata[26]; // @[el2_lib.scala 244:74] - wire _T_2909 = _T_2908 ^ io_dma_mem_wdata[28]; // @[el2_lib.scala 244:74] - wire _T_2910 = _T_2909 ^ io_dma_mem_wdata[30]; // @[el2_lib.scala 244:74] - wire _T_2929 = io_dma_mem_wdata[0] ^ io_dma_mem_wdata[2]; // @[el2_lib.scala 244:74] - wire _T_2930 = _T_2929 ^ io_dma_mem_wdata[3]; // @[el2_lib.scala 244:74] - wire _T_2931 = _T_2930 ^ io_dma_mem_wdata[5]; // @[el2_lib.scala 244:74] - wire _T_2932 = _T_2931 ^ io_dma_mem_wdata[6]; // @[el2_lib.scala 244:74] - wire _T_2933 = _T_2932 ^ io_dma_mem_wdata[9]; // @[el2_lib.scala 244:74] - wire _T_2934 = _T_2933 ^ io_dma_mem_wdata[10]; // @[el2_lib.scala 244:74] - wire _T_2935 = _T_2934 ^ io_dma_mem_wdata[12]; // @[el2_lib.scala 244:74] - wire _T_2936 = _T_2935 ^ io_dma_mem_wdata[13]; // @[el2_lib.scala 244:74] - wire _T_2937 = _T_2936 ^ io_dma_mem_wdata[16]; // @[el2_lib.scala 244:74] - wire _T_2938 = _T_2937 ^ io_dma_mem_wdata[17]; // @[el2_lib.scala 244:74] - wire _T_2939 = _T_2938 ^ io_dma_mem_wdata[20]; // @[el2_lib.scala 244:74] - wire _T_2940 = _T_2939 ^ io_dma_mem_wdata[21]; // @[el2_lib.scala 244:74] - wire _T_2941 = _T_2940 ^ io_dma_mem_wdata[24]; // @[el2_lib.scala 244:74] - wire _T_2942 = _T_2941 ^ io_dma_mem_wdata[25]; // @[el2_lib.scala 244:74] - wire _T_2943 = _T_2942 ^ io_dma_mem_wdata[27]; // @[el2_lib.scala 244:74] - wire _T_2944 = _T_2943 ^ io_dma_mem_wdata[28]; // @[el2_lib.scala 244:74] - wire _T_2945 = _T_2944 ^ io_dma_mem_wdata[31]; // @[el2_lib.scala 244:74] - wire _T_2964 = io_dma_mem_wdata[1] ^ io_dma_mem_wdata[2]; // @[el2_lib.scala 244:74] - wire _T_2965 = _T_2964 ^ io_dma_mem_wdata[3]; // @[el2_lib.scala 244:74] - wire _T_2966 = _T_2965 ^ io_dma_mem_wdata[7]; // @[el2_lib.scala 244:74] - wire _T_2967 = _T_2966 ^ io_dma_mem_wdata[8]; // @[el2_lib.scala 244:74] - wire _T_2968 = _T_2967 ^ io_dma_mem_wdata[9]; // @[el2_lib.scala 244:74] - wire _T_2969 = _T_2968 ^ io_dma_mem_wdata[10]; // @[el2_lib.scala 244:74] - wire _T_2970 = _T_2969 ^ io_dma_mem_wdata[14]; // @[el2_lib.scala 244:74] - wire _T_2971 = _T_2970 ^ io_dma_mem_wdata[15]; // @[el2_lib.scala 244:74] - wire _T_2972 = _T_2971 ^ io_dma_mem_wdata[16]; // @[el2_lib.scala 244:74] - wire _T_2973 = _T_2972 ^ io_dma_mem_wdata[17]; // @[el2_lib.scala 244:74] - wire _T_2974 = _T_2973 ^ io_dma_mem_wdata[22]; // @[el2_lib.scala 244:74] - wire _T_2975 = _T_2974 ^ io_dma_mem_wdata[23]; // @[el2_lib.scala 244:74] - wire _T_2976 = _T_2975 ^ io_dma_mem_wdata[24]; // @[el2_lib.scala 244:74] - wire _T_2977 = _T_2976 ^ io_dma_mem_wdata[25]; // @[el2_lib.scala 244:74] - wire _T_2978 = _T_2977 ^ io_dma_mem_wdata[29]; // @[el2_lib.scala 244:74] - wire _T_2979 = _T_2978 ^ io_dma_mem_wdata[30]; // @[el2_lib.scala 244:74] - wire _T_2980 = _T_2979 ^ io_dma_mem_wdata[31]; // @[el2_lib.scala 244:74] - wire _T_2996 = io_dma_mem_wdata[4] ^ io_dma_mem_wdata[5]; // @[el2_lib.scala 244:74] - wire _T_2997 = _T_2996 ^ io_dma_mem_wdata[6]; // @[el2_lib.scala 244:74] - wire _T_2998 = _T_2997 ^ io_dma_mem_wdata[7]; // @[el2_lib.scala 244:74] - wire _T_2999 = _T_2998 ^ io_dma_mem_wdata[8]; // @[el2_lib.scala 244:74] - wire _T_3000 = _T_2999 ^ io_dma_mem_wdata[9]; // @[el2_lib.scala 244:74] - wire _T_3001 = _T_3000 ^ io_dma_mem_wdata[10]; // @[el2_lib.scala 244:74] - wire _T_3002 = _T_3001 ^ io_dma_mem_wdata[18]; // @[el2_lib.scala 244:74] - wire _T_3003 = _T_3002 ^ io_dma_mem_wdata[19]; // @[el2_lib.scala 244:74] - wire _T_3004 = _T_3003 ^ io_dma_mem_wdata[20]; // @[el2_lib.scala 244:74] - wire _T_3005 = _T_3004 ^ io_dma_mem_wdata[21]; // @[el2_lib.scala 244:74] - wire _T_3006 = _T_3005 ^ io_dma_mem_wdata[22]; // @[el2_lib.scala 244:74] - wire _T_3007 = _T_3006 ^ io_dma_mem_wdata[23]; // @[el2_lib.scala 244:74] - wire _T_3008 = _T_3007 ^ io_dma_mem_wdata[24]; // @[el2_lib.scala 244:74] - wire _T_3009 = _T_3008 ^ io_dma_mem_wdata[25]; // @[el2_lib.scala 244:74] - wire _T_3025 = io_dma_mem_wdata[11] ^ io_dma_mem_wdata[12]; // @[el2_lib.scala 244:74] - wire _T_3026 = _T_3025 ^ io_dma_mem_wdata[13]; // @[el2_lib.scala 244:74] - wire _T_3027 = _T_3026 ^ io_dma_mem_wdata[14]; // @[el2_lib.scala 244:74] - wire _T_3028 = _T_3027 ^ io_dma_mem_wdata[15]; // @[el2_lib.scala 244:74] - wire _T_3029 = _T_3028 ^ io_dma_mem_wdata[16]; // @[el2_lib.scala 244:74] - wire _T_3030 = _T_3029 ^ io_dma_mem_wdata[17]; // @[el2_lib.scala 244:74] - wire _T_3031 = _T_3030 ^ io_dma_mem_wdata[18]; // @[el2_lib.scala 244:74] - wire _T_3032 = _T_3031 ^ io_dma_mem_wdata[19]; // @[el2_lib.scala 244:74] - wire _T_3033 = _T_3032 ^ io_dma_mem_wdata[20]; // @[el2_lib.scala 244:74] - wire _T_3034 = _T_3033 ^ io_dma_mem_wdata[21]; // @[el2_lib.scala 244:74] - wire _T_3035 = _T_3034 ^ io_dma_mem_wdata[22]; // @[el2_lib.scala 244:74] - wire _T_3036 = _T_3035 ^ io_dma_mem_wdata[23]; // @[el2_lib.scala 244:74] - wire _T_3037 = _T_3036 ^ io_dma_mem_wdata[24]; // @[el2_lib.scala 244:74] - wire _T_3038 = _T_3037 ^ io_dma_mem_wdata[25]; // @[el2_lib.scala 244:74] - wire _T_3045 = io_dma_mem_wdata[26] ^ io_dma_mem_wdata[27]; // @[el2_lib.scala 244:74] - wire _T_3046 = _T_3045 ^ io_dma_mem_wdata[28]; // @[el2_lib.scala 244:74] - wire _T_3047 = _T_3046 ^ io_dma_mem_wdata[29]; // @[el2_lib.scala 244:74] - wire _T_3048 = _T_3047 ^ io_dma_mem_wdata[30]; // @[el2_lib.scala 244:74] - wire _T_3049 = _T_3048 ^ io_dma_mem_wdata[31]; // @[el2_lib.scala 244:74] + wire _T_2871 = ^io_dma_mem_wdata[63:32]; // @[el2_lib.scala 245:13] + wire _T_2872 = ^_T_2870; // @[el2_lib.scala 245:23] + wire _T_2873 = _T_2871 ^ _T_2872; // @[el2_lib.scala 245:18] + wire _T_2894 = io_dma_mem_wdata[0] ^ io_dma_mem_wdata[1]; // @[el2_lib.scala 237:74] + wire _T_2895 = _T_2894 ^ io_dma_mem_wdata[3]; // @[el2_lib.scala 237:74] + wire _T_2896 = _T_2895 ^ io_dma_mem_wdata[4]; // @[el2_lib.scala 237:74] + wire _T_2897 = _T_2896 ^ io_dma_mem_wdata[6]; // @[el2_lib.scala 237:74] + wire _T_2898 = _T_2897 ^ io_dma_mem_wdata[8]; // @[el2_lib.scala 237:74] + wire _T_2899 = _T_2898 ^ io_dma_mem_wdata[10]; // @[el2_lib.scala 237:74] + wire _T_2900 = _T_2899 ^ io_dma_mem_wdata[11]; // @[el2_lib.scala 237:74] + wire _T_2901 = _T_2900 ^ io_dma_mem_wdata[13]; // @[el2_lib.scala 237:74] + wire _T_2902 = _T_2901 ^ io_dma_mem_wdata[15]; // @[el2_lib.scala 237:74] + wire _T_2903 = _T_2902 ^ io_dma_mem_wdata[17]; // @[el2_lib.scala 237:74] + wire _T_2904 = _T_2903 ^ io_dma_mem_wdata[19]; // @[el2_lib.scala 237:74] + wire _T_2905 = _T_2904 ^ io_dma_mem_wdata[21]; // @[el2_lib.scala 237:74] + wire _T_2906 = _T_2905 ^ io_dma_mem_wdata[23]; // @[el2_lib.scala 237:74] + wire _T_2907 = _T_2906 ^ io_dma_mem_wdata[25]; // @[el2_lib.scala 237:74] + wire _T_2908 = _T_2907 ^ io_dma_mem_wdata[26]; // @[el2_lib.scala 237:74] + wire _T_2909 = _T_2908 ^ io_dma_mem_wdata[28]; // @[el2_lib.scala 237:74] + wire _T_2910 = _T_2909 ^ io_dma_mem_wdata[30]; // @[el2_lib.scala 237:74] + wire _T_2929 = io_dma_mem_wdata[0] ^ io_dma_mem_wdata[2]; // @[el2_lib.scala 237:74] + wire _T_2930 = _T_2929 ^ io_dma_mem_wdata[3]; // @[el2_lib.scala 237:74] + wire _T_2931 = _T_2930 ^ io_dma_mem_wdata[5]; // @[el2_lib.scala 237:74] + wire _T_2932 = _T_2931 ^ io_dma_mem_wdata[6]; // @[el2_lib.scala 237:74] + wire _T_2933 = _T_2932 ^ io_dma_mem_wdata[9]; // @[el2_lib.scala 237:74] + wire _T_2934 = _T_2933 ^ io_dma_mem_wdata[10]; // @[el2_lib.scala 237:74] + wire _T_2935 = _T_2934 ^ io_dma_mem_wdata[12]; // @[el2_lib.scala 237:74] + wire _T_2936 = _T_2935 ^ io_dma_mem_wdata[13]; // @[el2_lib.scala 237:74] + wire _T_2937 = _T_2936 ^ io_dma_mem_wdata[16]; // @[el2_lib.scala 237:74] + wire _T_2938 = _T_2937 ^ io_dma_mem_wdata[17]; // @[el2_lib.scala 237:74] + wire _T_2939 = _T_2938 ^ io_dma_mem_wdata[20]; // @[el2_lib.scala 237:74] + wire _T_2940 = _T_2939 ^ io_dma_mem_wdata[21]; // @[el2_lib.scala 237:74] + wire _T_2941 = _T_2940 ^ io_dma_mem_wdata[24]; // @[el2_lib.scala 237:74] + wire _T_2942 = _T_2941 ^ io_dma_mem_wdata[25]; // @[el2_lib.scala 237:74] + wire _T_2943 = _T_2942 ^ io_dma_mem_wdata[27]; // @[el2_lib.scala 237:74] + wire _T_2944 = _T_2943 ^ io_dma_mem_wdata[28]; // @[el2_lib.scala 237:74] + wire _T_2945 = _T_2944 ^ io_dma_mem_wdata[31]; // @[el2_lib.scala 237:74] + wire _T_2964 = io_dma_mem_wdata[1] ^ io_dma_mem_wdata[2]; // @[el2_lib.scala 237:74] + wire _T_2965 = _T_2964 ^ io_dma_mem_wdata[3]; // @[el2_lib.scala 237:74] + wire _T_2966 = _T_2965 ^ io_dma_mem_wdata[7]; // @[el2_lib.scala 237:74] + wire _T_2967 = _T_2966 ^ io_dma_mem_wdata[8]; // @[el2_lib.scala 237:74] + wire _T_2968 = _T_2967 ^ io_dma_mem_wdata[9]; // @[el2_lib.scala 237:74] + wire _T_2969 = _T_2968 ^ io_dma_mem_wdata[10]; // @[el2_lib.scala 237:74] + wire _T_2970 = _T_2969 ^ io_dma_mem_wdata[14]; // @[el2_lib.scala 237:74] + wire _T_2971 = _T_2970 ^ io_dma_mem_wdata[15]; // @[el2_lib.scala 237:74] + wire _T_2972 = _T_2971 ^ io_dma_mem_wdata[16]; // @[el2_lib.scala 237:74] + wire _T_2973 = _T_2972 ^ io_dma_mem_wdata[17]; // @[el2_lib.scala 237:74] + wire _T_2974 = _T_2973 ^ io_dma_mem_wdata[22]; // @[el2_lib.scala 237:74] + wire _T_2975 = _T_2974 ^ io_dma_mem_wdata[23]; // @[el2_lib.scala 237:74] + wire _T_2976 = _T_2975 ^ io_dma_mem_wdata[24]; // @[el2_lib.scala 237:74] + wire _T_2977 = _T_2976 ^ io_dma_mem_wdata[25]; // @[el2_lib.scala 237:74] + wire _T_2978 = _T_2977 ^ io_dma_mem_wdata[29]; // @[el2_lib.scala 237:74] + wire _T_2979 = _T_2978 ^ io_dma_mem_wdata[30]; // @[el2_lib.scala 237:74] + wire _T_2980 = _T_2979 ^ io_dma_mem_wdata[31]; // @[el2_lib.scala 237:74] + wire _T_2996 = io_dma_mem_wdata[4] ^ io_dma_mem_wdata[5]; // @[el2_lib.scala 237:74] + wire _T_2997 = _T_2996 ^ io_dma_mem_wdata[6]; // @[el2_lib.scala 237:74] + wire _T_2998 = _T_2997 ^ io_dma_mem_wdata[7]; // @[el2_lib.scala 237:74] + wire _T_2999 = _T_2998 ^ io_dma_mem_wdata[8]; // @[el2_lib.scala 237:74] + wire _T_3000 = _T_2999 ^ io_dma_mem_wdata[9]; // @[el2_lib.scala 237:74] + wire _T_3001 = _T_3000 ^ io_dma_mem_wdata[10]; // @[el2_lib.scala 237:74] + wire _T_3002 = _T_3001 ^ io_dma_mem_wdata[18]; // @[el2_lib.scala 237:74] + wire _T_3003 = _T_3002 ^ io_dma_mem_wdata[19]; // @[el2_lib.scala 237:74] + wire _T_3004 = _T_3003 ^ io_dma_mem_wdata[20]; // @[el2_lib.scala 237:74] + wire _T_3005 = _T_3004 ^ io_dma_mem_wdata[21]; // @[el2_lib.scala 237:74] + wire _T_3006 = _T_3005 ^ io_dma_mem_wdata[22]; // @[el2_lib.scala 237:74] + wire _T_3007 = _T_3006 ^ io_dma_mem_wdata[23]; // @[el2_lib.scala 237:74] + wire _T_3008 = _T_3007 ^ io_dma_mem_wdata[24]; // @[el2_lib.scala 237:74] + wire _T_3009 = _T_3008 ^ io_dma_mem_wdata[25]; // @[el2_lib.scala 237:74] + wire _T_3025 = io_dma_mem_wdata[11] ^ io_dma_mem_wdata[12]; // @[el2_lib.scala 237:74] + wire _T_3026 = _T_3025 ^ io_dma_mem_wdata[13]; // @[el2_lib.scala 237:74] + wire _T_3027 = _T_3026 ^ io_dma_mem_wdata[14]; // @[el2_lib.scala 237:74] + wire _T_3028 = _T_3027 ^ io_dma_mem_wdata[15]; // @[el2_lib.scala 237:74] + wire _T_3029 = _T_3028 ^ io_dma_mem_wdata[16]; // @[el2_lib.scala 237:74] + wire _T_3030 = _T_3029 ^ io_dma_mem_wdata[17]; // @[el2_lib.scala 237:74] + wire _T_3031 = _T_3030 ^ io_dma_mem_wdata[18]; // @[el2_lib.scala 237:74] + wire _T_3032 = _T_3031 ^ io_dma_mem_wdata[19]; // @[el2_lib.scala 237:74] + wire _T_3033 = _T_3032 ^ io_dma_mem_wdata[20]; // @[el2_lib.scala 237:74] + wire _T_3034 = _T_3033 ^ io_dma_mem_wdata[21]; // @[el2_lib.scala 237:74] + wire _T_3035 = _T_3034 ^ io_dma_mem_wdata[22]; // @[el2_lib.scala 237:74] + wire _T_3036 = _T_3035 ^ io_dma_mem_wdata[23]; // @[el2_lib.scala 237:74] + wire _T_3037 = _T_3036 ^ io_dma_mem_wdata[24]; // @[el2_lib.scala 237:74] + wire _T_3038 = _T_3037 ^ io_dma_mem_wdata[25]; // @[el2_lib.scala 237:74] + wire _T_3045 = io_dma_mem_wdata[26] ^ io_dma_mem_wdata[27]; // @[el2_lib.scala 237:74] + wire _T_3046 = _T_3045 ^ io_dma_mem_wdata[28]; // @[el2_lib.scala 237:74] + wire _T_3047 = _T_3046 ^ io_dma_mem_wdata[29]; // @[el2_lib.scala 237:74] + wire _T_3048 = _T_3047 ^ io_dma_mem_wdata[30]; // @[el2_lib.scala 237:74] + wire _T_3049 = _T_3048 ^ io_dma_mem_wdata[31]; // @[el2_lib.scala 237:74] wire [5:0] _T_3054 = {_T_3049,_T_3038,_T_3009,_T_2980,_T_2945,_T_2910}; // @[Cat.scala 29:58] - wire _T_3055 = ^io_dma_mem_wdata[31:0]; // @[el2_lib.scala 252:13] - wire _T_3056 = ^_T_3054; // @[el2_lib.scala 252:23] - wire _T_3057 = _T_3055 ^ _T_3056; // @[el2_lib.scala 252:18] + wire _T_3055 = ^io_dma_mem_wdata[31:0]; // @[el2_lib.scala 245:13] + wire _T_3056 = ^_T_3054; // @[el2_lib.scala 245:23] + wire _T_3057 = _T_3055 ^ _T_3056; // @[el2_lib.scala 245:18] wire [6:0] _T_3058 = {_T_3057,_T_3049,_T_3038,_T_3009,_T_2980,_T_2945,_T_2910}; // @[Cat.scala 29:58] wire [13:0] dma_mem_ecc = {_T_2873,_T_2865,_T_2854,_T_2825,_T_2796,_T_2761,_T_2726,_T_3058}; // @[Cat.scala 29:58] wire _T_3060 = ~_T_2678; // @[el2_ifu_mem_ctl.scala 639:45] @@ -3278,109 +3310,109 @@ module el2_ifu_mem_ctl( wire [77:0] _T_3062 = {iccm_ecc_corr_data_ff,iccm_ecc_corr_data_ff}; // @[Cat.scala 29:58] wire [77:0] _T_3069 = {dma_mem_ecc[13:7],io_dma_mem_wdata[63:32],dma_mem_ecc[6:0],io_dma_mem_wdata[31:0]}; // @[Cat.scala 29:58] reg [1:0] dma_mem_addr_ff; // @[el2_ifu_mem_ctl.scala 653:53] - wire _T_3402 = _T_3314[5:0] == 6'h27; // @[el2_lib.scala 324:41] - wire _T_3400 = _T_3314[5:0] == 6'h26; // @[el2_lib.scala 324:41] - wire _T_3398 = _T_3314[5:0] == 6'h25; // @[el2_lib.scala 324:41] - wire _T_3396 = _T_3314[5:0] == 6'h24; // @[el2_lib.scala 324:41] - wire _T_3394 = _T_3314[5:0] == 6'h23; // @[el2_lib.scala 324:41] - wire _T_3392 = _T_3314[5:0] == 6'h22; // @[el2_lib.scala 324:41] - wire _T_3390 = _T_3314[5:0] == 6'h21; // @[el2_lib.scala 324:41] - wire _T_3388 = _T_3314[5:0] == 6'h20; // @[el2_lib.scala 324:41] - wire _T_3386 = _T_3314[5:0] == 6'h1f; // @[el2_lib.scala 324:41] - wire _T_3384 = _T_3314[5:0] == 6'h1e; // @[el2_lib.scala 324:41] - wire [9:0] _T_3460 = {_T_3402,_T_3400,_T_3398,_T_3396,_T_3394,_T_3392,_T_3390,_T_3388,_T_3386,_T_3384}; // @[el2_lib.scala 327:69] - wire _T_3382 = _T_3314[5:0] == 6'h1d; // @[el2_lib.scala 324:41] - wire _T_3380 = _T_3314[5:0] == 6'h1c; // @[el2_lib.scala 324:41] - wire _T_3378 = _T_3314[5:0] == 6'h1b; // @[el2_lib.scala 324:41] - wire _T_3376 = _T_3314[5:0] == 6'h1a; // @[el2_lib.scala 324:41] - wire _T_3374 = _T_3314[5:0] == 6'h19; // @[el2_lib.scala 324:41] - wire _T_3372 = _T_3314[5:0] == 6'h18; // @[el2_lib.scala 324:41] - wire _T_3370 = _T_3314[5:0] == 6'h17; // @[el2_lib.scala 324:41] - wire _T_3368 = _T_3314[5:0] == 6'h16; // @[el2_lib.scala 324:41] - wire _T_3366 = _T_3314[5:0] == 6'h15; // @[el2_lib.scala 324:41] - wire _T_3364 = _T_3314[5:0] == 6'h14; // @[el2_lib.scala 324:41] - wire [9:0] _T_3451 = {_T_3382,_T_3380,_T_3378,_T_3376,_T_3374,_T_3372,_T_3370,_T_3368,_T_3366,_T_3364}; // @[el2_lib.scala 327:69] - wire _T_3362 = _T_3314[5:0] == 6'h13; // @[el2_lib.scala 324:41] - wire _T_3360 = _T_3314[5:0] == 6'h12; // @[el2_lib.scala 324:41] - wire _T_3358 = _T_3314[5:0] == 6'h11; // @[el2_lib.scala 324:41] - wire _T_3356 = _T_3314[5:0] == 6'h10; // @[el2_lib.scala 324:41] - wire _T_3354 = _T_3314[5:0] == 6'hf; // @[el2_lib.scala 324:41] - wire _T_3352 = _T_3314[5:0] == 6'he; // @[el2_lib.scala 324:41] - wire _T_3350 = _T_3314[5:0] == 6'hd; // @[el2_lib.scala 324:41] - wire _T_3348 = _T_3314[5:0] == 6'hc; // @[el2_lib.scala 324:41] - wire _T_3346 = _T_3314[5:0] == 6'hb; // @[el2_lib.scala 324:41] - wire _T_3344 = _T_3314[5:0] == 6'ha; // @[el2_lib.scala 324:41] - wire [9:0] _T_3441 = {_T_3362,_T_3360,_T_3358,_T_3356,_T_3354,_T_3352,_T_3350,_T_3348,_T_3346,_T_3344}; // @[el2_lib.scala 327:69] - wire _T_3342 = _T_3314[5:0] == 6'h9; // @[el2_lib.scala 324:41] - wire _T_3340 = _T_3314[5:0] == 6'h8; // @[el2_lib.scala 324:41] - wire _T_3338 = _T_3314[5:0] == 6'h7; // @[el2_lib.scala 324:41] - wire _T_3336 = _T_3314[5:0] == 6'h6; // @[el2_lib.scala 324:41] - wire _T_3334 = _T_3314[5:0] == 6'h5; // @[el2_lib.scala 324:41] - wire _T_3332 = _T_3314[5:0] == 6'h4; // @[el2_lib.scala 324:41] - wire _T_3330 = _T_3314[5:0] == 6'h3; // @[el2_lib.scala 324:41] - wire _T_3328 = _T_3314[5:0] == 6'h2; // @[el2_lib.scala 324:41] - wire _T_3326 = _T_3314[5:0] == 6'h1; // @[el2_lib.scala 324:41] - wire [18:0] _T_3442 = {_T_3441,_T_3342,_T_3340,_T_3338,_T_3336,_T_3334,_T_3332,_T_3330,_T_3328,_T_3326}; // @[el2_lib.scala 327:69] - wire [38:0] _T_3462 = {_T_3460,_T_3451,_T_3442}; // @[el2_lib.scala 327:69] + wire _T_3402 = _T_3314[5:0] == 6'h27; // @[el2_lib.scala 317:41] + wire _T_3400 = _T_3314[5:0] == 6'h26; // @[el2_lib.scala 317:41] + wire _T_3398 = _T_3314[5:0] == 6'h25; // @[el2_lib.scala 317:41] + wire _T_3396 = _T_3314[5:0] == 6'h24; // @[el2_lib.scala 317:41] + wire _T_3394 = _T_3314[5:0] == 6'h23; // @[el2_lib.scala 317:41] + wire _T_3392 = _T_3314[5:0] == 6'h22; // @[el2_lib.scala 317:41] + wire _T_3390 = _T_3314[5:0] == 6'h21; // @[el2_lib.scala 317:41] + wire _T_3388 = _T_3314[5:0] == 6'h20; // @[el2_lib.scala 317:41] + wire _T_3386 = _T_3314[5:0] == 6'h1f; // @[el2_lib.scala 317:41] + wire _T_3384 = _T_3314[5:0] == 6'h1e; // @[el2_lib.scala 317:41] + wire [9:0] _T_3460 = {_T_3402,_T_3400,_T_3398,_T_3396,_T_3394,_T_3392,_T_3390,_T_3388,_T_3386,_T_3384}; // @[el2_lib.scala 320:69] + wire _T_3382 = _T_3314[5:0] == 6'h1d; // @[el2_lib.scala 317:41] + wire _T_3380 = _T_3314[5:0] == 6'h1c; // @[el2_lib.scala 317:41] + wire _T_3378 = _T_3314[5:0] == 6'h1b; // @[el2_lib.scala 317:41] + wire _T_3376 = _T_3314[5:0] == 6'h1a; // @[el2_lib.scala 317:41] + wire _T_3374 = _T_3314[5:0] == 6'h19; // @[el2_lib.scala 317:41] + wire _T_3372 = _T_3314[5:0] == 6'h18; // @[el2_lib.scala 317:41] + wire _T_3370 = _T_3314[5:0] == 6'h17; // @[el2_lib.scala 317:41] + wire _T_3368 = _T_3314[5:0] == 6'h16; // @[el2_lib.scala 317:41] + wire _T_3366 = _T_3314[5:0] == 6'h15; // @[el2_lib.scala 317:41] + wire _T_3364 = _T_3314[5:0] == 6'h14; // @[el2_lib.scala 317:41] + wire [9:0] _T_3451 = {_T_3382,_T_3380,_T_3378,_T_3376,_T_3374,_T_3372,_T_3370,_T_3368,_T_3366,_T_3364}; // @[el2_lib.scala 320:69] + wire _T_3362 = _T_3314[5:0] == 6'h13; // @[el2_lib.scala 317:41] + wire _T_3360 = _T_3314[5:0] == 6'h12; // @[el2_lib.scala 317:41] + wire _T_3358 = _T_3314[5:0] == 6'h11; // @[el2_lib.scala 317:41] + wire _T_3356 = _T_3314[5:0] == 6'h10; // @[el2_lib.scala 317:41] + wire _T_3354 = _T_3314[5:0] == 6'hf; // @[el2_lib.scala 317:41] + wire _T_3352 = _T_3314[5:0] == 6'he; // @[el2_lib.scala 317:41] + wire _T_3350 = _T_3314[5:0] == 6'hd; // @[el2_lib.scala 317:41] + wire _T_3348 = _T_3314[5:0] == 6'hc; // @[el2_lib.scala 317:41] + wire _T_3346 = _T_3314[5:0] == 6'hb; // @[el2_lib.scala 317:41] + wire _T_3344 = _T_3314[5:0] == 6'ha; // @[el2_lib.scala 317:41] + wire [9:0] _T_3441 = {_T_3362,_T_3360,_T_3358,_T_3356,_T_3354,_T_3352,_T_3350,_T_3348,_T_3346,_T_3344}; // @[el2_lib.scala 320:69] + wire _T_3342 = _T_3314[5:0] == 6'h9; // @[el2_lib.scala 317:41] + wire _T_3340 = _T_3314[5:0] == 6'h8; // @[el2_lib.scala 317:41] + wire _T_3338 = _T_3314[5:0] == 6'h7; // @[el2_lib.scala 317:41] + wire _T_3336 = _T_3314[5:0] == 6'h6; // @[el2_lib.scala 317:41] + wire _T_3334 = _T_3314[5:0] == 6'h5; // @[el2_lib.scala 317:41] + wire _T_3332 = _T_3314[5:0] == 6'h4; // @[el2_lib.scala 317:41] + wire _T_3330 = _T_3314[5:0] == 6'h3; // @[el2_lib.scala 317:41] + wire _T_3328 = _T_3314[5:0] == 6'h2; // @[el2_lib.scala 317:41] + wire _T_3326 = _T_3314[5:0] == 6'h1; // @[el2_lib.scala 317:41] + wire [18:0] _T_3442 = {_T_3441,_T_3342,_T_3340,_T_3338,_T_3336,_T_3334,_T_3332,_T_3330,_T_3328,_T_3326}; // @[el2_lib.scala 320:69] + wire [38:0] _T_3462 = {_T_3460,_T_3451,_T_3442}; // @[el2_lib.scala 320:69] wire [7:0] _T_3417 = {io_iccm_rd_data_ecc[35],io_iccm_rd_data_ecc[3:1],io_iccm_rd_data_ecc[34],io_iccm_rd_data_ecc[0],io_iccm_rd_data_ecc[33:32]}; // @[Cat.scala 29:58] wire [38:0] _T_3423 = {io_iccm_rd_data_ecc[38],io_iccm_rd_data_ecc[31:26],io_iccm_rd_data_ecc[37],io_iccm_rd_data_ecc[25:11],io_iccm_rd_data_ecc[36],io_iccm_rd_data_ecc[10:4],_T_3417}; // @[Cat.scala 29:58] - wire [38:0] _T_3463 = _T_3462 ^ _T_3423; // @[el2_lib.scala 327:76] - wire [38:0] _T_3464 = _T_3318 ? _T_3463 : _T_3423; // @[el2_lib.scala 327:31] + wire [38:0] _T_3463 = _T_3462 ^ _T_3423; // @[el2_lib.scala 320:76] + wire [38:0] _T_3464 = _T_3318 ? _T_3463 : _T_3423; // @[el2_lib.scala 320:31] wire [31:0] iccm_corrected_data_0 = {_T_3464[37:32],_T_3464[30:16],_T_3464[14:8],_T_3464[6:4],_T_3464[2]}; // @[Cat.scala 29:58] - wire _T_3787 = _T_3699[5:0] == 6'h27; // @[el2_lib.scala 324:41] - wire _T_3785 = _T_3699[5:0] == 6'h26; // @[el2_lib.scala 324:41] - wire _T_3783 = _T_3699[5:0] == 6'h25; // @[el2_lib.scala 324:41] - wire _T_3781 = _T_3699[5:0] == 6'h24; // @[el2_lib.scala 324:41] - wire _T_3779 = _T_3699[5:0] == 6'h23; // @[el2_lib.scala 324:41] - wire _T_3777 = _T_3699[5:0] == 6'h22; // @[el2_lib.scala 324:41] - wire _T_3775 = _T_3699[5:0] == 6'h21; // @[el2_lib.scala 324:41] - wire _T_3773 = _T_3699[5:0] == 6'h20; // @[el2_lib.scala 324:41] - wire _T_3771 = _T_3699[5:0] == 6'h1f; // @[el2_lib.scala 324:41] - wire _T_3769 = _T_3699[5:0] == 6'h1e; // @[el2_lib.scala 324:41] - wire [9:0] _T_3845 = {_T_3787,_T_3785,_T_3783,_T_3781,_T_3779,_T_3777,_T_3775,_T_3773,_T_3771,_T_3769}; // @[el2_lib.scala 327:69] - wire _T_3767 = _T_3699[5:0] == 6'h1d; // @[el2_lib.scala 324:41] - wire _T_3765 = _T_3699[5:0] == 6'h1c; // @[el2_lib.scala 324:41] - wire _T_3763 = _T_3699[5:0] == 6'h1b; // @[el2_lib.scala 324:41] - wire _T_3761 = _T_3699[5:0] == 6'h1a; // @[el2_lib.scala 324:41] - wire _T_3759 = _T_3699[5:0] == 6'h19; // @[el2_lib.scala 324:41] - wire _T_3757 = _T_3699[5:0] == 6'h18; // @[el2_lib.scala 324:41] - wire _T_3755 = _T_3699[5:0] == 6'h17; // @[el2_lib.scala 324:41] - wire _T_3753 = _T_3699[5:0] == 6'h16; // @[el2_lib.scala 324:41] - wire _T_3751 = _T_3699[5:0] == 6'h15; // @[el2_lib.scala 324:41] - wire _T_3749 = _T_3699[5:0] == 6'h14; // @[el2_lib.scala 324:41] - wire [9:0] _T_3836 = {_T_3767,_T_3765,_T_3763,_T_3761,_T_3759,_T_3757,_T_3755,_T_3753,_T_3751,_T_3749}; // @[el2_lib.scala 327:69] - wire _T_3747 = _T_3699[5:0] == 6'h13; // @[el2_lib.scala 324:41] - wire _T_3745 = _T_3699[5:0] == 6'h12; // @[el2_lib.scala 324:41] - wire _T_3743 = _T_3699[5:0] == 6'h11; // @[el2_lib.scala 324:41] - wire _T_3741 = _T_3699[5:0] == 6'h10; // @[el2_lib.scala 324:41] - wire _T_3739 = _T_3699[5:0] == 6'hf; // @[el2_lib.scala 324:41] - wire _T_3737 = _T_3699[5:0] == 6'he; // @[el2_lib.scala 324:41] - wire _T_3735 = _T_3699[5:0] == 6'hd; // @[el2_lib.scala 324:41] - wire _T_3733 = _T_3699[5:0] == 6'hc; // @[el2_lib.scala 324:41] - wire _T_3731 = _T_3699[5:0] == 6'hb; // @[el2_lib.scala 324:41] - wire _T_3729 = _T_3699[5:0] == 6'ha; // @[el2_lib.scala 324:41] - wire [9:0] _T_3826 = {_T_3747,_T_3745,_T_3743,_T_3741,_T_3739,_T_3737,_T_3735,_T_3733,_T_3731,_T_3729}; // @[el2_lib.scala 327:69] - wire _T_3727 = _T_3699[5:0] == 6'h9; // @[el2_lib.scala 324:41] - wire _T_3725 = _T_3699[5:0] == 6'h8; // @[el2_lib.scala 324:41] - wire _T_3723 = _T_3699[5:0] == 6'h7; // @[el2_lib.scala 324:41] - wire _T_3721 = _T_3699[5:0] == 6'h6; // @[el2_lib.scala 324:41] - wire _T_3719 = _T_3699[5:0] == 6'h5; // @[el2_lib.scala 324:41] - wire _T_3717 = _T_3699[5:0] == 6'h4; // @[el2_lib.scala 324:41] - wire _T_3715 = _T_3699[5:0] == 6'h3; // @[el2_lib.scala 324:41] - wire _T_3713 = _T_3699[5:0] == 6'h2; // @[el2_lib.scala 324:41] - wire _T_3711 = _T_3699[5:0] == 6'h1; // @[el2_lib.scala 324:41] - wire [18:0] _T_3827 = {_T_3826,_T_3727,_T_3725,_T_3723,_T_3721,_T_3719,_T_3717,_T_3715,_T_3713,_T_3711}; // @[el2_lib.scala 327:69] - wire [38:0] _T_3847 = {_T_3845,_T_3836,_T_3827}; // @[el2_lib.scala 327:69] + wire _T_3787 = _T_3699[5:0] == 6'h27; // @[el2_lib.scala 317:41] + wire _T_3785 = _T_3699[5:0] == 6'h26; // @[el2_lib.scala 317:41] + wire _T_3783 = _T_3699[5:0] == 6'h25; // @[el2_lib.scala 317:41] + wire _T_3781 = _T_3699[5:0] == 6'h24; // @[el2_lib.scala 317:41] + wire _T_3779 = _T_3699[5:0] == 6'h23; // @[el2_lib.scala 317:41] + wire _T_3777 = _T_3699[5:0] == 6'h22; // @[el2_lib.scala 317:41] + wire _T_3775 = _T_3699[5:0] == 6'h21; // @[el2_lib.scala 317:41] + wire _T_3773 = _T_3699[5:0] == 6'h20; // @[el2_lib.scala 317:41] + wire _T_3771 = _T_3699[5:0] == 6'h1f; // @[el2_lib.scala 317:41] + wire _T_3769 = _T_3699[5:0] == 6'h1e; // @[el2_lib.scala 317:41] + wire [9:0] _T_3845 = {_T_3787,_T_3785,_T_3783,_T_3781,_T_3779,_T_3777,_T_3775,_T_3773,_T_3771,_T_3769}; // @[el2_lib.scala 320:69] + wire _T_3767 = _T_3699[5:0] == 6'h1d; // @[el2_lib.scala 317:41] + wire _T_3765 = _T_3699[5:0] == 6'h1c; // @[el2_lib.scala 317:41] + wire _T_3763 = _T_3699[5:0] == 6'h1b; // @[el2_lib.scala 317:41] + wire _T_3761 = _T_3699[5:0] == 6'h1a; // @[el2_lib.scala 317:41] + wire _T_3759 = _T_3699[5:0] == 6'h19; // @[el2_lib.scala 317:41] + wire _T_3757 = _T_3699[5:0] == 6'h18; // @[el2_lib.scala 317:41] + wire _T_3755 = _T_3699[5:0] == 6'h17; // @[el2_lib.scala 317:41] + wire _T_3753 = _T_3699[5:0] == 6'h16; // @[el2_lib.scala 317:41] + wire _T_3751 = _T_3699[5:0] == 6'h15; // @[el2_lib.scala 317:41] + wire _T_3749 = _T_3699[5:0] == 6'h14; // @[el2_lib.scala 317:41] + wire [9:0] _T_3836 = {_T_3767,_T_3765,_T_3763,_T_3761,_T_3759,_T_3757,_T_3755,_T_3753,_T_3751,_T_3749}; // @[el2_lib.scala 320:69] + wire _T_3747 = _T_3699[5:0] == 6'h13; // @[el2_lib.scala 317:41] + wire _T_3745 = _T_3699[5:0] == 6'h12; // @[el2_lib.scala 317:41] + wire _T_3743 = _T_3699[5:0] == 6'h11; // @[el2_lib.scala 317:41] + wire _T_3741 = _T_3699[5:0] == 6'h10; // @[el2_lib.scala 317:41] + wire _T_3739 = _T_3699[5:0] == 6'hf; // @[el2_lib.scala 317:41] + wire _T_3737 = _T_3699[5:0] == 6'he; // @[el2_lib.scala 317:41] + wire _T_3735 = _T_3699[5:0] == 6'hd; // @[el2_lib.scala 317:41] + wire _T_3733 = _T_3699[5:0] == 6'hc; // @[el2_lib.scala 317:41] + wire _T_3731 = _T_3699[5:0] == 6'hb; // @[el2_lib.scala 317:41] + wire _T_3729 = _T_3699[5:0] == 6'ha; // @[el2_lib.scala 317:41] + wire [9:0] _T_3826 = {_T_3747,_T_3745,_T_3743,_T_3741,_T_3739,_T_3737,_T_3735,_T_3733,_T_3731,_T_3729}; // @[el2_lib.scala 320:69] + wire _T_3727 = _T_3699[5:0] == 6'h9; // @[el2_lib.scala 317:41] + wire _T_3725 = _T_3699[5:0] == 6'h8; // @[el2_lib.scala 317:41] + wire _T_3723 = _T_3699[5:0] == 6'h7; // @[el2_lib.scala 317:41] + wire _T_3721 = _T_3699[5:0] == 6'h6; // @[el2_lib.scala 317:41] + wire _T_3719 = _T_3699[5:0] == 6'h5; // @[el2_lib.scala 317:41] + wire _T_3717 = _T_3699[5:0] == 6'h4; // @[el2_lib.scala 317:41] + wire _T_3715 = _T_3699[5:0] == 6'h3; // @[el2_lib.scala 317:41] + wire _T_3713 = _T_3699[5:0] == 6'h2; // @[el2_lib.scala 317:41] + wire _T_3711 = _T_3699[5:0] == 6'h1; // @[el2_lib.scala 317:41] + wire [18:0] _T_3827 = {_T_3826,_T_3727,_T_3725,_T_3723,_T_3721,_T_3719,_T_3717,_T_3715,_T_3713,_T_3711}; // @[el2_lib.scala 320:69] + wire [38:0] _T_3847 = {_T_3845,_T_3836,_T_3827}; // @[el2_lib.scala 320:69] wire [7:0] _T_3802 = {io_iccm_rd_data_ecc[74],io_iccm_rd_data_ecc[42:40],io_iccm_rd_data_ecc[73],io_iccm_rd_data_ecc[39],io_iccm_rd_data_ecc[72:71]}; // @[Cat.scala 29:58] wire [38:0] _T_3808 = {io_iccm_rd_data_ecc[77],io_iccm_rd_data_ecc[70:65],io_iccm_rd_data_ecc[76],io_iccm_rd_data_ecc[64:50],io_iccm_rd_data_ecc[75],io_iccm_rd_data_ecc[49:43],_T_3802}; // @[Cat.scala 29:58] - wire [38:0] _T_3848 = _T_3847 ^ _T_3808; // @[el2_lib.scala 327:76] - wire [38:0] _T_3849 = _T_3703 ? _T_3848 : _T_3808; // @[el2_lib.scala 327:31] + wire [38:0] _T_3848 = _T_3847 ^ _T_3808; // @[el2_lib.scala 320:76] + wire [38:0] _T_3849 = _T_3703 ? _T_3848 : _T_3808; // @[el2_lib.scala 320:31] wire [31:0] iccm_corrected_data_1 = {_T_3849[37:32],_T_3849[30:16],_T_3849[14:8],_T_3849[6:4],_T_3849[2]}; // @[Cat.scala 29:58] wire [31:0] iccm_dma_rdata_1_muxed = dma_mem_addr_ff[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 645:35] - wire _T_3322 = ~_T_3314[6]; // @[el2_lib.scala 320:55] - wire _T_3323 = _T_3316 & _T_3322; // @[el2_lib.scala 320:53] - wire _T_3707 = ~_T_3699[6]; // @[el2_lib.scala 320:55] - wire _T_3708 = _T_3701 & _T_3707; // @[el2_lib.scala 320:53] + wire _T_3322 = ~_T_3314[6]; // @[el2_lib.scala 313:55] + wire _T_3323 = _T_3316 & _T_3322; // @[el2_lib.scala 313:53] + wire _T_3707 = ~_T_3699[6]; // @[el2_lib.scala 313:55] + wire _T_3708 = _T_3701 & _T_3707; // @[el2_lib.scala 313:53] wire [1:0] iccm_double_ecc_error = {_T_3323,_T_3708}; // @[Cat.scala 29:58] wire iccm_dma_ecc_error_in = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 647:53] wire [63:0] _T_3073 = {io_dma_mem_addr,io_dma_mem_addr}; // @[Cat.scala 29:58] @@ -3394,11 +3426,11 @@ module el2_ifu_mem_ctl( reg [13:0] iccm_ecc_corr_index_ff; // @[Reg.scala 27:20] wire [14:0] _T_3084 = {iccm_ecc_corr_index_ff,1'h0}; // @[Cat.scala 29:58] wire [14:0] _T_3086 = _T_3083 ? _T_3084 : io_ifc_fetch_addr_bf[14:0]; // @[el2_ifu_mem_ctl.scala 663:8] - wire _T_3476 = _T_3314 == 7'h40; // @[el2_lib.scala 330:62] - wire _T_3477 = _T_3464[38] ^ _T_3476; // @[el2_lib.scala 330:44] + wire _T_3476 = _T_3314 == 7'h40; // @[el2_lib.scala 323:62] + wire _T_3477 = _T_3464[38] ^ _T_3476; // @[el2_lib.scala 323:44] wire [6:0] iccm_corrected_ecc_0 = {_T_3477,_T_3464[31],_T_3464[15],_T_3464[7],_T_3464[3],_T_3464[1:0]}; // @[Cat.scala 29:58] - wire _T_3861 = _T_3699 == 7'h40; // @[el2_lib.scala 330:62] - wire _T_3862 = _T_3849[38] ^ _T_3861; // @[el2_lib.scala 330:44] + wire _T_3861 = _T_3699 == 7'h40; // @[el2_lib.scala 323:62] + wire _T_3862 = _T_3849[38] ^ _T_3861; // @[el2_lib.scala 323:44] wire [6:0] iccm_corrected_ecc_1 = {_T_3862,_T_3849[31],_T_3849[15],_T_3849[7],_T_3849[3],_T_3849[1:0]}; // @[Cat.scala 29:58] wire _T_3878 = _T_3 & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 675:58] wire [31:0] iccm_corrected_data_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 677:38] @@ -5113,6 +5145,18 @@ module el2_ifu_mem_ctl( wire [3:0] _T_10417 = {_T_10408,_T_10410,_T_10412,_T_10414}; // @[Cat.scala 29:58] wire ic_debug_ict_array_sel_in = io_ic_debug_rd_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 830:53] reg _T_10428; // @[Reg.scala 27:20] + rvclkhdr rvclkhdr ( // @[el2_lib.scala 461:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 461:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); assign io_ifu_miss_state_idle = miss_state == 3'h0; // @[el2_ifu_mem_ctl.scala 328:26] assign io_ifu_ic_mb_empty = _T_326 | _T_231; // @[el2_ifu_mem_ctl.scala 327:22] assign io_ic_dma_active = _T_11 | io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 192:20] @@ -5188,6 +5232,12 @@ module el2_ifu_mem_ctl( assign io_ifu_ic_debug_rd_data_valid = _T_10428; // @[el2_ifu_mem_ctl.scala 834:33] assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2462; // @[el2_ifu_mem_ctl.scala 479:27] assign io_iccm_correction_state = _T_2490 ? 1'h0 : _GEN_60; // @[el2_ifu_mem_ctl.scala 514:28 el2_ifu_mem_ctl.scala 527:32 el2_ifu_mem_ctl.scala 534:32 el2_ifu_mem_ctl.scala 541:32] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 462:17] + assign rvclkhdr_io_en = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_lib.scala 463:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 464:23] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 462:17] + assign rvclkhdr_1_io_en = _T_2 | scnd_miss_req; // @[el2_lib.scala 463:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 464:23] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -6260,43 +6310,11 @@ end // initial miss_state <= 3'h0; end end - if (reset) begin - ifu_fetch_addr_int_f <= 31'h0; - end else begin - ifu_fetch_addr_int_f <= io_ifc_fetch_addr_bf; - end - if (reset) begin - ifc_iccm_access_f <= 1'h0; - end else begin - ifc_iccm_access_f <= io_ifc_iccm_access_bf; - end - if (reset) begin - ifc_region_acc_fault_final_f <= 1'h0; - end else begin - ifc_region_acc_fault_final_f <= io_ifc_region_acc_fault_bf; - end if (reset) begin ifu_bus_rvalid_unq_ff <= 1'h0; end else if (io_ifu_bus_clk_en) begin ifu_bus_rvalid_unq_ff <= io_ifu_axi_rvalid; end - if (reset) begin - uncacheable_miss_ff <= 1'h0; - end else if (scnd_miss_req) begin - uncacheable_miss_ff <= uncacheable_miss_scnd_ff; - end else if (!(sel_hold_imb)) begin - uncacheable_miss_ff <= io_ifc_fetch_uncacheable_bf; - end - if (scnd_miss_req) begin - imb_ff <= imb_scnd_ff; - end else if (!(sel_hold_imb)) begin - imb_ff <= io_ifc_fetch_addr_bf; - end - if (reset) begin - way_status_mb_scnd_ff <= 1'h0; - end else if (!(_T_19)) begin - way_status_mb_scnd_ff <= way_status; - end if (reset) begin way_status_out_0 <= 1'h0; end else if (_T_3989) begin @@ -6937,21 +6955,6 @@ end // initial end else if (_T_4624) begin way_status_out_127 <= way_status_new_ff; end - if (reset) begin - tagv_mb_scnd_ff <= 2'h0; - end else if (!(_T_19)) begin - tagv_mb_scnd_ff <= _T_198; - end - if (reset) begin - uncacheable_miss_scnd_ff <= 1'h0; - end else if (!(sel_hold_imb_scnd)) begin - uncacheable_miss_scnd_ff <= io_ifc_fetch_uncacheable_bf; - end - if (reset) begin - imb_scnd_ff <= 31'h0; - end else if (!(sel_hold_imb_scnd)) begin - imb_scnd_ff <= io_ifc_fetch_addr_bf; - end if (reset) begin ifu_bus_rid_ff <= 3'h0; end else if (io_ifu_bus_clk_en) begin @@ -6962,22 +6965,6 @@ end // initial end else if (io_ifu_bus_clk_en) begin ifu_bus_rresp_ff <= io_ifu_axi_rresp; end - if (reset) begin - way_status_mb_ff <= 1'h0; - end else if (_T_278) begin - way_status_mb_ff <= way_status_mb_scnd_ff; - end else if (_T_280) begin - way_status_mb_ff <= replace_way_mb_any_0; - end else if (!(miss_pending)) begin - way_status_mb_ff <= way_status; - end - if (reset) begin - tagv_mb_ff <= 2'h0; - end else if (scnd_miss_req) begin - tagv_mb_ff <= _T_290; - end else if (!(miss_pending)) begin - tagv_mb_ff <= _T_295; - end reset_ic_ff <= _T_298 & _T_299; if (reset) begin fetch_uncacheable_ff <= 1'h0; @@ -6991,11 +6978,6 @@ end // initial end else if (scnd_miss_req_q) begin miss_addr <= imb_scnd_ff[30:5]; end - if (reset) begin - ifc_region_acc_fault_f <= 1'h0; - end else begin - ifc_region_acc_fault_f <= io_ifc_region_acc_fault_bf; - end if (reset) begin bus_rd_addr_count <= 3'h0; end else if (_T_2615) begin @@ -8644,6 +8626,76 @@ end // initial _T_10428 <= ic_debug_rd_en_ff; end end + always @(posedge rvclkhdr_1_io_l1clk) begin + if (reset) begin + ifu_fetch_addr_int_f <= 31'h0; + end else begin + ifu_fetch_addr_int_f <= io_ifc_fetch_addr_bf; + end + if (reset) begin + ifc_iccm_access_f <= 1'h0; + end else begin + ifc_iccm_access_f <= io_ifc_iccm_access_bf; + end + if (reset) begin + ifc_region_acc_fault_final_f <= 1'h0; + end else begin + ifc_region_acc_fault_final_f <= io_ifc_region_acc_fault_bf; + end + if (reset) begin + uncacheable_miss_ff <= 1'h0; + end else if (scnd_miss_req) begin + uncacheable_miss_ff <= uncacheable_miss_scnd_ff; + end else if (!(sel_hold_imb)) begin + uncacheable_miss_ff <= io_ifc_fetch_uncacheable_bf; + end + if (scnd_miss_req) begin + imb_ff <= imb_scnd_ff; + end else if (!(sel_hold_imb)) begin + imb_ff <= io_ifc_fetch_addr_bf; + end + if (reset) begin + way_status_mb_scnd_ff <= 1'h0; + end else if (!(_T_19)) begin + way_status_mb_scnd_ff <= way_status; + end + if (reset) begin + tagv_mb_scnd_ff <= 2'h0; + end else if (!(_T_19)) begin + tagv_mb_scnd_ff <= _T_198; + end + if (reset) begin + uncacheable_miss_scnd_ff <= 1'h0; + end else if (!(sel_hold_imb_scnd)) begin + uncacheable_miss_scnd_ff <= io_ifc_fetch_uncacheable_bf; + end + if (reset) begin + imb_scnd_ff <= 31'h0; + end else if (!(sel_hold_imb_scnd)) begin + imb_scnd_ff <= io_ifc_fetch_addr_bf; + end + if (reset) begin + way_status_mb_ff <= 1'h0; + end else if (_T_278) begin + way_status_mb_ff <= way_status_mb_scnd_ff; + end else if (_T_280) begin + way_status_mb_ff <= replace_way_mb_any_0; + end else if (!(miss_pending)) begin + way_status_mb_ff <= way_status; + end + if (reset) begin + tagv_mb_ff <= 2'h0; + end else if (scnd_miss_req) begin + tagv_mb_ff <= _T_290; + end else if (!(miss_pending)) begin + tagv_mb_ff <= _T_295; + end + if (reset) begin + ifc_region_acc_fault_f <= 1'h0; + end else begin + ifc_region_acc_fault_f <= io_ifc_region_acc_fault_bf; + end + end always @(posedge io_active_clk) begin if (reset) begin reset_all_tags <= 1'h0; diff --git a/el2_lsu_addrcheck.anno.json b/el2_lsu_addrcheck.anno.json new file mode 100644 index 00000000..820ef55f --- /dev/null +++ b/el2_lsu_addrcheck.anno.json @@ -0,0 +1,105 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_addrcheck|el2_lsu_addrcheck>io_exc_mscause_d", + "sources":[ + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_misaligned_fault_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_valid", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_dma", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_start_addr_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_end_addr_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_addr_external_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_store", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_load", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_by", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_addr_in_pic_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_word", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_half", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_rs1_region_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_dec_tlu_mrac_ff" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_addrcheck|el2_lsu_addrcheck>io_addr_external_d", + "sources":[ + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_start_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_addrcheck|el2_lsu_addrcheck>io_misaligned_fault_d", + "sources":[ + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_valid", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_dma", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_addr_external_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_start_addr_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_end_addr_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_store", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_load", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_by", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_word", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_half", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_dec_tlu_mrac_ff" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_addrcheck|el2_lsu_addrcheck>io_fir_dccm_access_error_d", + "sources":[ + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_fast_int", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_addrcheck|el2_lsu_addrcheck>io_addr_in_pic_d", + "sources":[ + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_start_addr_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_end_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_addrcheck|el2_lsu_addrcheck>io_fir_nondccm_access_error_d", + "sources":[ + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_fast_int", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_addrcheck|el2_lsu_addrcheck>io_access_fault_d", + "sources":[ + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_valid", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_dma", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_addr_in_pic_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_lsu_pkt_d_word", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_rs1_region_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_start_addr_d", + "~el2_lsu_addrcheck|el2_lsu_addrcheck>io_end_addr_d" + ] + }, + { + "class":"logger.LogLevelAnnotation", + "globalLogLevel":{ + + } + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"el2_lsu_addrcheck" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/el2_lsu_addrcheck.fir b/el2_lsu_addrcheck.fir new file mode 100644 index 00000000..80a33381 --- /dev/null +++ b/el2_lsu_addrcheck.fir @@ -0,0 +1,280 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit el2_lsu_addrcheck : + module rvrangecheck : + input clock : Clock + input reset : Reset + output io : {flip addr : UInt<32>, in_range : UInt<1>, in_region : UInt<1>} + + wire start_addr : UInt<32> @[beh_lib.scala 139:25] + start_addr <= UInt<6>("h020") @[beh_lib.scala 140:15] + node region = bits(start_addr, 31, 28) @[beh_lib.scala 141:27] + node _T = bits(io.addr, 31, 28) @[beh_lib.scala 143:28] + node _T_1 = bits(region, 3, 0) @[beh_lib.scala 143:60] + node _T_2 = eq(_T, _T_1) @[beh_lib.scala 143:50] + io.in_region <= _T_2 @[beh_lib.scala 143:17] + node _T_3 = bits(io.addr, 31, 15) @[beh_lib.scala 147:28] + node _T_4 = bits(start_addr, 31, 15) @[beh_lib.scala 147:57] + node _T_5 = eq(_T_3, _T_4) @[beh_lib.scala 147:43] + io.in_range <= _T_5 @[beh_lib.scala 147:17] + + module rvrangecheck_1 : + input clock : Clock + input reset : Reset + output io : {flip addr : UInt<32>, in_range : UInt<1>, in_region : UInt<1>} + + wire start_addr : UInt<32> @[beh_lib.scala 139:25] + start_addr <= UInt<6>("h020") @[beh_lib.scala 140:15] + node region = bits(start_addr, 31, 28) @[beh_lib.scala 141:27] + node _T = bits(io.addr, 31, 28) @[beh_lib.scala 143:28] + node _T_1 = bits(region, 3, 0) @[beh_lib.scala 143:60] + node _T_2 = eq(_T, _T_1) @[beh_lib.scala 143:50] + io.in_region <= _T_2 @[beh_lib.scala 143:17] + node _T_3 = bits(io.addr, 31, 15) @[beh_lib.scala 147:28] + node _T_4 = bits(start_addr, 31, 15) @[beh_lib.scala 147:57] + node _T_5 = eq(_T_3, _T_4) @[beh_lib.scala 147:43] + io.in_range <= _T_5 @[beh_lib.scala 147:17] + + module el2_lsu_addrcheck : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_m_clk : Clock, flip start_addr_d : UInt<32>, flip end_addr_d : UInt<32>, flip lsu_pkt_d : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip dec_tlu_mrac_ff : UInt<32>, flip rs1_region_d : UInt<4>, flip rs1_d : UInt<32>, is_sideeffects_m : UInt<1>, addr_in_dccm_d : UInt<1>, addr_in_pic_d : UInt<1>, addr_external_d : UInt<1>, access_fault_d : UInt<1>, misaligned_fault_d : UInt<1>, exc_mscause_d : UInt<4>, fir_dccm_access_error_d : UInt<1>, fir_nondccm_access_error_d : UInt<1>, flip scan_mode : UInt<1>} + + wire start_addr_in_dccm_d : UInt<1> + start_addr_in_dccm_d <= UInt<1>("h00") + wire start_addr_in_dccm_region_d : UInt<1> + start_addr_in_dccm_region_d <= UInt<1>("h00") + wire end_addr_in_dccm_d : UInt<1> + end_addr_in_dccm_d <= UInt<1>("h00") + wire end_addr_in_dccm_region_d : UInt<1> + end_addr_in_dccm_region_d <= UInt<1>("h00") + start_addr_in_dccm_d <= UInt<1>("h00") @[w.scala 61:36] + start_addr_in_dccm_region_d <= UInt<1>("h00") @[w.scala 62:36] + end_addr_in_dccm_d <= UInt<1>("h00") @[w.scala 63:36] + end_addr_in_dccm_region_d <= UInt<1>("h00") @[w.scala 64:36] + wire addr_in_iccm : UInt<1> + addr_in_iccm <= UInt<1>("h00") + addr_in_iccm <= UInt<1>("h01") @[w.scala 72:18] + inst start_addr_pic_rangecheck of rvrangecheck @[w.scala 78:41] + start_addr_pic_rangecheck.clock <= clock + start_addr_pic_rangecheck.reset <= reset + node _T = bits(io.start_addr_d, 31, 0) @[w.scala 79:55] + start_addr_pic_rangecheck.io.addr <= _T @[w.scala 79:37] + inst end_addr_pic_rangecheck of rvrangecheck_1 @[w.scala 84:39] + end_addr_pic_rangecheck.clock <= clock + end_addr_pic_rangecheck.reset <= reset + node _T_1 = bits(io.end_addr_d, 31, 0) @[w.scala 85:51] + end_addr_pic_rangecheck.io.addr <= _T_1 @[w.scala 85:35] + node start_addr_dccm_or_pic = or(start_addr_in_dccm_region_d, start_addr_pic_rangecheck.io.in_region) @[w.scala 89:60] + node _T_2 = bits(io.rs1_region_d, 3, 0) @[w.scala 90:48] + node _T_3 = eq(_T_2, UInt<4>("h0f")) @[w.scala 90:54] + node _T_4 = bits(io.rs1_region_d, 3, 0) @[w.scala 90:91] + node _T_5 = eq(_T_4, UInt<4>("h0f")) @[w.scala 90:97] + node base_reg_dccm_or_pic = or(_T_3, _T_5) @[w.scala 90:73] + node _T_6 = and(start_addr_in_dccm_d, end_addr_in_dccm_d) @[w.scala 91:57] + io.addr_in_dccm_d <= _T_6 @[w.scala 91:32] + node _T_7 = and(start_addr_pic_rangecheck.io.in_range, end_addr_pic_rangecheck.io.in_range) @[w.scala 92:56] + io.addr_in_pic_d <= _T_7 @[w.scala 92:32] + node _T_8 = or(start_addr_in_dccm_region_d, start_addr_pic_rangecheck.io.in_region) @[w.scala 94:63] + node _T_9 = not(_T_8) @[w.scala 94:33] + io.addr_external_d <= _T_9 @[w.scala 94:30] + node _T_10 = bits(io.start_addr_d, 31, 28) @[w.scala 95:51] + node csr_idx = cat(_T_10, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_11 = dshr(io.dec_tlu_mrac_ff, csr_idx) @[w.scala 96:50] + node _T_12 = bits(_T_11, 0, 0) @[w.scala 96:50] + node _T_13 = or(start_addr_in_dccm_region_d, start_addr_pic_rangecheck.io.in_region) @[w.scala 96:92] + node _T_14 = or(_T_13, addr_in_iccm) @[w.scala 96:121] + node _T_15 = not(_T_14) @[w.scala 96:62] + node _T_16 = and(_T_12, _T_15) @[w.scala 96:60] + node _T_17 = and(_T_16, io.lsu_pkt_d.valid) @[w.scala 96:137] + node _T_18 = or(io.lsu_pkt_d.store, io.lsu_pkt_d.load) @[w.scala 96:180] + node is_sideeffects_d = and(_T_17, _T_18) @[w.scala 96:158] + node _T_19 = bits(io.start_addr_d, 1, 0) @[w.scala 97:69] + node _T_20 = eq(_T_19, UInt<1>("h00")) @[w.scala 97:75] + node _T_21 = and(io.lsu_pkt_d.word, _T_20) @[w.scala 97:51] + node _T_22 = bits(io.start_addr_d, 0, 0) @[w.scala 97:124] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[w.scala 97:128] + node _T_24 = and(io.lsu_pkt_d.half, _T_23) @[w.scala 97:106] + node _T_25 = or(_T_21, _T_24) @[w.scala 97:85] + node is_aligned_d = or(_T_25, io.lsu_pkt_d.by) @[w.scala 97:138] + node _T_26 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_27 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_28 = cat(_T_27, _T_26) @[Cat.scala 29:58] + node _T_29 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_30 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_31 = cat(_T_30, _T_29) @[Cat.scala 29:58] + node _T_32 = cat(_T_31, _T_28) @[Cat.scala 29:58] + node _T_33 = orr(_T_32) @[w.scala 101:98] + node _T_34 = not(_T_33) @[w.scala 100:33] + node _T_35 = bits(io.start_addr_d, 31, 0) @[w.scala 102:49] + node _T_36 = or(_T_35, UInt<31>("h07fffffff")) @[w.scala 102:56] + node _T_37 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[w.scala 102:105] + node _T_38 = eq(_T_36, _T_37) @[w.scala 102:80] + node _T_39 = and(UInt<1>("h01"), _T_38) @[w.scala 102:30] + node _T_40 = bits(io.start_addr_d, 31, 0) @[w.scala 103:49] + node _T_41 = or(_T_40, UInt<30>("h03fffffff")) @[w.scala 103:56] + node _T_42 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[w.scala 103:105] + node _T_43 = eq(_T_41, _T_42) @[w.scala 103:80] + node _T_44 = and(UInt<1>("h01"), _T_43) @[w.scala 103:30] + node _T_45 = or(_T_39, _T_44) @[w.scala 102:129] + node _T_46 = bits(io.start_addr_d, 31, 0) @[w.scala 104:49] + node _T_47 = or(_T_46, UInt<29>("h01fffffff")) @[w.scala 104:56] + node _T_48 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[w.scala 104:105] + node _T_49 = eq(_T_47, _T_48) @[w.scala 104:80] + node _T_50 = and(UInt<1>("h01"), _T_49) @[w.scala 104:30] + node _T_51 = or(_T_45, _T_50) @[w.scala 103:129] + node _T_52 = bits(io.start_addr_d, 31, 0) @[w.scala 105:49] + node _T_53 = or(_T_52, UInt<28>("h0fffffff")) @[w.scala 105:56] + node _T_54 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[w.scala 105:105] + node _T_55 = eq(_T_53, _T_54) @[w.scala 105:80] + node _T_56 = and(UInt<1>("h01"), _T_55) @[w.scala 105:30] + node _T_57 = or(_T_51, _T_56) @[w.scala 104:129] + node _T_58 = bits(io.start_addr_d, 31, 0) @[w.scala 106:49] + node _T_59 = or(_T_58, UInt<32>("h0ffffffff")) @[w.scala 106:56] + node _T_60 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[w.scala 106:105] + node _T_61 = eq(_T_59, _T_60) @[w.scala 106:80] + node _T_62 = and(UInt<1>("h00"), _T_61) @[w.scala 106:30] + node _T_63 = or(_T_57, _T_62) @[w.scala 105:129] + node _T_64 = bits(io.start_addr_d, 31, 0) @[w.scala 107:49] + node _T_65 = or(_T_64, UInt<32>("h0ffffffff")) @[w.scala 107:56] + node _T_66 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[w.scala 107:105] + node _T_67 = eq(_T_65, _T_66) @[w.scala 107:80] + node _T_68 = and(UInt<1>("h00"), _T_67) @[w.scala 107:30] + node _T_69 = or(_T_63, _T_68) @[w.scala 106:129] + node _T_70 = bits(io.start_addr_d, 31, 0) @[w.scala 108:49] + node _T_71 = or(_T_70, UInt<32>("h0ffffffff")) @[w.scala 108:56] + node _T_72 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[w.scala 108:105] + node _T_73 = eq(_T_71, _T_72) @[w.scala 108:80] + node _T_74 = and(UInt<1>("h00"), _T_73) @[w.scala 108:30] + node _T_75 = or(_T_69, _T_74) @[w.scala 107:129] + node _T_76 = bits(io.start_addr_d, 31, 0) @[w.scala 109:49] + node _T_77 = or(_T_76, UInt<32>("h0ffffffff")) @[w.scala 109:56] + node _T_78 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[w.scala 109:105] + node _T_79 = eq(_T_77, _T_78) @[w.scala 109:80] + node _T_80 = and(UInt<1>("h00"), _T_79) @[w.scala 109:30] + node _T_81 = or(_T_75, _T_80) @[w.scala 108:129] + node _T_82 = bits(io.end_addr_d, 31, 0) @[w.scala 111:48] + node _T_83 = or(_T_82, UInt<31>("h07fffffff")) @[w.scala 111:57] + node _T_84 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[w.scala 111:106] + node _T_85 = eq(_T_83, _T_84) @[w.scala 111:81] + node _T_86 = and(UInt<1>("h01"), _T_85) @[w.scala 111:31] + node _T_87 = bits(io.end_addr_d, 31, 0) @[w.scala 112:49] + node _T_88 = or(_T_87, UInt<30>("h03fffffff")) @[w.scala 112:58] + node _T_89 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[w.scala 112:107] + node _T_90 = eq(_T_88, _T_89) @[w.scala 112:82] + node _T_91 = and(UInt<1>("h01"), _T_90) @[w.scala 112:32] + node _T_92 = or(_T_86, _T_91) @[w.scala 111:130] + node _T_93 = bits(io.end_addr_d, 31, 0) @[w.scala 113:49] + node _T_94 = or(_T_93, UInt<29>("h01fffffff")) @[w.scala 113:58] + node _T_95 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[w.scala 113:107] + node _T_96 = eq(_T_94, _T_95) @[w.scala 113:82] + node _T_97 = and(UInt<1>("h01"), _T_96) @[w.scala 113:32] + node _T_98 = or(_T_92, _T_97) @[w.scala 112:131] + node _T_99 = bits(io.end_addr_d, 31, 0) @[w.scala 114:49] + node _T_100 = or(_T_99, UInt<28>("h0fffffff")) @[w.scala 114:58] + node _T_101 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[w.scala 114:107] + node _T_102 = eq(_T_100, _T_101) @[w.scala 114:82] + node _T_103 = and(UInt<1>("h01"), _T_102) @[w.scala 114:32] + node _T_104 = or(_T_98, _T_103) @[w.scala 113:131] + node _T_105 = bits(io.end_addr_d, 31, 0) @[w.scala 115:49] + node _T_106 = or(_T_105, UInt<32>("h0ffffffff")) @[w.scala 115:58] + node _T_107 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[w.scala 115:107] + node _T_108 = eq(_T_106, _T_107) @[w.scala 115:82] + node _T_109 = and(UInt<1>("h00"), _T_108) @[w.scala 115:32] + node _T_110 = or(_T_104, _T_109) @[w.scala 114:131] + node _T_111 = bits(io.end_addr_d, 31, 0) @[w.scala 116:49] + node _T_112 = or(_T_111, UInt<32>("h0ffffffff")) @[w.scala 116:58] + node _T_113 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[w.scala 116:107] + node _T_114 = eq(_T_112, _T_113) @[w.scala 116:82] + node _T_115 = and(UInt<1>("h00"), _T_114) @[w.scala 116:32] + node _T_116 = or(_T_110, _T_115) @[w.scala 115:131] + node _T_117 = bits(io.end_addr_d, 31, 0) @[w.scala 117:49] + node _T_118 = or(_T_117, UInt<32>("h0ffffffff")) @[w.scala 117:58] + node _T_119 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[w.scala 117:107] + node _T_120 = eq(_T_118, _T_119) @[w.scala 117:82] + node _T_121 = and(UInt<1>("h00"), _T_120) @[w.scala 117:32] + node _T_122 = or(_T_116, _T_121) @[w.scala 116:131] + node _T_123 = bits(io.end_addr_d, 31, 0) @[w.scala 118:49] + node _T_124 = or(_T_123, UInt<32>("h0ffffffff")) @[w.scala 118:58] + node _T_125 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[w.scala 118:107] + node _T_126 = eq(_T_124, _T_125) @[w.scala 118:82] + node _T_127 = and(UInt<1>("h00"), _T_126) @[w.scala 118:32] + node _T_128 = or(_T_122, _T_127) @[w.scala 117:131] + node _T_129 = and(_T_81, _T_128) @[w.scala 110:7] + node non_dccm_access_ok = or(_T_34, _T_129) @[w.scala 101:103] + node regpred_access_fault_d = xor(start_addr_dccm_or_pic, base_reg_dccm_or_pic) @[w.scala 120:57] + node _T_130 = bits(io.start_addr_d, 1, 0) @[w.scala 121:70] + node _T_131 = neq(_T_130, UInt<2>("h00")) @[w.scala 121:76] + node _T_132 = not(io.lsu_pkt_d.word) @[w.scala 121:92] + node _T_133 = or(_T_131, _T_132) @[w.scala 121:90] + node picm_access_fault_d = and(io.addr_in_pic_d, _T_133) @[w.scala 121:51] + wire unmapped_access_fault_d : UInt<1> + unmapped_access_fault_d <= UInt<1>("h01") + wire mpu_access_fault_d : UInt<1> + mpu_access_fault_d <= UInt<1>("h01") + node _T_134 = or(start_addr_in_dccm_d, start_addr_pic_rangecheck.io.in_range) @[w.scala 126:87] + node _T_135 = not(_T_134) @[w.scala 126:64] + node _T_136 = and(start_addr_in_dccm_region_d, _T_135) @[w.scala 126:62] + node _T_137 = or(end_addr_in_dccm_d, end_addr_pic_rangecheck.io.in_range) @[w.scala 128:57] + node _T_138 = not(_T_137) @[w.scala 128:36] + node _T_139 = and(end_addr_in_dccm_region_d, _T_138) @[w.scala 128:34] + node _T_140 = or(_T_136, _T_139) @[w.scala 126:112] + node _T_141 = and(start_addr_in_dccm_d, end_addr_pic_rangecheck.io.in_range) @[w.scala 130:29] + node _T_142 = or(_T_140, _T_141) @[w.scala 128:85] + node _T_143 = and(start_addr_pic_rangecheck.io.in_range, end_addr_in_dccm_d) @[w.scala 132:29] + node _T_144 = or(_T_142, _T_143) @[w.scala 130:85] + unmapped_access_fault_d <= _T_144 @[w.scala 126:29] + node _T_145 = not(start_addr_in_dccm_region_d) @[w.scala 134:33] + node _T_146 = not(non_dccm_access_ok) @[w.scala 134:64] + node _T_147 = and(_T_145, _T_146) @[w.scala 134:62] + mpu_access_fault_d <= _T_147 @[w.scala 134:29] + node _T_148 = or(unmapped_access_fault_d, mpu_access_fault_d) @[w.scala 146:49] + node _T_149 = or(_T_148, picm_access_fault_d) @[w.scala 146:70] + node _T_150 = or(_T_149, regpred_access_fault_d) @[w.scala 146:92] + node _T_151 = and(_T_150, io.lsu_pkt_d.valid) @[w.scala 146:118] + node _T_152 = not(io.lsu_pkt_d.dma) @[w.scala 146:141] + node _T_153 = and(_T_151, _T_152) @[w.scala 146:139] + io.access_fault_d <= _T_153 @[w.scala 146:21] + node _T_154 = bits(unmapped_access_fault_d, 0, 0) @[w.scala 147:60] + node _T_155 = bits(mpu_access_fault_d, 0, 0) @[w.scala 147:100] + node _T_156 = bits(regpred_access_fault_d, 0, 0) @[w.scala 147:144] + node _T_157 = bits(picm_access_fault_d, 0, 0) @[w.scala 147:185] + node _T_158 = mux(_T_157, UInt<4>("h06"), UInt<4>("h00")) @[w.scala 147:164] + node _T_159 = mux(_T_156, UInt<4>("h05"), _T_158) @[w.scala 147:120] + node _T_160 = mux(_T_155, UInt<4>("h03"), _T_159) @[w.scala 147:80] + node access_fault_mscause_d = mux(_T_154, UInt<4>("h02"), _T_160) @[w.scala 147:35] + node _T_161 = bits(io.start_addr_d, 31, 28) @[w.scala 148:53] + node _T_162 = bits(io.end_addr_d, 31, 28) @[w.scala 148:78] + node regcross_misaligned_fault_d = neq(_T_161, _T_162) @[w.scala 148:61] + node _T_163 = not(is_aligned_d) @[w.scala 149:59] + node sideeffect_misaligned_fault_d = and(is_sideeffects_d, _T_163) @[w.scala 149:57] + node _T_164 = and(sideeffect_misaligned_fault_d, io.addr_external_d) @[w.scala 150:90] + node _T_165 = or(regcross_misaligned_fault_d, _T_164) @[w.scala 150:57] + node _T_166 = and(_T_165, io.lsu_pkt_d.valid) @[w.scala 150:113] + node _T_167 = not(io.lsu_pkt_d.dma) @[w.scala 150:136] + node _T_168 = and(_T_166, _T_167) @[w.scala 150:134] + io.misaligned_fault_d <= _T_168 @[w.scala 150:25] + node _T_169 = bits(sideeffect_misaligned_fault_d, 0, 0) @[w.scala 151:111] + node _T_170 = mux(_T_169, UInt<4>("h01"), UInt<4>("h00")) @[w.scala 151:80] + node misaligned_fault_mscause_d = mux(regcross_misaligned_fault_d, UInt<4>("h02"), _T_170) @[w.scala 151:39] + node _T_171 = bits(io.misaligned_fault_d, 0, 0) @[w.scala 152:50] + node _T_172 = bits(misaligned_fault_mscause_d, 3, 0) @[w.scala 152:84] + node _T_173 = bits(access_fault_mscause_d, 3, 0) @[w.scala 152:113] + node _T_174 = mux(_T_171, _T_172, _T_173) @[w.scala 152:27] + io.exc_mscause_d <= _T_174 @[w.scala 152:21] + node _T_175 = not(start_addr_in_dccm_d) @[w.scala 153:66] + node _T_176 = and(start_addr_in_dccm_region_d, _T_175) @[w.scala 153:64] + node _T_177 = not(end_addr_in_dccm_d) @[w.scala 153:120] + node _T_178 = and(end_addr_in_dccm_region_d, _T_177) @[w.scala 153:118] + node _T_179 = or(_T_176, _T_178) @[w.scala 153:88] + node _T_180 = and(_T_179, io.lsu_pkt_d.valid) @[w.scala 153:142] + node _T_181 = and(_T_180, io.lsu_pkt_d.fast_int) @[w.scala 153:163] + io.fir_dccm_access_error_d <= _T_181 @[w.scala 153:31] + node _T_182 = and(start_addr_in_dccm_region_d, end_addr_in_dccm_region_d) @[w.scala 154:66] + node _T_183 = not(_T_182) @[w.scala 154:36] + node _T_184 = and(_T_183, io.lsu_pkt_d.valid) @[w.scala 154:95] + node _T_185 = and(_T_184, io.lsu_pkt_d.fast_int) @[w.scala 154:116] + io.fir_nondccm_access_error_d <= _T_185 @[w.scala 154:33] + reg _T_186 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[w.scala 156:60] + _T_186 <= is_sideeffects_d @[w.scala 156:60] + io.is_sideeffects_m <= _T_186 @[w.scala 156:50] + diff --git a/el2_lsu_addrcheck.v b/el2_lsu_addrcheck.v new file mode 100644 index 00000000..8116b4c8 --- /dev/null +++ b/el2_lsu_addrcheck.v @@ -0,0 +1,111 @@ +module rvrangecheck( + input [31:0] io_addr, + output io_in_range, + output io_in_region +); + assign io_in_range = io_addr[31:15] == 17'h0; // @[beh_lib.scala 147:17] + assign io_in_region = io_addr[31:28] == 4'h0; // @[beh_lib.scala 143:17] +endmodule +module el2_lsu_addrcheck( + input clock, + input reset, + input io_lsu_c2_m_clk, + input [31:0] io_start_addr_d, + input [31:0] io_end_addr_d, + input io_lsu_pkt_d_fast_int, + input io_lsu_pkt_d_by, + input io_lsu_pkt_d_half, + input io_lsu_pkt_d_word, + input io_lsu_pkt_d_dword, + input io_lsu_pkt_d_load, + input io_lsu_pkt_d_store, + input io_lsu_pkt_d_unsign, + input io_lsu_pkt_d_dma, + input io_lsu_pkt_d_store_data_bypass_d, + input io_lsu_pkt_d_load_ldst_bypass_d, + input io_lsu_pkt_d_store_data_bypass_m, + input io_lsu_pkt_d_valid, + input [31:0] io_dec_tlu_mrac_ff, + input [3:0] io_rs1_region_d, + input [31:0] io_rs1_d, + output io_is_sideeffects_m, + output io_addr_in_dccm_d, + output io_addr_in_pic_d, + output io_addr_external_d, + output io_access_fault_d, + output io_misaligned_fault_d, + output [3:0] io_exc_mscause_d, + output io_fir_dccm_access_error_d, + output io_fir_nondccm_access_error_d, + input io_scan_mode +); + wire [31:0] start_addr_pic_rangecheck_io_addr; // @[w.scala 78:41] + wire start_addr_pic_rangecheck_io_in_range; // @[w.scala 78:41] + wire start_addr_pic_rangecheck_io_in_region; // @[w.scala 78:41] + wire [31:0] end_addr_pic_rangecheck_io_addr; // @[w.scala 84:39] + wire end_addr_pic_rangecheck_io_in_range; // @[w.scala 84:39] + wire end_addr_pic_rangecheck_io_in_region; // @[w.scala 84:39] + wire start_addr_dccm_or_pic = start_addr_pic_rangecheck_io_in_region; // @[w.scala 89:60] + wire _T_3 = io_rs1_region_d == 4'hf; // @[w.scala 90:54] + wire base_reg_dccm_or_pic = _T_3 | _T_3; // @[w.scala 90:73] + wire [31:0] _T_36 = io_start_addr_d | 32'h7fffffff; // @[w.scala 102:56] + wire _T_38 = _T_36 == 32'h7fffffff; // @[w.scala 102:80] + wire [31:0] _T_41 = io_start_addr_d | 32'h3fffffff; // @[w.scala 103:56] + wire _T_43 = _T_41 == 32'hffffffff; // @[w.scala 103:80] + wire _T_45 = _T_38 | _T_43; // @[w.scala 102:129] + wire [31:0] _T_47 = io_start_addr_d | 32'h1fffffff; // @[w.scala 104:56] + wire _T_49 = _T_47 == 32'hbfffffff; // @[w.scala 104:80] + wire _T_51 = _T_45 | _T_49; // @[w.scala 103:129] + wire [31:0] _T_53 = io_start_addr_d | 32'hfffffff; // @[w.scala 105:56] + wire _T_55 = _T_53 == 32'h8fffffff; // @[w.scala 105:80] + wire _T_57 = _T_51 | _T_55; // @[w.scala 104:129] + wire [31:0] _T_83 = io_end_addr_d | 32'h7fffffff; // @[w.scala 111:57] + wire _T_85 = _T_83 == 32'h7fffffff; // @[w.scala 111:81] + wire [31:0] _T_88 = io_end_addr_d | 32'h3fffffff; // @[w.scala 112:58] + wire _T_90 = _T_88 == 32'hffffffff; // @[w.scala 112:82] + wire _T_92 = _T_85 | _T_90; // @[w.scala 111:130] + wire [31:0] _T_94 = io_end_addr_d | 32'h1fffffff; // @[w.scala 113:58] + wire _T_96 = _T_94 == 32'hbfffffff; // @[w.scala 113:82] + wire _T_98 = _T_92 | _T_96; // @[w.scala 112:131] + wire [31:0] _T_100 = io_end_addr_d | 32'hfffffff; // @[w.scala 114:58] + wire _T_102 = _T_100 == 32'h8fffffff; // @[w.scala 114:82] + wire _T_104 = _T_98 | _T_102; // @[w.scala 113:131] + wire non_dccm_access_ok = _T_57 & _T_104; // @[w.scala 110:7] + wire regpred_access_fault_d = start_addr_dccm_or_pic ^ base_reg_dccm_or_pic; // @[w.scala 120:57] + wire _T_131 = io_start_addr_d[1:0] != 2'h0; // @[w.scala 121:76] + wire _T_132 = ~io_lsu_pkt_d_word; // @[w.scala 121:92] + wire _T_133 = _T_131 | _T_132; // @[w.scala 121:90] + wire picm_access_fault_d = io_addr_in_pic_d & _T_133; // @[w.scala 121:51] + wire mpu_access_fault_d = ~non_dccm_access_ok; // @[w.scala 134:64] + wire _T_149 = mpu_access_fault_d | picm_access_fault_d; // @[w.scala 146:70] + wire _T_150 = _T_149 | regpred_access_fault_d; // @[w.scala 146:92] + wire _T_151 = _T_150 & io_lsu_pkt_d_valid; // @[w.scala 146:118] + wire _T_152 = ~io_lsu_pkt_d_dma; // @[w.scala 146:141] + wire [3:0] _T_158 = picm_access_fault_d ? 4'h6 : 4'h0; // @[w.scala 147:164] + wire [3:0] _T_159 = regpred_access_fault_d ? 4'h5 : _T_158; // @[w.scala 147:120] + wire [3:0] access_fault_mscause_d = mpu_access_fault_d ? 4'h3 : _T_159; // @[w.scala 147:80] + wire regcross_misaligned_fault_d = io_start_addr_d[31:28] != io_end_addr_d[31:28]; // @[w.scala 148:61] + wire _T_166 = regcross_misaligned_fault_d & io_lsu_pkt_d_valid; // @[w.scala 150:113] + wire [3:0] misaligned_fault_mscause_d = regcross_misaligned_fault_d ? 4'h2 : 4'h0; // @[w.scala 151:39] + rvrangecheck start_addr_pic_rangecheck ( // @[w.scala 78:41] + .io_addr(start_addr_pic_rangecheck_io_addr), + .io_in_range(start_addr_pic_rangecheck_io_in_range), + .io_in_region(start_addr_pic_rangecheck_io_in_region) + ); + rvrangecheck end_addr_pic_rangecheck ( // @[w.scala 84:39] + .io_addr(end_addr_pic_rangecheck_io_addr), + .io_in_range(end_addr_pic_rangecheck_io_in_range), + .io_in_region(end_addr_pic_rangecheck_io_in_region) + ); + assign io_is_sideeffects_m = 1'h0; // @[w.scala 156:50] + assign io_addr_in_dccm_d = 1'h0; // @[w.scala 91:32] + assign io_addr_in_pic_d = start_addr_pic_rangecheck_io_in_range & end_addr_pic_rangecheck_io_in_range; // @[w.scala 92:32] + assign io_addr_external_d = ~start_addr_dccm_or_pic; // @[w.scala 94:30] + assign io_access_fault_d = _T_151 & _T_152; // @[w.scala 146:21] + assign io_misaligned_fault_d = _T_166 & _T_152; // @[w.scala 150:25] + assign io_exc_mscause_d = io_misaligned_fault_d ? misaligned_fault_mscause_d : access_fault_mscause_d; // @[w.scala 152:21] + assign io_fir_dccm_access_error_d = 1'h0; // @[w.scala 153:31] + assign io_fir_nondccm_access_error_d = io_lsu_pkt_d_valid & io_lsu_pkt_d_fast_int; // @[w.scala 154:33] + assign start_addr_pic_rangecheck_io_addr = io_start_addr_d; // @[w.scala 79:37] + assign end_addr_pic_rangecheck_io_addr = io_end_addr_d; // @[w.scala 85:35] +endmodule diff --git a/src/main/scala/ifu/el2_ifu_mem_ctl.scala b/src/main/scala/ifu/el2_ifu_mem_ctl.scala index a3f8f42d..4466b765 100644 --- a/src/main/scala/ifu/el2_ifu_mem_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_mem_ctl.scala @@ -155,7 +155,7 @@ class el2_ifu_mem_ctl extends Module with el2_lib { val err_idle_C :: ic_wff_C :: ecc_wff_C :: ecc_cor_C :: dma_sb_err_C :: Nil = Enum(5) val iccm_single_ecc_error = WireInit(UInt(2.W), 0.U) - val ifc_fetch_req_f = WireInit(Bool(), 0.U) + val ifc_fetch_req_f = WireInit(Bool(), false.B) val miss_pending = WireInit(Bool(), false.B) val scnd_miss_req = WireInit(Bool(), false.B) val dma_iccm_req_f = WireInit(Bool(), false.B) @@ -185,8 +185,8 @@ class el2_ifu_mem_ctl extends Module with el2_lib { val flush_final_f = RegNext(io.exu_flush_final, 0.U) val fetch_bf_f_c1_clken = io.ifc_fetch_req_bf_raw | ifc_fetch_req_f | miss_pending | io.exu_flush_final | scnd_miss_req val debug_c1_clken = io.ic_debug_rd_en | io.ic_debug_wr_en - //val debug_c1_clk = rvclkhdr(clock, debug_c1_clken, io.scan_mode) - //val fetch_bf_f_c1_clk = rvclkhdr(clock, fetch_bf_f_c1_clken.asBool, io.scan_mode) + val debug_c1_clk = rvclkhdr(clock, debug_c1_clken, io.scan_mode) + val fetch_bf_f_c1_clk = rvclkhdr(clock, fetch_bf_f_c1_clken, io.scan_mode) io.iccm_dma_sb_error := iccm_single_ecc_error.orR() & dma_iccm_req_f.asBool() io.ifu_async_error_start := io.iccm_rd_ecc_single_err | io.ic_error_start io.ic_dma_active := iccm_correct_ecc | (perr_state === dma_sb_err_C) | (err_stop_state === err_stop_fetch_C) | err_stop_fetch | io.dec_tlu_flush_err_wb @@ -261,11 +261,11 @@ class el2_ifu_mem_ctl extends Module with el2_lib { val tagv_mb_scnd_in = Mux(miss_state === scnd_miss_C, tagv_mb_scnd_ff, Fill(ICACHE_NUM_WAYS, !reset_all_tags) & io.ic_tag_valid) val uncacheable_miss_scnd_in = Mux(sel_hold_imb_scnd.asBool, uncacheable_miss_scnd_ff, io.ifc_fetch_uncacheable_bf) - uncacheable_miss_scnd_ff := RegNext(uncacheable_miss_scnd_in, 0.U) + uncacheable_miss_scnd_ff := withClock(fetch_bf_f_c1_clk){RegNext(uncacheable_miss_scnd_in, 0.U)} val imb_scnd_in = Mux(sel_hold_imb_scnd.asBool, imb_scnd_ff, io.ifc_fetch_addr_bf) - imb_scnd_ff := RegNext(imb_scnd_in, 0.U) - way_status_mb_scnd_ff := RegNext(way_status_mb_scnd_in, 0.U) - tagv_mb_scnd_ff := RegNext(tagv_mb_scnd_in, 0.U) + imb_scnd_ff := withClock(fetch_bf_f_c1_clk){RegNext(imb_scnd_in, 0.U)} + way_status_mb_scnd_ff := withClock(fetch_bf_f_c1_clk){RegNext(way_status_mb_scnd_in, 0.U)} + tagv_mb_scnd_ff := withClock(fetch_bf_f_c1_clk){RegNext(tagv_mb_scnd_in, 0.U)} val ic_req_addr_bits_hi_3 = bus_rd_addr_count val ic_wr_addr_bits_hi_3 = ifu_bus_rid_ff & Fill(ICACHE_BEAT_BITS, bus_ifu_wr_en_ff) @@ -305,24 +305,24 @@ class el2_ifu_mem_ctl extends Module with el2_lib { val reset_ic_in = miss_pending & !scnd_miss_req_q & (reset_all_tags | reset_ic_ff) reset_ic_ff := RegNext(reset_ic_in) val fetch_uncacheable_ff = RegNext(io.ifc_fetch_uncacheable_bf, 0.U) - ifu_fetch_addr_int_f := RegNext(io.ifc_fetch_addr_bf, 0.U) + ifu_fetch_addr_int_f := withClock(fetch_bf_f_c1_clk){RegNext(io.ifc_fetch_addr_bf, 0.U)} val vaddr_f = ifu_fetch_addr_int_f(ICACHE_BEAT_ADDR_HI-1, 0) - uncacheable_miss_ff := RegNext(uncacheable_miss_in, 0.U) - imb_ff := RegNext(imb_in) + uncacheable_miss_ff := withClock(fetch_bf_f_c1_clk){RegNext(uncacheable_miss_in, 0.U)} + imb_ff := withClock(fetch_bf_f_c1_clk){RegNext(imb_in)} val miss_addr = WireInit(UInt((31-ICACHE_BEAT_ADDR_HI).W), 0.U) val miss_addr_in = Mux(!miss_pending, imb_ff(30, ICACHE_BEAT_ADDR_HI), Mux(scnd_miss_req_q.asBool, imb_scnd_ff(30, ICACHE_BEAT_ADDR_HI), miss_addr)) miss_addr := RegNext(miss_addr_in, 0.U) - way_status_mb_ff := RegNext(way_status_mb_in, 0.U) - tagv_mb_ff := RegNext(tagv_mb_in, 0.U) + way_status_mb_ff := withClock(fetch_bf_f_c1_clk){RegNext(way_status_mb_in, 0.U)} + tagv_mb_ff := withClock(fetch_bf_f_c1_clk){RegNext(tagv_mb_in, 0.U)} val stream_miss_f = WireInit(Bool(), 0.U) val ifc_fetch_req_qual_bf = io.ifc_fetch_req_bf & !((miss_state===crit_wrd_rdy_C) & flush_final_f) & !stream_miss_f val ifc_fetch_req_f_raw = RegNext(ifc_fetch_req_qual_bf, 0.U) ifc_fetch_req_f := ifc_fetch_req_f_raw & !io.exu_flush_final - ifc_iccm_access_f := RegNext(io.ifc_iccm_access_bf, 0.U) + ifc_iccm_access_f := withClock(fetch_bf_f_c1_clk){RegNext(io.ifc_iccm_access_bf, 0.U)} val ifc_region_acc_fault_final_bf = WireInit(Bool(), 0.U) - ifc_region_acc_fault_final_f := RegNext(ifc_region_acc_fault_final_bf, 0.U) - val ifc_region_acc_fault_f = RegNext(io.ifc_region_acc_fault_bf, 0.U) + ifc_region_acc_fault_final_f := withClock(fetch_bf_f_c1_clk){RegNext(ifc_region_acc_fault_final_bf, 0.U)} + val ifc_region_acc_fault_f = withClock(fetch_bf_f_c1_clk){RegNext(io.ifc_region_acc_fault_bf, 0.U)} val ifu_ic_req_addr_f = Cat(miss_addr, ic_req_addr_bits_hi_3) io.ifu_ic_mb_empty := (((miss_state===hit_u_miss_C) | (miss_state===stream_C)) & !(bus_ifu_wr_en_ff & last_beat)) | !miss_pending io.ifu_miss_state_idle := miss_state === idle_C diff --git a/src/main/scala/lib/el2_lib.scala b/src/main/scala/lib/el2_lib.scala index c0af5902..141b3927 100644 --- a/src/main/scala/lib/el2_lib.scala +++ b/src/main/scala/lib/el2_lib.scala @@ -25,14 +25,14 @@ trait param { val BUILD_AXI4 = true val BUILD_AXI_NATIVE = true val BUS_PRTY_DEFAULT = 3 - val DATA_ACCESS_ADDR0 = 0x00000000 //.U(32.W) - val DATA_ACCESS_ADDR1 = 0xC0000000 //.U(32.W) - val DATA_ACCESS_ADDR2 = 0xA0000000 //.U(32.W) - val DATA_ACCESS_ADDR3 = 0x80000000 //.U(32.W) - val DATA_ACCESS_ADDR4 = 0x00000000 //.U(32.W) - val DATA_ACCESS_ADDR5 = 0x00000000 //.U(32.W) - val DATA_ACCESS_ADDR6 = 0x00000000 //.U(32.W) - val DATA_ACCESS_ADDR7 = 0x00000000 //.U(32.W) + val DATA_ACCESS_ADDR0 = 0x00000000L //.U(32.W) + val DATA_ACCESS_ADDR1 = 0xC0000000L //.U(32.W) + val DATA_ACCESS_ADDR2 = 0xA0000000L //.U(32.W) + val DATA_ACCESS_ADDR3 = 0x80000000L //.U(32.W) + val DATA_ACCESS_ADDR4 = 0x00000000L //.U(32.W) + val DATA_ACCESS_ADDR5 = 0x00000000L //.U(32.W) + val DATA_ACCESS_ADDR6 = 0x00000000L //.U(32.W) + val DATA_ACCESS_ADDR7 = 0x00000000L //.U(32.W) val DATA_ACCESS_ENABLE0 = true //.U(1.W) val DATA_ACCESS_ENABLE1 = true //.U(1.W) val DATA_ACCESS_ENABLE2 = true //.U(1.W) @@ -41,14 +41,14 @@ trait param { val DATA_ACCESS_ENABLE5 = false //.U(1.W) val DATA_ACCESS_ENABLE6 = false //.U(1.W) val DATA_ACCESS_ENABLE7 = false //.U(1.W) - val DATA_ACCESS_MASK0 = 0x7FFFFFFF //.U(32.W) - val DATA_ACCESS_MASK1 = 0x3FFFFFFF //.U(32.W) - val DATA_ACCESS_MASK2 = 0x1FFFFFFF //.U(32.W) - val DATA_ACCESS_MASK3 = 0x0FFFFFFF //.U(32.W) - val DATA_ACCESS_MASK4 = 0xFFFFFFFF //.U(32.W) - val DATA_ACCESS_MASK5 = 0xFFFFFFFF //.U(32.W) - val DATA_ACCESS_MASK6 = 0xFFFFFFFF //.U(32.W) - val DATA_ACCESS_MASK7 = 0xFFFFFFFF //.U(32.W) + val DATA_ACCESS_MASK0 = 0x7FFFFFFFL //.U(32.W) + val DATA_ACCESS_MASK1 = 0x3FFFFFFFL //.U(32.W) + val DATA_ACCESS_MASK2 = 0x1FFFFFFFL //.U(32.W) + val DATA_ACCESS_MASK3 = 0x0FFFFFFFL //.U(32.W) + val DATA_ACCESS_MASK4 = 0xFFFFFFFFL //.U(32.W) + val DATA_ACCESS_MASK5 = 0xFFFFFFFFL //.U(32.W) + val DATA_ACCESS_MASK6 = 0xFFFFFFFFL //.U(32.W) + val DATA_ACCESS_MASK7 = 0xFFFFFFFFL //.U(32.W) val DCCM_BANK_BITS = 2 //.U(3.W) val DCCM_BITS = 16 //.U(5.W) val DCCM_BYTE_WIDTH = 4 //.U(3.W) @@ -465,11 +465,8 @@ trait el2_lib extends param{ cg.io.l1clk } } - class rvdffe extends Module{ - val io = IO(new Bundle{ - }) - } + ////rvdffe /////////////////////////////////////////////////////////////////////// object rvdffe { def apply(din: UInt, en: Bool, clk: Clock, scan_mode: Bool): UInt = { val obj = Module(new rvclkhdr()) diff --git a/src/main/scala/lsu/w.scala b/src/main/scala/lsu/w.scala new file mode 100644 index 00000000..161cbc7f --- /dev/null +++ b/src/main/scala/lsu/w.scala @@ -0,0 +1,163 @@ +package lsu + +import include._ +import lib._ +import snapshot._ + +import chisel3._ +import chisel3.util._ +import chisel3.iotesters.{ChiselFlatSpec, Driver, PeekPokeTester} +import chisel3.experimental.ChiselEnum +import chisel3.experimental.{withClock, withReset, withClockAndReset} +import chisel3.experimental.BundleLiterals._ +import chisel3.tester._ +import chisel3.tester.RawTester.test +import chisel3.util.HasBlackBoxResource +import chisel3.experimental.chiselName +@chiselName +class el2_lsu_addrcheck extends Module with RequireAsyncReset with el2_lib { + val io = IO(new Bundle{ + val lsu_c2_m_clk = Input(Clock()) + + val start_addr_d = Input(UInt(32.W)) + val end_addr_d = Input(UInt(32.W)) + val lsu_pkt_d = Input(new el2_lsu_pkt_t) + val dec_tlu_mrac_ff = Input(UInt(32.W)) + val rs1_region_d = Input(UInt(4.W)) + val rs1_d = Input(UInt(32.W)) + + + val is_sideeffects_m = Output(UInt(1.W)) + val addr_in_dccm_d = Output(UInt(1.W)) + val addr_in_pic_d = Output(UInt(1.W)) + val addr_external_d = Output(UInt(1.W)) + val access_fault_d = Output(UInt(1.W)) + val misaligned_fault_d = Output(UInt(1.W)) + val exc_mscause_d = Output(UInt(4.W)) + val fir_dccm_access_error_d = Output(UInt(1.W)) + val fir_nondccm_access_error_d = Output(UInt(1.W)) + val scan_mode = Input(UInt(1.W))}) + + val start_addr_in_dccm_d = WireInit(0.U(1.W)) + val start_addr_in_dccm_region_d = WireInit(0.U(1.W)) + val end_addr_in_dccm_d = WireInit(0.U(1.W)) + val end_addr_in_dccm_region_d = WireInit(0.U(1.W)) + + //DCCM check + // Start address check + if(DCCM_ENABLE==1){ // Gen_dccm_enable + val start_addr_dccm_rangecheck = Module(new rvrangecheck(DCCM_SADR,DCCM_SIZE)) + start_addr_dccm_rangecheck.io.addr := io.start_addr_d + start_addr_in_dccm_d := start_addr_dccm_rangecheck.io.in_range + start_addr_in_dccm_region_d := start_addr_dccm_rangecheck.io.in_region + + // End address check + val end_addr_dccm_rangecheck = Module(new rvrangecheck(DCCM_SADR,DCCM_SIZE)) + end_addr_dccm_rangecheck.io.addr := io.end_addr_d + end_addr_in_dccm_d := end_addr_dccm_rangecheck.io.in_range + end_addr_in_dccm_region_d := end_addr_dccm_rangecheck.io.in_region + } + else{ //Gen_dccm_disable + start_addr_in_dccm_d := 0.U + start_addr_in_dccm_region_d := 0.U + end_addr_in_dccm_d := 0.U + end_addr_in_dccm_region_d := 0.U + } + + val addr_in_iccm = WireInit(0.U(1.W)) + if(ICCM_ENABLE == 1){ //check_iccm + addr_in_iccm := (io.start_addr_d(31,28) === pt.ICCM_REGION) + } + else{ + addr_in_iccm := 1.U + } + + + //PIC memory check + //start address check + val start_addr_pic_rangecheck = Module(new rvrangecheck(PIC_BASE_ADDR,PIC_SIZE)) + start_addr_pic_rangecheck.io.addr := io.start_addr_d(31,0) + val start_addr_in_pic_d = start_addr_pic_rangecheck.io.in_range + val start_addr_in_pic_region_d = start_addr_pic_rangecheck.io.in_region + + //End address check + val end_addr_pic_rangecheck = Module(new rvrangecheck(PIC_BASE_ADDR,PIC_SIZE)) + end_addr_pic_rangecheck.io.addr := io.end_addr_d(31,0) + val end_addr_in_pic_d = end_addr_pic_rangecheck.io.in_range + val end_addr_in_pic_region_d = end_addr_pic_rangecheck.io.in_region + + val start_addr_dccm_or_pic = start_addr_in_dccm_region_d | start_addr_in_pic_region_d + val base_reg_dccm_or_pic = (io.rs1_region_d(3,0) === DCCM_REGION.U) | (io.rs1_region_d(3,0) === PIC_REGION.U) //base region + io.addr_in_dccm_d := (start_addr_in_dccm_d & end_addr_in_dccm_d) + io.addr_in_pic_d := (start_addr_in_pic_d & end_addr_in_pic_d) + + io.addr_external_d := ~(start_addr_in_dccm_region_d | start_addr_in_pic_region_d); //if start address does not belong to dccm/pic + val csr_idx = Cat(io.start_addr_d(31,28),1.U) + val is_sideeffects_d = io.dec_tlu_mrac_ff(csr_idx) & ~(start_addr_in_dccm_region_d | start_addr_in_pic_region_d | addr_in_iccm) & io.lsu_pkt_d.valid & (io.lsu_pkt_d.store | io.lsu_pkt_d.load) //every region has the 2 LSB indicating ( 1: sideeffects/no_side effects, and 0: cacheable ). Ignored in internal regions + val is_aligned_d = (io.lsu_pkt_d.word & (io.start_addr_d(1,0) === 0.U)) | (io.lsu_pkt_d.half & (io.start_addr_d(0) === 0.U)) | io.lsu_pkt_d.by + + + val non_dccm_access_ok = (~(Cat(DATA_ACCESS_ENABLE0.B, DATA_ACCESS_ENABLE1.B, DATA_ACCESS_ENABLE2.B, DATA_ACCESS_ENABLE3.B, + DATA_ACCESS_ENABLE4.B, DATA_ACCESS_ENABLE5.B, DATA_ACCESS_ENABLE6.B, DATA_ACCESS_ENABLE7.B)).orR) | + (((DATA_ACCESS_ENABLE0.B & ((io.start_addr_d(31,0) | DATA_ACCESS_MASK0.U)) === (DATA_ACCESS_ADDR0.U | DATA_ACCESS_MASK0.U)) | //0111 + (DATA_ACCESS_ENABLE1.B & ((io.start_addr_d(31,0) | DATA_ACCESS_MASK1.U)) === (DATA_ACCESS_ADDR1.U | DATA_ACCESS_MASK1.U)) | //1111 + (DATA_ACCESS_ENABLE2.B & ((io.start_addr_d(31,0) | DATA_ACCESS_MASK2.U)) === (DATA_ACCESS_ADDR2.U | DATA_ACCESS_MASK2.U)) | //1011 + (DATA_ACCESS_ENABLE3.B & ((io.start_addr_d(31,0) | DATA_ACCESS_MASK3.U)) === (DATA_ACCESS_ADDR3.U | DATA_ACCESS_MASK3.U)) | //1000 + (DATA_ACCESS_ENABLE4.B & ((io.start_addr_d(31,0) | DATA_ACCESS_MASK4.U)) === (DATA_ACCESS_ADDR4.U | DATA_ACCESS_MASK4.U)) | + (DATA_ACCESS_ENABLE5.B & ((io.start_addr_d(31,0) | DATA_ACCESS_MASK5.U)) === (DATA_ACCESS_ADDR5.U | DATA_ACCESS_MASK5.U)) | + (DATA_ACCESS_ENABLE6.B & ((io.start_addr_d(31,0) | DATA_ACCESS_MASK6.U)) === (DATA_ACCESS_ADDR6.U | DATA_ACCESS_MASK6.U)) | + (DATA_ACCESS_ENABLE7.B & ((io.start_addr_d(31,0) | DATA_ACCESS_MASK7.U)) === (DATA_ACCESS_ADDR7.U | DATA_ACCESS_MASK7.U))) + & + ((DATA_ACCESS_ENABLE0.B & ((io.end_addr_d(31,0) | DATA_ACCESS_MASK0.U)) === (DATA_ACCESS_ADDR0.U | DATA_ACCESS_MASK0.U)) | + (DATA_ACCESS_ENABLE1.B & ((io.end_addr_d(31,0) | DATA_ACCESS_MASK1.U)) === (DATA_ACCESS_ADDR1.U | DATA_ACCESS_MASK1.U)) | + (DATA_ACCESS_ENABLE2.B & ((io.end_addr_d(31,0) | DATA_ACCESS_MASK2.U)) === (DATA_ACCESS_ADDR2.U | DATA_ACCESS_MASK2.U)) | + (DATA_ACCESS_ENABLE3.B & ((io.end_addr_d(31,0) | DATA_ACCESS_MASK3.U)) === (DATA_ACCESS_ADDR3.U | DATA_ACCESS_MASK3.U)) | + (DATA_ACCESS_ENABLE4.B & ((io.end_addr_d(31,0) | DATA_ACCESS_MASK4.U)) === (DATA_ACCESS_ADDR4.U | DATA_ACCESS_MASK4.U)) | + (DATA_ACCESS_ENABLE5.B & ((io.end_addr_d(31,0) | DATA_ACCESS_MASK5.U)) === (DATA_ACCESS_ADDR5.U | DATA_ACCESS_MASK5.U)) | + (DATA_ACCESS_ENABLE6.B & ((io.end_addr_d(31,0) | DATA_ACCESS_MASK6.U)) === (DATA_ACCESS_ADDR6.U | DATA_ACCESS_MASK6.U)) | + (DATA_ACCESS_ENABLE7.B & ((io.end_addr_d(31,0) | DATA_ACCESS_MASK7.U)) === (DATA_ACCESS_ADDR7.U | DATA_ACCESS_MASK7.U)))) + + val regpred_access_fault_d = (start_addr_dccm_or_pic ^ base_reg_dccm_or_pic) + val picm_access_fault_d = (io.addr_in_pic_d & ((io.start_addr_d(1,0) =/= 0.U(2.W)) | ~io.lsu_pkt_d.word)) + + val unmapped_access_fault_d = WireInit(1.U(1.W)) + val mpu_access_fault_d = WireInit(1.U(1.W)) + if(DCCM_REGION == PIC_REGION){ + unmapped_access_fault_d := ((start_addr_in_dccm_region_d & ~(start_addr_in_dccm_d | start_addr_in_pic_d)) | + // 0. Addr in dccm/pic region but not in dccm/pic offset + (end_addr_in_dccm_region_d & ~(end_addr_in_dccm_d | end_addr_in_pic_d)) | + // 0. Addr in dccm/pic region but not in dccm/pic offset + (start_addr_in_dccm_d & end_addr_in_pic_d) | + // 0. DCCM -> PIC cross when DCCM/PIC in same region + (start_addr_in_pic_d & end_addr_in_dccm_d)) + // 0. DCCM -> PIC cross when DCCM/PIC in same region + mpu_access_fault_d := (~start_addr_in_dccm_region_d & ~non_dccm_access_ok) + // 3. Address is not in a populated non-dccm region + } + + else{ + unmapped_access_fault_d := ((start_addr_in_dccm_region_d & ~start_addr_in_dccm_d) | (end_addr_in_dccm_region_d & ~end_addr_in_dccm_d) | + (start_addr_in_pic_region_d & ~start_addr_in_pic_d) | (end_addr_in_pic_region_d & ~end_addr_in_pic_d)) + mpu_access_fault_d := (~start_addr_in_pic_region_d & ~start_addr_in_dccm_region_d & ~non_dccm_access_ok); + // 3. Address is not in a populated non-dccm region + } + + //check width of access_fault_mscause_d + io.access_fault_d := (unmapped_access_fault_d | mpu_access_fault_d | picm_access_fault_d | regpred_access_fault_d) & io.lsu_pkt_d.valid & ~io.lsu_pkt_d.dma + val access_fault_mscause_d = Mux(unmapped_access_fault_d.asBool,2.U(4.W), Mux(mpu_access_fault_d.asBool,3.U(4.W), Mux(regpred_access_fault_d.asBool,5.U(4.W), Mux(picm_access_fault_d.asBool,6.U(4.W),0.U(4.W))))) + val regcross_misaligned_fault_d = (io.start_addr_d(31,28) =/= io.end_addr_d(31,28)) + val sideeffect_misaligned_fault_d = (is_sideeffects_d & ~ is_aligned_d) + io.misaligned_fault_d := (regcross_misaligned_fault_d | (sideeffect_misaligned_fault_d & io.addr_external_d)) & io.lsu_pkt_d.valid & ~io.lsu_pkt_d.dma + val misaligned_fault_mscause_d = Mux(regcross_misaligned_fault_d,2.U(4.W),Mux(sideeffect_misaligned_fault_d.asBool,1.U(4.W),0.U(4.W))) + io.exc_mscause_d := Mux(io.misaligned_fault_d.asBool, misaligned_fault_mscause_d(3,0), access_fault_mscause_d(3,0)) + io.fir_dccm_access_error_d := ((start_addr_in_dccm_region_d & ~start_addr_in_dccm_d)|(end_addr_in_dccm_region_d & ~end_addr_in_dccm_d)) & io.lsu_pkt_d.valid & io.lsu_pkt_d.fast_int + io.fir_nondccm_access_error_d := ~(start_addr_in_dccm_region_d & end_addr_in_dccm_region_d) & io.lsu_pkt_d.valid & io.lsu_pkt_d.fast_int + + withClock(io.lsu_c2_m_clk){io.is_sideeffects_m := RegNext(is_sideeffects_d,0.U)} //TBD for clock and reset +} +//println(chisel3.Driver.emitVerilog(new el2_lsu_addrcheck)) + +object address_checker extends App{ + println("Generate Verilog") + chisel3.Driver.execute(args, ()=> new el2_lsu_addrcheck) +} diff --git a/target/scala-2.12/classes/dbg/el2_dbg.class b/target/scala-2.12/classes/dbg/el2_dbg.class index 9692b14b..bd0bff0b 100644 Binary files a/target/scala-2.12/classes/dbg/el2_dbg.class and b/target/scala-2.12/classes/dbg/el2_dbg.class differ diff --git a/target/scala-2.12/classes/ifu/EL2_IC_DATA.class b/target/scala-2.12/classes/ifu/EL2_IC_DATA.class index 6286cb65..5b91bd1b 100644 Binary files a/target/scala-2.12/classes/ifu/EL2_IC_DATA.class and b/target/scala-2.12/classes/ifu/EL2_IC_DATA.class differ diff --git a/target/scala-2.12/classes/ifu/EL2_IC_TAG.class b/target/scala-2.12/classes/ifu/EL2_IC_TAG.class index 00389aed..fab1a016 100644 Binary files a/target/scala-2.12/classes/ifu/EL2_IC_TAG.class and b/target/scala-2.12/classes/ifu/EL2_IC_TAG.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu.class b/target/scala-2.12/classes/ifu/el2_ifu.class index 57f0d7f1..ddaabbc2 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu.class and b/target/scala-2.12/classes/ifu/el2_ifu.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class index 988e41ac..b6fec43c 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_bp_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_bp_ctl.class index 46778f9c..4670c0f8 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_bp_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_bp_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class index c3eb5f3e..c41470dc 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_ic_mem.class b/target/scala-2.12/classes/ifu/el2_ifu_ic_mem.class index 19fe28ee..39791fc3 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_ic_mem.class and b/target/scala-2.12/classes/ifu/el2_ifu_ic_mem.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class b/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class index 309afb9b..ac884827 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class and b/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl.class index 264d59c5..ba92c9c5 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class index a2240fba..d2c2b3cf 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_mem$.class b/target/scala-2.12/classes/ifu/ifu_mem$.class index e7b75f57..23bd32b4 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_mem$.class and b/target/scala-2.12/classes/ifu/ifu_mem$.class differ diff --git a/target/scala-2.12/classes/ifu/mem_ctl_bundle.class b/target/scala-2.12/classes/ifu/mem_ctl_bundle.class index 8d5fdf12..3e081f2d 100644 Binary files a/target/scala-2.12/classes/ifu/mem_ctl_bundle.class and b/target/scala-2.12/classes/ifu/mem_ctl_bundle.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class index 89d47ed5..aa5d1551 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class and b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvdffe$$anon$5.class b/target/scala-2.12/classes/lib/el2_lib$rvdffe$$anon$5.class deleted file mode 100644 index f20bd823..00000000 Binary files a/target/scala-2.12/classes/lib/el2_lib$rvdffe$$anon$5.class and /dev/null differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class b/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class index 656c5518..e1108ac9 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class and b/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvdffe.class b/target/scala-2.12/classes/lib/el2_lib$rvdffe.class deleted file mode 100644 index fabfcec0..00000000 Binary files a/target/scala-2.12/classes/lib/el2_lib$rvdffe.class and /dev/null differ diff --git a/target/scala-2.12/classes/lib/el2_lib.class b/target/scala-2.12/classes/lib/el2_lib.class index c5ac424b..3d540486 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib.class and b/target/scala-2.12/classes/lib/el2_lib.class differ diff --git a/target/scala-2.12/classes/lib/param.class b/target/scala-2.12/classes/lib/param.class index 6ff19fec..3bdfbcae 100644 Binary files a/target/scala-2.12/classes/lib/param.class and b/target/scala-2.12/classes/lib/param.class differ diff --git a/target/scala-2.12/classes/lib/rvdffs.class b/target/scala-2.12/classes/lib/rvdffs.class index 36b2d540..e26e26d8 100644 Binary files a/target/scala-2.12/classes/lib/rvdffs.class and b/target/scala-2.12/classes/lib/rvdffs.class differ diff --git a/target/scala-2.12/classes/lib/rvdffsc.class b/target/scala-2.12/classes/lib/rvdffsc.class index e4ccbb24..fee5af06 100644 Binary files a/target/scala-2.12/classes/lib/rvdffsc.class and b/target/scala-2.12/classes/lib/rvdffsc.class differ diff --git a/target/scala-2.12/classes/lsu/address_checker$.class b/target/scala-2.12/classes/lsu/address_checker$.class new file mode 100644 index 00000000..fddeed12 Binary files /dev/null and b/target/scala-2.12/classes/lsu/address_checker$.class differ diff --git a/target/scala-2.12/classes/lsu/address_checker$delayedInit$body.class b/target/scala-2.12/classes/lsu/address_checker$delayedInit$body.class new file mode 100644 index 00000000..217cee43 Binary files /dev/null and b/target/scala-2.12/classes/lsu/address_checker$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/address_checker.class b/target/scala-2.12/classes/lsu/address_checker.class new file mode 100644 index 00000000..93193574 Binary files /dev/null and b/target/scala-2.12/classes/lsu/address_checker.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_addrcheck$$anon$1.class b/target/scala-2.12/classes/lsu/el2_lsu_addrcheck$$anon$1.class new file mode 100644 index 00000000..f22084f5 Binary files /dev/null and b/target/scala-2.12/classes/lsu/el2_lsu_addrcheck$$anon$1.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_addrcheck.class b/target/scala-2.12/classes/lsu/el2_lsu_addrcheck.class new file mode 100644 index 00000000..f3404663 Binary files /dev/null and b/target/scala-2.12/classes/lsu/el2_lsu_addrcheck.class differ