;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 circuit test : module test : input clock : Clock input reset : UInt<1> output io : {flip in1 : UInt<8>, flip in2 : UInt<8>, flip in3 : UInt<1>, out : UInt<1>} wire _T : UInt<1>[8] @[el2_lib.scala 211:24] node _T_1 = andr(io.in1) @[el2_lib.scala 212:45] node _T_2 = not(_T_1) @[el2_lib.scala 212:39] node _T_3 = and(io.in3, _T_2) @[el2_lib.scala 212:37] node _T_4 = bits(io.in1, 0, 0) @[el2_lib.scala 213:48] node _T_5 = bits(io.in2, 0, 0) @[el2_lib.scala 213:60] node _T_6 = eq(_T_4, _T_5) @[el2_lib.scala 213:52] node _T_7 = or(_T_3, _T_6) @[el2_lib.scala 213:41] _T[0] <= _T_7 @[el2_lib.scala 213:18] node _T_8 = bits(io.in1, 0, 0) @[el2_lib.scala 215:28] node _T_9 = andr(_T_8) @[el2_lib.scala 215:36] node _T_10 = and(_T_9, _T_3) @[el2_lib.scala 215:41] node _T_11 = bits(io.in1, 1, 1) @[el2_lib.scala 215:74] node _T_12 = bits(io.in2, 1, 1) @[el2_lib.scala 215:86] node _T_13 = eq(_T_11, _T_12) @[el2_lib.scala 215:78] node _T_14 = mux(_T_10, UInt<1>("h01"), _T_13) @[el2_lib.scala 215:23] _T[1] <= _T_14 @[el2_lib.scala 215:17] node _T_15 = bits(io.in1, 1, 0) @[el2_lib.scala 215:28] node _T_16 = andr(_T_15) @[el2_lib.scala 215:36] node _T_17 = and(_T_16, _T_3) @[el2_lib.scala 215:41] node _T_18 = bits(io.in1, 2, 2) @[el2_lib.scala 215:74] node _T_19 = bits(io.in2, 2, 2) @[el2_lib.scala 215:86] node _T_20 = eq(_T_18, _T_19) @[el2_lib.scala 215:78] node _T_21 = mux(_T_17, UInt<1>("h01"), _T_20) @[el2_lib.scala 215:23] _T[2] <= _T_21 @[el2_lib.scala 215:17] node _T_22 = bits(io.in1, 2, 0) @[el2_lib.scala 215:28] node _T_23 = andr(_T_22) @[el2_lib.scala 215:36] node _T_24 = and(_T_23, _T_3) @[el2_lib.scala 215:41] node _T_25 = bits(io.in1, 3, 3) @[el2_lib.scala 215:74] node _T_26 = bits(io.in2, 3, 3) @[el2_lib.scala 215:86] node _T_27 = eq(_T_25, _T_26) @[el2_lib.scala 215:78] node _T_28 = mux(_T_24, UInt<1>("h01"), _T_27) @[el2_lib.scala 215:23] _T[3] <= _T_28 @[el2_lib.scala 215:17] node _T_29 = bits(io.in1, 3, 0) @[el2_lib.scala 215:28] node _T_30 = andr(_T_29) @[el2_lib.scala 215:36] node _T_31 = and(_T_30, _T_3) @[el2_lib.scala 215:41] node _T_32 = bits(io.in1, 4, 4) @[el2_lib.scala 215:74] node _T_33 = bits(io.in2, 4, 4) @[el2_lib.scala 215:86] node _T_34 = eq(_T_32, _T_33) @[el2_lib.scala 215:78] node _T_35 = mux(_T_31, UInt<1>("h01"), _T_34) @[el2_lib.scala 215:23] _T[4] <= _T_35 @[el2_lib.scala 215:17] node _T_36 = bits(io.in1, 4, 0) @[el2_lib.scala 215:28] node _T_37 = andr(_T_36) @[el2_lib.scala 215:36] node _T_38 = and(_T_37, _T_3) @[el2_lib.scala 215:41] node _T_39 = bits(io.in1, 5, 5) @[el2_lib.scala 215:74] node _T_40 = bits(io.in2, 5, 5) @[el2_lib.scala 215:86] node _T_41 = eq(_T_39, _T_40) @[el2_lib.scala 215:78] node _T_42 = mux(_T_38, UInt<1>("h01"), _T_41) @[el2_lib.scala 215:23] _T[5] <= _T_42 @[el2_lib.scala 215:17] node _T_43 = bits(io.in1, 5, 0) @[el2_lib.scala 215:28] node _T_44 = andr(_T_43) @[el2_lib.scala 215:36] node _T_45 = and(_T_44, _T_3) @[el2_lib.scala 215:41] node _T_46 = bits(io.in1, 6, 6) @[el2_lib.scala 215:74] node _T_47 = bits(io.in2, 6, 6) @[el2_lib.scala 215:86] node _T_48 = eq(_T_46, _T_47) @[el2_lib.scala 215:78] node _T_49 = mux(_T_45, UInt<1>("h01"), _T_48) @[el2_lib.scala 215:23] _T[6] <= _T_49 @[el2_lib.scala 215:17] node _T_50 = bits(io.in1, 6, 0) @[el2_lib.scala 215:28] node _T_51 = andr(_T_50) @[el2_lib.scala 215:36] node _T_52 = and(_T_51, _T_3) @[el2_lib.scala 215:41] node _T_53 = bits(io.in1, 7, 7) @[el2_lib.scala 215:74] node _T_54 = bits(io.in2, 7, 7) @[el2_lib.scala 215:86] node _T_55 = eq(_T_53, _T_54) @[el2_lib.scala 215:78] node _T_56 = mux(_T_52, UInt<1>("h01"), _T_55) @[el2_lib.scala 215:23] _T[7] <= _T_56 @[el2_lib.scala 215:17] node _T_57 = cat(_T[1], _T[0]) @[el2_lib.scala 216:14] node _T_58 = cat(_T[3], _T[2]) @[el2_lib.scala 216:14] node _T_59 = cat(_T_58, _T_57) @[el2_lib.scala 216:14] node _T_60 = cat(_T[5], _T[4]) @[el2_lib.scala 216:14] node _T_61 = cat(_T[7], _T[6]) @[el2_lib.scala 216:14] node _T_62 = cat(_T_61, _T_60) @[el2_lib.scala 216:14] node _T_63 = cat(_T_62, _T_59) @[el2_lib.scala 216:14] io.out <= _T_63 @[el2_ifu_ifc_ctrl.scala 12:10]