[ { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rd_data", "sources":[ "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_premux_data", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_sel_premux_data", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rd_hit", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_wr_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_way", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_clk_override", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rw_addr", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_wr_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_addr", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_tag_array", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_rd_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rd_en" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_rd_data", "sources":[ "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rd_hit", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_wr_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_way", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_clk_override", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rw_addr", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_wr_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_addr", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_tag_array", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_rd_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rd_en" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_eccerr", "sources":[ "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rd_hit", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_wr_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_way", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_clk_override", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rw_addr", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_wr_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_addr", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_tag_array", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_rd_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rd_en" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_parerr", "sources":[ "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rd_hit", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_wr_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_way", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_clk_override", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rw_addr", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_wr_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_addr", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_tag_array", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_debug_rd_en", "~el2_ifu_ic_mem|el2_ifu_ic_mem>io_ic_rd_en" ] }, { "class":"firrtl.EmitCircuitAnnotation", "emitter":"firrtl.VerilogEmitter" }, { "class":"firrtl.options.TargetDirAnnotation", "directory":"." }, { "class":"firrtl.options.OutputAnnotationFileAnnotation", "file":"el2_ifu_ic_mem" }, { "class":"firrtl.transforms.BlackBoxTargetDirAnno", "targetDir":"." } ]