[ { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_wren", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_stbuf_commit_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_reqvld_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_dccm_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_valid", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_load", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_store", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_addr_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_end_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_word", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_dword" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_stbuf_commit_any", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_reqvld_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_dccm_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_valid", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_load", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_store", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_addr_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_end_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_word", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_dword" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_wr_data_lo", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_sec_data_ecc_lo_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_sec_data_lo_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_sec_data_ecc_hi_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_sec_data_hi_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wdata_ecc_lo", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wdata_lo", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_ecc_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_data_any" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_ld_data_m", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_fwddata_hi_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_fwddata_lo_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_pic_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_fwdbyteen_hi_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_fwdbyteen_lo_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_picm_rd_data", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rdata_hi_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rdata_lo_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rd_data_hi", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rd_data_lo" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_wr_addr_hi", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_end_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_addr_any" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_picm_mken", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_pic_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_valid", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_store" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_data_ecc_lo_m", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rd_data_lo" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rdata_lo_m", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rd_data_lo" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_dma_rdata", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_fwddata_hi_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_fwddata_lo_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_pic_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_fwdbyteen_hi_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_fwdbyteen_lo_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_picm_rd_data", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_sec_data_hi_m", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_sec_data_lo_m" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_store_datafn_lo_r", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_data_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_store_data_lo_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_stbuf_commit_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_dccm_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_reqvld_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_addr_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_dccm_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_valid", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_load", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_store", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_store", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_end_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_word", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_by", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_half", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_word", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_dword" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rdata_hi_m", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rd_data_hi" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_store_datafn_hi_r", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_data_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_store_data_hi_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_stbuf_commit_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_dccm_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_reqvld_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_addr_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_end_addr_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_dccm_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_valid", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_load", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_store", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_store", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_end_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_word", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_by", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_half", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_word", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_dword" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_picm_wraddr", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_pic_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_mem_addr", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_data_ecc_hi_m", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rd_data_hi" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_picm_wren", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_pic_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_commit_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_pic_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_valid", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_store" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rden", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_dccm_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_valid", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_load", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_store", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_word", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_dword", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_d" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_store_data_r", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_store_data_hi_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_store_data_lo_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_store", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_word", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_by", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_half" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_picm_rdaddr", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_d" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_dma_ecc_error", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_double_ecc_error_m" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_wr_data_hi", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_sec_data_ecc_hi_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_sec_data_hi_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_sec_data_ecc_lo_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_sec_data_lo_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wdata_ecc_hi", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wdata_hi", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_ecc_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_data_any" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_picm_rden", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_pic_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_valid", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_load" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rd_addr_lo", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_d" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_picm_wr_data", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_pic_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_mem_wdata", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_store_datafn_lo_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_data_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_store_data_lo_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_stbuf_commit_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_dccm_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_reqvld_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_addr_any", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_addr_in_dccm_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_valid", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_load", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_store", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_store", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_end_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_word", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_by", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_half", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_word", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_d_bits_dword" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_wr_addr_lo", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_dccm_wen", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_addr_d", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_stbuf_addr_any" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_picm_mask_data_m", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_picm_rd_data" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_dma_rtag", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dma_mem_tag_m" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_dma_rvalid", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_m_bits_dma", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_m_valid", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_m_bits_load" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_dccm_rd_addr_hi", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_end_addr_d" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_ld_single_ecc_error_r", "sources":[ "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_double_ecc_error_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_pkt_r_bits_load", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_single_ecc_error_lo_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_raw_fwd_lo_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_single_ecc_error_hi_r", "~el2_lsu_dccm_ctl|el2_lsu_dccm_ctl>io_lsu_raw_fwd_hi_r" ] }, { "class":"firrtl.EmitCircuitAnnotation", "emitter":"firrtl.VerilogEmitter" }, { "class":"firrtl.transforms.BlackBoxResourceAnno", "target":"el2_lsu_dccm_ctl.gated_latch", "resourceId":"/vsrc/gated_latch.v" }, { "class":"firrtl.options.TargetDirAnnotation", "directory":"." }, { "class":"firrtl.options.OutputAnnotationFileAnnotation", "file":"el2_lsu_dccm_ctl" }, { "class":"firrtl.transforms.BlackBoxTargetDirAnno", "targetDir":"." } ]