[ { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_ic_premux_data", "sources":[ "~el2_swerv|el2_swerv>io_iccm_rd_data", "~el2_swerv|el2_swerv>io_ic_rd_hit", "~el2_swerv|el2_swerv>io_ifu_bus_clk_en", "~el2_swerv|el2_swerv>io_ifu_axi_rid", "~el2_swerv|el2_swerv>io_ifu_axi_rvalid", "~el2_swerv|el2_swerv>io_mpc_reset_run_req", "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_core_id" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_iccm_rw_addr", "sources":[ "~el2_swerv|el2_swerv>io_iccm_rd_data_ecc", "~el2_swerv|el2_swerv>io_ic_rd_hit", "~el2_swerv|el2_swerv>io_ic_rd_data", "~el2_swerv|el2_swerv>io_mpc_reset_run_req", "~el2_swerv|el2_swerv>io_rst_vec", "~el2_swerv|el2_swerv>io_nmi_vec", "~el2_swerv|el2_swerv>io_core_id", "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_dccm_wr_addr_lo", "sources":[ "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_iccm_wren", "sources":[ "~el2_swerv|el2_swerv>io_iccm_rd_data_ecc", "~el2_swerv|el2_swerv>io_ic_rd_hit", "~el2_swerv|el2_swerv>io_ic_rd_data", "~el2_swerv|el2_swerv>io_mpc_reset_run_req", "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_rst_vec", "~el2_swerv|el2_swerv>io_nmi_vec", "~el2_swerv|el2_swerv>io_core_id" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_dccm_wr_addr_hi", "sources":[ "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_ic_tag_valid", "sources":[ "~el2_swerv|el2_swerv>io_mpc_reset_run_req", "~el2_swerv|el2_swerv>io_core_id", "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_dccm_rd_addr_hi", "sources":[ "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_dccm_rden", "sources":[ "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_dccm_wren", "sources":[ "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_dccm_rd_addr_lo", "sources":[ "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_dccm_wr_data_lo", "sources":[ "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_dccm_wr_data_hi", "sources":[ "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_ic_rd_en", "sources":[ "~el2_swerv|el2_swerv>io_ic_rd_hit", "~el2_swerv|el2_swerv>io_ic_rd_data", "~el2_swerv|el2_swerv>io_mpc_reset_run_req", "~el2_swerv|el2_swerv>io_rst_vec", "~el2_swerv|el2_swerv>io_nmi_vec", "~el2_swerv|el2_swerv>io_core_id", "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_iccm_wr_size", "sources":[ "~el2_swerv|el2_swerv>io_iccm_rd_data_ecc", "~el2_swerv|el2_swerv>io_ic_rd_hit", "~el2_swerv|el2_swerv>io_ic_rd_data", "~el2_swerv|el2_swerv>io_mpc_reset_run_req", "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_rst_vec", "~el2_swerv|el2_swerv>io_nmi_vec", "~el2_swerv|el2_swerv>io_core_id" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_iccm_rden", "sources":[ "~el2_swerv|el2_swerv>io_iccm_rd_data_ecc", "~el2_swerv|el2_swerv>io_ic_rd_hit", "~el2_swerv|el2_swerv>io_ic_rd_data", "~el2_swerv|el2_swerv>io_mpc_reset_run_req", "~el2_swerv|el2_swerv>io_rst_vec", "~el2_swerv|el2_swerv>io_nmi_vec", "~el2_swerv|el2_swerv>io_core_id", "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_core_rst_l", "sources":[ "~el2_swerv|el2_swerv>reset", "~el2_swerv|el2_swerv>io_scan_mode" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_ic_rw_addr", "sources":[ "~el2_swerv|el2_swerv>io_ic_rd_hit", "~el2_swerv|el2_swerv>io_mpc_reset_run_req", "~el2_swerv|el2_swerv>io_rst_vec", "~el2_swerv|el2_swerv>io_nmi_vec", "~el2_swerv|el2_swerv>io_core_id", "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_ic_sel_premux_data", "sources":[ "~el2_swerv|el2_swerv>io_ic_rd_hit", "~el2_swerv|el2_swerv>io_ifu_bus_clk_en", "~el2_swerv|el2_swerv>io_ifu_axi_rid", "~el2_swerv|el2_swerv>io_ifu_axi_rvalid", "~el2_swerv|el2_swerv>io_mpc_reset_run_req", "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_core_id" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_swerv|el2_swerv>io_iccm_wr_data", "sources":[ "~el2_swerv|el2_swerv>io_iccm_rd_data_ecc", "~el2_swerv|el2_swerv>io_ic_rd_hit", "~el2_swerv|el2_swerv>io_ic_rd_data", "~el2_swerv|el2_swerv>io_mpc_reset_run_req", "~el2_swerv|el2_swerv>io_dccm_rd_data_hi", "~el2_swerv|el2_swerv>io_dccm_rd_data_lo", "~el2_swerv|el2_swerv>io_rst_vec", "~el2_swerv|el2_swerv>io_nmi_vec", "~el2_swerv|el2_swerv>io_core_id" ] }, { "class":"firrtl.EmitCircuitAnnotation", "emitter":"firrtl.VerilogEmitter" }, { "class":"firrtl.transforms.BlackBoxResourceAnno", "target":"el2_swerv.TEC_RV_ICG", "resourceId":"/vsrc/TEC_RV_ICG.v" }, { "class":"firrtl.transforms.DontTouchAnnotation", "target":"~el2_swerv|el2_dec_trigger>io_dec_i0_trigger_match_d" }, { "class":"firrtl.options.TargetDirAnnotation", "directory":"." }, { "class":"firrtl.options.OutputAnnotationFileAnnotation", "file":"el2_swerv" }, { "class":"firrtl.transforms.BlackBoxTargetDirAnno", "targetDir":"." } ]