[ { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_test1", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_index", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_pkt_misp", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_btb_target_f", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_pc4_f", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_hist0_f", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_way_f", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_index", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_btag", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_pkt_misp", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_hist1_f", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_poffset_f", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_test2", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_index", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_btag", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_pkt_misp", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_hit_taken_f", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_bpred_disable", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_valid_f", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_bpred_disable", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_ret_f", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_inst_mask_f", "sources":[ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_hit_taken_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_bpred_disable", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, { "class":"firrtl.EmitCircuitAnnotation", "emitter":"firrtl.VerilogEmitter" }, { "class":"firrtl.options.TargetDirAnnotation", "directory":"." }, { "class":"firrtl.options.OutputAnnotationFileAnnotation", "file":"el2_ifu_bp_ctl" }, { "class":"firrtl.transforms.BlackBoxTargetDirAnno", "targetDir":"." } ]