quasar/verif/LEC/setup_files/Output_ports_2.0.fms

806 lines
105 KiB
Plaintext

set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/debug_brkpt_status i:/WORK/quasar_wrapper/io_debug_brkpt_status
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dec_tlu_perfcnt0 i:/WORK/quasar_wrapper/io_dec_tlu_perfcnt0
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dec_tlu_perfcnt1 i:/WORK/quasar_wrapper/io_dec_tlu_perfcnt1
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dec_tlu_perfcnt2 i:/WORK/quasar_wrapper/io_dec_tlu_perfcnt2
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dec_tlu_perfcnt3 i:/WORK/quasar_wrapper/io_dec_tlu_perfcnt3
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_arready i:/WORK/quasar_wrapper/io_dma_brg_ar_ready
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_awready i:/WORK/quasar_wrapper/io_dma_brg_aw_ready
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_bid[0] i:/WORK/quasar_wrapper/io_dma_brg_b_bits_id
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_bresp[0] i:/WORK/quasar_wrapper/io_dma_brg_b_bits_resp[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_bresp[1] i:/WORK/quasar_wrapper/io_dma_brg_b_bits_resp[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_bvalid i:/WORK/quasar_wrapper/io_dma_brg_b_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[0] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[10] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[11] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[12] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[13] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[14] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[15] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[16] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[17] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[18] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[19] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[1] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[20] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[21] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[22] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[23] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[24] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[25] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[26] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[27] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[28] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[29] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[2] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[30] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[31] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[32] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[32]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[33] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[33]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[34] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[34]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[35] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[35]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[36] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[36]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[37] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[37]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[38] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[38]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[39] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[39]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[3] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[40] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[40]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[41] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[41]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[42] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[42]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[43] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[43]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[44] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[44]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[45] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[45]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[46] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[46]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[47] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[47]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[48] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[48]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[49] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[49]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[4] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[50] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[50]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[51] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[51]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[52] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[52]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[53] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[53]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[54] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[54]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[55] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[55]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[56] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[56]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[57] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[57]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[58] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[58]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[59] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[59]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[5] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[60] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[60]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[61] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[61]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[62] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[62]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[63] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[63]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[6] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[7] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[8] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rdata[9] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_data[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rid[0] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_id
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rlast i:/WORK/quasar_wrapper/io_dma_brg_r_bits_last
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rresp[0] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_resp[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rresp[1] i:/WORK/quasar_wrapper/io_dma_brg_r_bits_resp[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_rvalid i:/WORK/quasar_wrapper/io_dma_brg_r_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/dma_axi_wready i:/WORK/quasar_wrapper/io_dma_brg_w_ready
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[0] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[10] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[11] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[12] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[13] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[14] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[15] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[16] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[17] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[18] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[19] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[1] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[20] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[21] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[22] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[23] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[24] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[25] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[26] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[27] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[28] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[29] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[2] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[30] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[31] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[3] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[4] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[5] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[6] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[7] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[8] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_araddr[9] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_addr[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arburst[0] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_burst[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arburst[1] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_burst[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arcache[0] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_cache[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arcache[1] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_cache[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arcache[2] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_cache[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arcache[3] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_cache[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arid[0] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_id[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arid[1] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_id[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arid[2] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_id[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arlen[0] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_len[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arlen[1] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_len[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arlen[2] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_len[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arlen[3] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_len[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arlen[4] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_len[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arlen[5] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_len[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arlen[6] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_len[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arlen[7] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_len[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arlock i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_lock
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arprot[0] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_prot[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arprot[1] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_prot[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arprot[2] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_prot[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arqos[0] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_qos[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arqos[1] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_qos[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arqos[2] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_qos[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arqos[3] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_qos[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arregion[0] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_region[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arregion[1] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_region[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arregion[2] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_region[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arregion[3] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_region[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arsize[0] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_size[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arsize[1] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_size[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arsize[2] i:/WORK/quasar_wrapper/io_ifu_brg_ar_bits_size[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_arvalid i:/WORK/quasar_wrapper/io_ifu_brg_ar_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[0] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[10] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[11] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[12] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[13] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[14] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[15] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[16] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[17] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[18] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[19] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[1] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[20] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[21] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[22] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[23] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[24] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[25] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[26] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[27] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[28] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[29] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[2] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[30] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[31] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[3] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[4] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[5] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[6] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[7] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[8] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awaddr[9] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_addr[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awburst[0] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_burst[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awburst[1] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_burst[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awcache[0] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_cache[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awcache[1] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_cache[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awcache[2] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_cache[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awcache[3] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_cache[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awid[0] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_id[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awid[1] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_id[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awid[2] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_id[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awlen[0] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_len[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awlen[1] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_len[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awlen[2] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_len[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awlen[3] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_len[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awlen[4] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_len[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awlen[5] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_len[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awlen[6] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_len[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awlen[7] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_len[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awlock i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_lock
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awprot[0] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_prot[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awprot[1] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_prot[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awprot[2] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_prot[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awqos[0] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_qos[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awqos[1] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_qos[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awqos[2] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_qos[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awqos[3] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_qos[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awregion[0] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_region[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awregion[1] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_region[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awregion[2] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_region[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awregion[3] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_region[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awsize[0] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_size[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awsize[1] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_size[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awsize[2] i:/WORK/quasar_wrapper/io_ifu_brg_aw_bits_size[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_awvalid i:/WORK/quasar_wrapper/io_ifu_brg_aw_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_bready i:/WORK/quasar_wrapper/io_ifu_brg_b_ready
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_rready i:/WORK/quasar_wrapper/io_ifu_brg_r_ready
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[0] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[10] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[11] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[12] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[13] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[14] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[15] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[16] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[17] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[18] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[19] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[1] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[20] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[21] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[22] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[23] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[24] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[25] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[26] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[27] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[28] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[29] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[2] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[30] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[31] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[32] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[32]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[33] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[33]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[34] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[34]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[35] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[35]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[36] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[36]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[37] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[37]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[38] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[38]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[39] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[39]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[3] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[40] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[40]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[41] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[41]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[42] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[42]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[43] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[43]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[44] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[44]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[45] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[45]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[46] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[46]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[47] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[47]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[48] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[48]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[49] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[49]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[4] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[50] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[50]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[51] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[51]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[52] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[52]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[53] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[53]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[54] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[54]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[55] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[55]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[56] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[56]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[57] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[57]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[58] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[58]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[59] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[59]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[5] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[60] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[60]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[61] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[61]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[62] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[62]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[63] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[63]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[6] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[7] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[8] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wdata[9] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_data[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wlast i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_last
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wstrb[0] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_strb[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wstrb[1] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_strb[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wstrb[2] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_strb[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wstrb[3] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_strb[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wstrb[4] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_strb[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wstrb[5] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_strb[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wstrb[6] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_strb[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wstrb[7] i:/WORK/quasar_wrapper/io_ifu_brg_w_bits_strb[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/ifu_axi_wvalid i:/WORK/quasar_wrapper/io_ifu_brg_w_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/jtag_tdo i:/WORK/quasar_wrapper/io_jtag_tdo
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[0] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[10] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[11] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[12] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[13] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[14] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[15] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[16] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[17] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[18] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[19] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[1] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[20] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[21] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[22] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[23] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[24] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[25] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[26] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[27] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[28] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[29] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[2] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[30] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[31] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[3] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[4] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[5] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[6] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[7] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[8] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_araddr[9] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_addr[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arburst[0] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_burst[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arburst[1] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_burst[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arcache[0] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_cache[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arcache[1] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_cache[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arcache[2] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_cache[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arcache[3] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_cache[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arid[0] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_id[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arid[1] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_id[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arid[2] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_id[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arlen[0] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_len[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arlen[1] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_len[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arlen[2] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_len[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arlen[3] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_len[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arlen[4] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_len[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arlen[5] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_len[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arlen[6] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_len[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arlen[7] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_len[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arlock i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_lock
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arprot[0] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_prot[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arprot[1] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_prot[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arprot[2] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_prot[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arqos[0] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_qos[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arqos[1] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_qos[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arqos[2] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_qos[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arqos[3] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_qos[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arregion[0] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_region[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arregion[1] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_region[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arregion[2] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_region[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arregion[3] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_region[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arsize[0] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_size[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arsize[1] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_size[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arsize[2] i:/WORK/quasar_wrapper/io_lsu_brg_ar_bits_size[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_arvalid i:/WORK/quasar_wrapper/io_lsu_brg_ar_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[0] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[10] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[11] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[12] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[13] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[14] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[15] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[16] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[17] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[18] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[19] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[1] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[20] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[21] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[22] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[23] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[24] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[25] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[26] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[27] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[28] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[29] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[2] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[30] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[31] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[3] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[4] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[5] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[6] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[7] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[8] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awaddr[9] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_addr[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awburst[0] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_burst[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awburst[1] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_burst[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awcache[0] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_cache[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awcache[1] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_cache[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awcache[2] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_cache[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awcache[3] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_cache[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awid[0] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_id[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awid[1] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_id[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awid[2] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_id[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awlen[0] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_len[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awlen[1] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_len[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awlen[2] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_len[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awlen[3] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_len[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awlen[4] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_len[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awlen[5] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_len[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awlen[6] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_len[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awlen[7] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_len[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awlock i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_lock
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awprot[0] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_prot[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awprot[1] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_prot[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awprot[2] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_prot[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awqos[0] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_qos[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awqos[1] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_qos[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awqos[2] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_qos[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awqos[3] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_qos[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awregion[0] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_region[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awregion[1] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_region[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awregion[2] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_region[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awregion[3] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_region[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awsize[0] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_size[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awsize[1] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_size[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awsize[2] i:/WORK/quasar_wrapper/io_lsu_brg_aw_bits_size[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_awvalid i:/WORK/quasar_wrapper/io_lsu_brg_aw_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_bready i:/WORK/quasar_wrapper/io_lsu_brg_b_ready
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_rready i:/WORK/quasar_wrapper/io_lsu_brg_r_ready
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[0] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[10] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[11] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[12] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[13] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[14] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[15] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[16] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[17] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[18] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[19] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[1] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[20] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[21] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[22] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[23] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[24] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[25] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[26] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[27] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[28] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[29] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[2] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[30] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[31] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[32] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[32]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[33] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[33]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[34] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[34]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[35] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[35]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[36] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[36]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[37] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[37]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[38] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[38]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[39] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[39]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[3] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[40] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[40]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[41] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[41]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[42] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[42]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[43] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[43]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[44] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[44]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[45] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[45]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[46] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[46]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[47] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[47]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[48] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[48]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[49] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[49]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[4] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[50] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[50]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[51] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[51]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[52] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[52]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[53] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[53]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[54] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[54]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[55] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[55]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[56] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[56]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[57] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[57]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[58] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[58]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[59] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[59]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[5] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[60] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[60]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[61] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[61]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[62] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[62]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[63] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[63]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[6] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[7] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[8] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wdata[9] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_data[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wlast i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_last
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wstrb[0] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_strb[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wstrb[1] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_strb[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wstrb[2] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_strb[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wstrb[3] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_strb[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wstrb[4] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_strb[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wstrb[5] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_strb[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wstrb[6] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_strb[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wstrb[7] i:/WORK/quasar_wrapper/io_lsu_brg_w_bits_strb[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/lsu_axi_wvalid i:/WORK/quasar_wrapper/io_lsu_brg_w_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/mpc_debug_halt_ack i:/WORK/quasar_wrapper/io_mpc_debug_halt_ack
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/mpc_debug_run_ack i:/WORK/quasar_wrapper/io_mpc_debug_run_ack
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/o_cpu_halt_ack i:/WORK/quasar_wrapper/io_o_cpu_halt_ack
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/o_cpu_halt_status i:/WORK/quasar_wrapper/io_o_cpu_halt_status
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/o_cpu_run_ack i:/WORK/quasar_wrapper/io_o_cpu_run_ack
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/o_debug_mode_status i:/WORK/quasar_wrapper/io_o_debug_mode_status
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[0] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[10] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[11] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[12] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[13] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[14] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[15] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[16] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[17] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[18] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[19] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[1] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[20] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[21] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[22] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[23] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[24] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[25] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[26] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[27] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[28] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[29] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[2] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[30] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[31] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[3] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[4] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[5] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[6] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[7] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[8] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_araddr[9] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_addr[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arburst[0] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_burst[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arburst[1] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_burst[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arcache[0] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_cache[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arcache[1] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_cache[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arcache[2] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_cache[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arcache[3] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_cache[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arid[0] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_id
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arlen[0] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_len[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arlen[1] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_len[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arlen[2] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_len[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arlen[3] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_len[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arlen[4] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_len[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arlen[5] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_len[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arlen[6] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_len[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arlen[7] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_len[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arlock i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_lock
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arprot[0] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_prot[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arprot[1] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_prot[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arprot[2] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_prot[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arqos[0] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_qos[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arqos[1] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_qos[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arqos[2] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_qos[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arqos[3] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_qos[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arregion[0] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_region[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arregion[1] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_region[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arregion[2] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_region[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arregion[3] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_region[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arsize[0] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_size[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arsize[1] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_size[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arsize[2] i:/WORK/quasar_wrapper/io_sb_brg_ar_bits_size[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_arvalid i:/WORK/quasar_wrapper/io_sb_brg_ar_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[0] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[10] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[11] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[12] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[13] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[14] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[15] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[16] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[17] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[18] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[19] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[1] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[20] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[21] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[22] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[23] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[24] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[25] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[26] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[27] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[28] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[29] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[2] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[30] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[31] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[3] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[4] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[5] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[6] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[7] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[8] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awaddr[9] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_addr[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awburst[0] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_burst[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awburst[1] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_burst[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awcache[0] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_cache[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awcache[1] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_cache[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awcache[2] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_cache[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awcache[3] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_cache[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awid[0] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_id
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awlen[0] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_len[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awlen[1] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_len[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awlen[2] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_len[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awlen[3] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_len[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awlen[4] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_len[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awlen[5] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_len[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awlen[6] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_len[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awlen[7] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_len[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awlock i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_lock
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awprot[0] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_prot[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awprot[1] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_prot[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awprot[2] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_prot[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awqos[0] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_qos[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awqos[1] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_qos[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awqos[2] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_qos[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awqos[3] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_qos[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awregion[0] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_region[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awregion[1] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_region[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awregion[2] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_region[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awregion[3] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_region[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awsize[0] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_size[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awsize[1] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_size[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awsize[2] i:/WORK/quasar_wrapper/io_sb_brg_aw_bits_size[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_awvalid i:/WORK/quasar_wrapper/io_sb_brg_aw_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_bready i:/WORK/quasar_wrapper/io_sb_brg_b_ready
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_rready i:/WORK/quasar_wrapper/io_sb_brg_r_ready
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[0] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[10] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[11] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[12] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[13] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[14] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[15] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[16] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[17] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[18] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[19] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[1] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[20] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[21] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[22] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[23] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[24] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[25] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[26] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[27] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[28] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[29] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[2] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[30] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[31] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[32] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[32]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[33] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[33]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[34] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[34]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[35] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[35]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[36] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[36]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[37] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[37]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[38] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[38]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[39] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[39]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[3] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[40] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[40]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[41] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[41]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[42] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[42]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[43] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[43]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[44] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[44]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[45] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[45]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[46] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[46]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[47] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[47]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[48] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[48]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[49] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[49]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[4] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[50] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[50]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[51] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[51]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[52] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[52]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[53] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[53]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[54] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[54]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[55] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[55]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[56] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[56]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[57] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[57]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[58] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[58]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[59] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[59]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[5] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[60] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[60]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[61] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[61]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[62] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[62]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[63] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[63]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[6] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[7] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[8] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wdata[9] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_data[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wlast i:/WORK/quasar_wrapper/io_sb_brg_w_bits_last
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wstrb[0] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_strb[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wstrb[1] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_strb[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wstrb[2] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_strb[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wstrb[3] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_strb[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wstrb[4] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_strb[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wstrb[5] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_strb[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wstrb[6] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_strb[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wstrb[7] i:/WORK/quasar_wrapper/io_sb_brg_w_bits_strb[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/sb_axi_wvalid i:/WORK/quasar_wrapper/io_sb_brg_w_valid
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[0] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[10] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[11] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[12] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[13] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[14] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[15] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[16] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[17] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[18] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[19] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[1] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[20] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[21] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[22] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[23] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[24] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[25] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[26] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[27] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[28] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[29] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[2] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[30] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[31] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[3] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[4] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[5] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[6] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[7] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[8] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_address_ip[9] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_address_ip[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_ecause_ip[0] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_ecause_ip[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_ecause_ip[1] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_ecause_ip[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_ecause_ip[2] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_ecause_ip[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_ecause_ip[3] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_ecause_ip[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_ecause_ip[4] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_ecause_ip[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_exception_ip i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_exception_ip
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[0] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[10] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[11] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[12] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[13] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[14] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[15] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[16] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[17] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[18] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[19] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[1] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[20] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[21] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[22] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[23] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[24] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[25] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[26] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[27] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[28] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[29] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[2] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[30] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[31] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[3] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[4] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[5] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[6] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[7] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[8] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_insn_ip[9] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_insn_ip[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_interrupt_ip i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_interrupt_ip
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[0] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[0]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[10] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[10]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[11] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[11]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[12] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[12]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[13] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[13]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[14] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[14]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[15] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[15]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[16] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[16]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[17] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[17]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[18] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[18]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[19] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[19]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[1] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[1]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[20] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[20]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[21] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[21]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[22] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[22]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[23] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[23]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[24] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[24]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[25] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[25]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[26] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[26]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[27] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[27]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[28] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[28]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[29] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[29]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[2] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[2]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[30] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[30]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[31] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[31]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[3] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[3]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[4] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[4]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[5] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[5]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[6] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[6]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[7] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[7]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[8] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[8]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_tval_ip[9] i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_tval_ip[9]
set_user_match -type port -noninverted r:/WORK/el2_swerv_wrapper/trace_rv_i_valid_ip i:/WORK/quasar_wrapper/io_rv_trace_pkt_rv_i_valid_ip