quasar/target/scala-2.12/classes/ifu/ifu_bp$delayedInit$body.class