quasar/lsu_dccm_ctl.fir

2263 lines
144 KiB
Plaintext

;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10
circuit lsu_dccm_ctl :
extmodule gated_latch :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch @[lib.scala 340:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 341:14]
clkhdr.CK <= io.clk @[lib.scala 342:18]
clkhdr.EN <= io.en @[lib.scala 343:18]
clkhdr.SE <= io.scan_mode @[lib.scala 344:18]
extmodule gated_latch_1 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_1 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_1 @[lib.scala 340:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 341:14]
clkhdr.CK <= io.clk @[lib.scala 342:18]
clkhdr.EN <= io.en @[lib.scala 343:18]
clkhdr.SE <= io.scan_mode @[lib.scala 344:18]
extmodule gated_latch_2 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_2 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_2 @[lib.scala 340:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 341:14]
clkhdr.CK <= io.clk @[lib.scala 342:18]
clkhdr.EN <= io.en @[lib.scala 343:18]
clkhdr.SE <= io.scan_mode @[lib.scala 344:18]
extmodule gated_latch_3 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_3 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_3 @[lib.scala 340:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 341:14]
clkhdr.CK <= io.clk @[lib.scala 342:18]
clkhdr.EN <= io.en @[lib.scala 343:18]
clkhdr.SE <= io.scan_mode @[lib.scala 344:18]
module lsu_dccm_ctl :
input clock : Clock
input reset : AsyncReset
output io : {flip clk_override : UInt<1>, flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_store_c1_r_clk : Clock, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, stack : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, stack : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, stack : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip addr_in_dccm_d : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip addr_in_pic_d : UInt<1>, flip addr_in_pic_m : UInt<1>, flip addr_in_pic_r : UInt<1>, flip lsu_raw_fwd_lo_r : UInt<1>, flip lsu_raw_fwd_hi_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<16>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<16>, flip end_addr_r : UInt<16>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_addr_any : UInt<16>, flip stbuf_data_any : UInt<32>, flip stbuf_ecc_any : UInt<7>, flip stbuf_fwddata_hi_m : UInt<32>, flip stbuf_fwddata_lo_m : UInt<32>, flip stbuf_fwdbyteen_lo_m : UInt<4>, flip stbuf_fwdbyteen_hi_m : UInt<4>, dccm_rdata_hi_r : UInt<32>, dccm_rdata_lo_r : UInt<32>, dccm_data_ecc_hi_r : UInt<7>, dccm_data_ecc_lo_r : UInt<7>, lsu_ld_data_r : UInt<32>, lsu_ld_data_corr_r : UInt<32>, flip lsu_double_ecc_error_r : UInt<1>, flip single_ecc_error_hi_r : UInt<1>, flip single_ecc_error_lo_r : UInt<1>, flip sec_data_hi_r : UInt<32>, flip sec_data_lo_r : UInt<32>, flip sec_data_hi_r_ff : UInt<32>, flip sec_data_lo_r_ff : UInt<32>, flip sec_data_ecc_hi_r_ff : UInt<7>, flip sec_data_ecc_lo_r_ff : UInt<7>, dccm_rdata_hi_m : UInt<32>, dccm_rdata_lo_m : UInt<32>, dccm_data_ecc_hi_m : UInt<7>, dccm_data_ecc_lo_m : UInt<7>, lsu_ld_data_m : UInt<32>, flip lsu_double_ecc_error_m : UInt<1>, flip sec_data_hi_m : UInt<32>, flip sec_data_lo_m : UInt<32>, flip store_data_m : UInt<32>, flip dma_dccm_wen : UInt<1>, flip dma_pic_wen : UInt<1>, flip dma_mem_tag_m : UInt<3>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip dma_dccm_wdata_ecc_hi : UInt<7>, flip dma_dccm_wdata_ecc_lo : UInt<7>, store_data_hi_r : UInt<32>, store_data_lo_r : UInt<32>, store_datafn_hi_r : UInt<32>, store_datafn_lo_r : UInt<32>, store_data_r : UInt<32>, ld_single_ecc_error_r : UInt<1>, ld_single_ecc_error_r_ff : UInt<1>, picm_mask_data_m : UInt<32>, lsu_stbuf_commit_any : UInt<1>, lsu_dccm_rden_m : UInt<1>, lsu_dccm_rden_r : UInt<1>, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, flip scan_mode : UInt<1>}
node picm_rd_data_m = cat(io.lsu_pic.picm_rd_data, io.lsu_pic.picm_rd_data) @[Cat.scala 29:58]
node dccm_rdata_corr_r = cat(io.sec_data_hi_r, io.sec_data_lo_r) @[Cat.scala 29:58]
node dccm_rdata_corr_m = cat(io.sec_data_hi_m, io.sec_data_lo_m) @[Cat.scala 29:58]
node dccm_rdata_r = cat(io.dccm_rdata_hi_r, io.dccm_rdata_lo_r) @[Cat.scala 29:58]
node dccm_rdata_m = cat(io.dccm_rdata_hi_m, io.dccm_rdata_lo_m) @[Cat.scala 29:58]
wire lsu_rdata_r : UInt<64>
lsu_rdata_r <= UInt<1>("h00")
wire lsu_rdata_m : UInt<64>
lsu_rdata_m <= UInt<1>("h00")
wire lsu_rdata_corr_r : UInt<64>
lsu_rdata_corr_r <= UInt<1>("h00")
wire lsu_rdata_corr_m : UInt<64>
lsu_rdata_corr_m <= UInt<1>("h00")
wire stbuf_fwddata_r : UInt<64>
stbuf_fwddata_r <= UInt<1>("h00")
wire stbuf_fwdbyteen_r : UInt<64>
stbuf_fwdbyteen_r <= UInt<1>("h00")
wire picm_rd_data_r_32 : UInt<32>
picm_rd_data_r_32 <= UInt<1>("h00")
wire picm_rd_data_r : UInt<64>
picm_rd_data_r <= UInt<1>("h00")
wire lsu_ld_data_corr_m : UInt<64>
lsu_ld_data_corr_m <= UInt<1>("h00")
wire stbuf_fwddata_en : UInt<1>
stbuf_fwddata_en <= UInt<1>("h00")
wire lsu_double_ecc_error_r_ff : UInt<1>
lsu_double_ecc_error_r_ff <= UInt<1>("h00")
wire ld_single_ecc_error_hi_r_ff : UInt<1>
ld_single_ecc_error_hi_r_ff <= UInt<1>("h00")
wire ld_single_ecc_error_lo_r_ff : UInt<1>
ld_single_ecc_error_lo_r_ff <= UInt<1>("h00")
wire ld_sec_addr_hi_r_ff : UInt<16>
ld_sec_addr_hi_r_ff <= UInt<1>("h00")
wire ld_sec_addr_lo_r_ff : UInt<16>
ld_sec_addr_lo_r_ff <= UInt<1>("h00")
io.lsu_ld_data_m <= UInt<1>("h00") @[lsu_dccm_ctl.scala 121:20]
node _T = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 145:63]
node _T_1 = and(_T, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 145:88]
io.dma_dccm_ctl.dccm_dma_rvalid <= _T_1 @[lsu_dccm_ctl.scala 145:41]
io.dma_dccm_ctl.dccm_dma_ecc_error <= io.lsu_double_ecc_error_m @[lsu_dccm_ctl.scala 146:41]
node _T_2 = bits(io.ldst_dual_m, 0, 0) @[lib.scala 8:44]
node _T_3 = bits(lsu_rdata_corr_m, 31, 0) @[lsu_dccm_ctl.scala 147:104]
node _T_4 = cat(_T_3, _T_3) @[Cat.scala 29:58]
node _T_5 = mux(_T_2, lsu_rdata_corr_m, _T_4) @[lsu_dccm_ctl.scala 147:47]
io.dma_dccm_ctl.dccm_dma_rdata <= _T_5 @[lsu_dccm_ctl.scala 147:41]
io.dma_dccm_ctl.dccm_dma_rtag <= io.dma_mem_tag_m @[lsu_dccm_ctl.scala 148:41]
io.dccm_rdata_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 149:28]
io.dccm_rdata_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 150:28]
io.dccm_data_ecc_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 151:28]
io.dccm_data_ecc_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 152:28]
io.lsu_ld_data_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 153:28]
node _T_6 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_7 = bits(_T_6, 0, 0) @[lsu_dccm_ctl.scala 155:134]
node _T_8 = bits(_T_7, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_9 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_10 = bits(_T_9, 7, 0) @[lsu_dccm_ctl.scala 155:196]
node _T_11 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_12 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 155:253]
node _T_13 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_14 = mux(_T_13, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_15 = bits(dccm_rdata_corr_m, 7, 0) @[lsu_dccm_ctl.scala 155:313]
node _T_16 = and(_T_14, _T_15) @[lsu_dccm_ctl.scala 155:294]
node _T_17 = mux(_T_11, _T_12, _T_16) @[lsu_dccm_ctl.scala 155:214]
node _T_18 = mux(_T_8, _T_10, _T_17) @[lsu_dccm_ctl.scala 155:78]
node _T_19 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_20 = xor(UInt<8>("h0ff"), _T_19) @[Bitwise.scala 102:21]
node _T_21 = shr(_T_18, 4) @[Bitwise.scala 103:21]
node _T_22 = and(_T_21, _T_20) @[Bitwise.scala 103:31]
node _T_23 = bits(_T_18, 3, 0) @[Bitwise.scala 103:46]
node _T_24 = shl(_T_23, 4) @[Bitwise.scala 103:65]
node _T_25 = not(_T_20) @[Bitwise.scala 103:77]
node _T_26 = and(_T_24, _T_25) @[Bitwise.scala 103:75]
node _T_27 = or(_T_22, _T_26) @[Bitwise.scala 103:39]
node _T_28 = bits(_T_20, 5, 0) @[Bitwise.scala 102:28]
node _T_29 = shl(_T_28, 2) @[Bitwise.scala 102:47]
node _T_30 = xor(_T_20, _T_29) @[Bitwise.scala 102:21]
node _T_31 = shr(_T_27, 2) @[Bitwise.scala 103:21]
node _T_32 = and(_T_31, _T_30) @[Bitwise.scala 103:31]
node _T_33 = bits(_T_27, 5, 0) @[Bitwise.scala 103:46]
node _T_34 = shl(_T_33, 2) @[Bitwise.scala 103:65]
node _T_35 = not(_T_30) @[Bitwise.scala 103:77]
node _T_36 = and(_T_34, _T_35) @[Bitwise.scala 103:75]
node _T_37 = or(_T_32, _T_36) @[Bitwise.scala 103:39]
node _T_38 = bits(_T_30, 6, 0) @[Bitwise.scala 102:28]
node _T_39 = shl(_T_38, 1) @[Bitwise.scala 102:47]
node _T_40 = xor(_T_30, _T_39) @[Bitwise.scala 102:21]
node _T_41 = shr(_T_37, 1) @[Bitwise.scala 103:21]
node _T_42 = and(_T_41, _T_40) @[Bitwise.scala 103:31]
node _T_43 = bits(_T_37, 6, 0) @[Bitwise.scala 103:46]
node _T_44 = shl(_T_43, 1) @[Bitwise.scala 103:65]
node _T_45 = not(_T_40) @[Bitwise.scala 103:77]
node _T_46 = and(_T_44, _T_45) @[Bitwise.scala 103:75]
node _T_47 = or(_T_42, _T_46) @[Bitwise.scala 103:39]
node _T_48 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_49 = bits(_T_48, 1, 1) @[lsu_dccm_ctl.scala 155:134]
node _T_50 = bits(_T_49, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_51 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_52 = bits(_T_51, 15, 8) @[lsu_dccm_ctl.scala 155:196]
node _T_53 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_54 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 155:253]
node _T_55 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_56 = mux(_T_55, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_57 = bits(dccm_rdata_corr_m, 15, 8) @[lsu_dccm_ctl.scala 155:313]
node _T_58 = and(_T_56, _T_57) @[lsu_dccm_ctl.scala 155:294]
node _T_59 = mux(_T_53, _T_54, _T_58) @[lsu_dccm_ctl.scala 155:214]
node _T_60 = mux(_T_50, _T_52, _T_59) @[lsu_dccm_ctl.scala 155:78]
node _T_61 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_62 = xor(UInt<8>("h0ff"), _T_61) @[Bitwise.scala 102:21]
node _T_63 = shr(_T_60, 4) @[Bitwise.scala 103:21]
node _T_64 = and(_T_63, _T_62) @[Bitwise.scala 103:31]
node _T_65 = bits(_T_60, 3, 0) @[Bitwise.scala 103:46]
node _T_66 = shl(_T_65, 4) @[Bitwise.scala 103:65]
node _T_67 = not(_T_62) @[Bitwise.scala 103:77]
node _T_68 = and(_T_66, _T_67) @[Bitwise.scala 103:75]
node _T_69 = or(_T_64, _T_68) @[Bitwise.scala 103:39]
node _T_70 = bits(_T_62, 5, 0) @[Bitwise.scala 102:28]
node _T_71 = shl(_T_70, 2) @[Bitwise.scala 102:47]
node _T_72 = xor(_T_62, _T_71) @[Bitwise.scala 102:21]
node _T_73 = shr(_T_69, 2) @[Bitwise.scala 103:21]
node _T_74 = and(_T_73, _T_72) @[Bitwise.scala 103:31]
node _T_75 = bits(_T_69, 5, 0) @[Bitwise.scala 103:46]
node _T_76 = shl(_T_75, 2) @[Bitwise.scala 103:65]
node _T_77 = not(_T_72) @[Bitwise.scala 103:77]
node _T_78 = and(_T_76, _T_77) @[Bitwise.scala 103:75]
node _T_79 = or(_T_74, _T_78) @[Bitwise.scala 103:39]
node _T_80 = bits(_T_72, 6, 0) @[Bitwise.scala 102:28]
node _T_81 = shl(_T_80, 1) @[Bitwise.scala 102:47]
node _T_82 = xor(_T_72, _T_81) @[Bitwise.scala 102:21]
node _T_83 = shr(_T_79, 1) @[Bitwise.scala 103:21]
node _T_84 = and(_T_83, _T_82) @[Bitwise.scala 103:31]
node _T_85 = bits(_T_79, 6, 0) @[Bitwise.scala 103:46]
node _T_86 = shl(_T_85, 1) @[Bitwise.scala 103:65]
node _T_87 = not(_T_82) @[Bitwise.scala 103:77]
node _T_88 = and(_T_86, _T_87) @[Bitwise.scala 103:75]
node _T_89 = or(_T_84, _T_88) @[Bitwise.scala 103:39]
node _T_90 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_91 = bits(_T_90, 2, 2) @[lsu_dccm_ctl.scala 155:134]
node _T_92 = bits(_T_91, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_93 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_94 = bits(_T_93, 23, 16) @[lsu_dccm_ctl.scala 155:196]
node _T_95 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_96 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 155:253]
node _T_97 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_98 = mux(_T_97, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_99 = bits(dccm_rdata_corr_m, 23, 16) @[lsu_dccm_ctl.scala 155:313]
node _T_100 = and(_T_98, _T_99) @[lsu_dccm_ctl.scala 155:294]
node _T_101 = mux(_T_95, _T_96, _T_100) @[lsu_dccm_ctl.scala 155:214]
node _T_102 = mux(_T_92, _T_94, _T_101) @[lsu_dccm_ctl.scala 155:78]
node _T_103 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_104 = xor(UInt<8>("h0ff"), _T_103) @[Bitwise.scala 102:21]
node _T_105 = shr(_T_102, 4) @[Bitwise.scala 103:21]
node _T_106 = and(_T_105, _T_104) @[Bitwise.scala 103:31]
node _T_107 = bits(_T_102, 3, 0) @[Bitwise.scala 103:46]
node _T_108 = shl(_T_107, 4) @[Bitwise.scala 103:65]
node _T_109 = not(_T_104) @[Bitwise.scala 103:77]
node _T_110 = and(_T_108, _T_109) @[Bitwise.scala 103:75]
node _T_111 = or(_T_106, _T_110) @[Bitwise.scala 103:39]
node _T_112 = bits(_T_104, 5, 0) @[Bitwise.scala 102:28]
node _T_113 = shl(_T_112, 2) @[Bitwise.scala 102:47]
node _T_114 = xor(_T_104, _T_113) @[Bitwise.scala 102:21]
node _T_115 = shr(_T_111, 2) @[Bitwise.scala 103:21]
node _T_116 = and(_T_115, _T_114) @[Bitwise.scala 103:31]
node _T_117 = bits(_T_111, 5, 0) @[Bitwise.scala 103:46]
node _T_118 = shl(_T_117, 2) @[Bitwise.scala 103:65]
node _T_119 = not(_T_114) @[Bitwise.scala 103:77]
node _T_120 = and(_T_118, _T_119) @[Bitwise.scala 103:75]
node _T_121 = or(_T_116, _T_120) @[Bitwise.scala 103:39]
node _T_122 = bits(_T_114, 6, 0) @[Bitwise.scala 102:28]
node _T_123 = shl(_T_122, 1) @[Bitwise.scala 102:47]
node _T_124 = xor(_T_114, _T_123) @[Bitwise.scala 102:21]
node _T_125 = shr(_T_121, 1) @[Bitwise.scala 103:21]
node _T_126 = and(_T_125, _T_124) @[Bitwise.scala 103:31]
node _T_127 = bits(_T_121, 6, 0) @[Bitwise.scala 103:46]
node _T_128 = shl(_T_127, 1) @[Bitwise.scala 103:65]
node _T_129 = not(_T_124) @[Bitwise.scala 103:77]
node _T_130 = and(_T_128, _T_129) @[Bitwise.scala 103:75]
node _T_131 = or(_T_126, _T_130) @[Bitwise.scala 103:39]
node _T_132 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_133 = bits(_T_132, 3, 3) @[lsu_dccm_ctl.scala 155:134]
node _T_134 = bits(_T_133, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_135 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_136 = bits(_T_135, 31, 24) @[lsu_dccm_ctl.scala 155:196]
node _T_137 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_138 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 155:253]
node _T_139 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_140 = mux(_T_139, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_141 = bits(dccm_rdata_corr_m, 31, 24) @[lsu_dccm_ctl.scala 155:313]
node _T_142 = and(_T_140, _T_141) @[lsu_dccm_ctl.scala 155:294]
node _T_143 = mux(_T_137, _T_138, _T_142) @[lsu_dccm_ctl.scala 155:214]
node _T_144 = mux(_T_134, _T_136, _T_143) @[lsu_dccm_ctl.scala 155:78]
node _T_145 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_146 = xor(UInt<8>("h0ff"), _T_145) @[Bitwise.scala 102:21]
node _T_147 = shr(_T_144, 4) @[Bitwise.scala 103:21]
node _T_148 = and(_T_147, _T_146) @[Bitwise.scala 103:31]
node _T_149 = bits(_T_144, 3, 0) @[Bitwise.scala 103:46]
node _T_150 = shl(_T_149, 4) @[Bitwise.scala 103:65]
node _T_151 = not(_T_146) @[Bitwise.scala 103:77]
node _T_152 = and(_T_150, _T_151) @[Bitwise.scala 103:75]
node _T_153 = or(_T_148, _T_152) @[Bitwise.scala 103:39]
node _T_154 = bits(_T_146, 5, 0) @[Bitwise.scala 102:28]
node _T_155 = shl(_T_154, 2) @[Bitwise.scala 102:47]
node _T_156 = xor(_T_146, _T_155) @[Bitwise.scala 102:21]
node _T_157 = shr(_T_153, 2) @[Bitwise.scala 103:21]
node _T_158 = and(_T_157, _T_156) @[Bitwise.scala 103:31]
node _T_159 = bits(_T_153, 5, 0) @[Bitwise.scala 103:46]
node _T_160 = shl(_T_159, 2) @[Bitwise.scala 103:65]
node _T_161 = not(_T_156) @[Bitwise.scala 103:77]
node _T_162 = and(_T_160, _T_161) @[Bitwise.scala 103:75]
node _T_163 = or(_T_158, _T_162) @[Bitwise.scala 103:39]
node _T_164 = bits(_T_156, 6, 0) @[Bitwise.scala 102:28]
node _T_165 = shl(_T_164, 1) @[Bitwise.scala 102:47]
node _T_166 = xor(_T_156, _T_165) @[Bitwise.scala 102:21]
node _T_167 = shr(_T_163, 1) @[Bitwise.scala 103:21]
node _T_168 = and(_T_167, _T_166) @[Bitwise.scala 103:31]
node _T_169 = bits(_T_163, 6, 0) @[Bitwise.scala 103:46]
node _T_170 = shl(_T_169, 1) @[Bitwise.scala 103:65]
node _T_171 = not(_T_166) @[Bitwise.scala 103:77]
node _T_172 = and(_T_170, _T_171) @[Bitwise.scala 103:75]
node _T_173 = or(_T_168, _T_172) @[Bitwise.scala 103:39]
node _T_174 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_175 = bits(_T_174, 4, 4) @[lsu_dccm_ctl.scala 155:134]
node _T_176 = bits(_T_175, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_177 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_178 = bits(_T_177, 39, 32) @[lsu_dccm_ctl.scala 155:196]
node _T_179 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_180 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 155:253]
node _T_181 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_182 = mux(_T_181, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_183 = bits(dccm_rdata_corr_m, 39, 32) @[lsu_dccm_ctl.scala 155:313]
node _T_184 = and(_T_182, _T_183) @[lsu_dccm_ctl.scala 155:294]
node _T_185 = mux(_T_179, _T_180, _T_184) @[lsu_dccm_ctl.scala 155:214]
node _T_186 = mux(_T_176, _T_178, _T_185) @[lsu_dccm_ctl.scala 155:78]
node _T_187 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_188 = xor(UInt<8>("h0ff"), _T_187) @[Bitwise.scala 102:21]
node _T_189 = shr(_T_186, 4) @[Bitwise.scala 103:21]
node _T_190 = and(_T_189, _T_188) @[Bitwise.scala 103:31]
node _T_191 = bits(_T_186, 3, 0) @[Bitwise.scala 103:46]
node _T_192 = shl(_T_191, 4) @[Bitwise.scala 103:65]
node _T_193 = not(_T_188) @[Bitwise.scala 103:77]
node _T_194 = and(_T_192, _T_193) @[Bitwise.scala 103:75]
node _T_195 = or(_T_190, _T_194) @[Bitwise.scala 103:39]
node _T_196 = bits(_T_188, 5, 0) @[Bitwise.scala 102:28]
node _T_197 = shl(_T_196, 2) @[Bitwise.scala 102:47]
node _T_198 = xor(_T_188, _T_197) @[Bitwise.scala 102:21]
node _T_199 = shr(_T_195, 2) @[Bitwise.scala 103:21]
node _T_200 = and(_T_199, _T_198) @[Bitwise.scala 103:31]
node _T_201 = bits(_T_195, 5, 0) @[Bitwise.scala 103:46]
node _T_202 = shl(_T_201, 2) @[Bitwise.scala 103:65]
node _T_203 = not(_T_198) @[Bitwise.scala 103:77]
node _T_204 = and(_T_202, _T_203) @[Bitwise.scala 103:75]
node _T_205 = or(_T_200, _T_204) @[Bitwise.scala 103:39]
node _T_206 = bits(_T_198, 6, 0) @[Bitwise.scala 102:28]
node _T_207 = shl(_T_206, 1) @[Bitwise.scala 102:47]
node _T_208 = xor(_T_198, _T_207) @[Bitwise.scala 102:21]
node _T_209 = shr(_T_205, 1) @[Bitwise.scala 103:21]
node _T_210 = and(_T_209, _T_208) @[Bitwise.scala 103:31]
node _T_211 = bits(_T_205, 6, 0) @[Bitwise.scala 103:46]
node _T_212 = shl(_T_211, 1) @[Bitwise.scala 103:65]
node _T_213 = not(_T_208) @[Bitwise.scala 103:77]
node _T_214 = and(_T_212, _T_213) @[Bitwise.scala 103:75]
node _T_215 = or(_T_210, _T_214) @[Bitwise.scala 103:39]
node _T_216 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_217 = bits(_T_216, 5, 5) @[lsu_dccm_ctl.scala 155:134]
node _T_218 = bits(_T_217, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_219 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_220 = bits(_T_219, 47, 40) @[lsu_dccm_ctl.scala 155:196]
node _T_221 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_222 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 155:253]
node _T_223 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_224 = mux(_T_223, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_225 = bits(dccm_rdata_corr_m, 47, 40) @[lsu_dccm_ctl.scala 155:313]
node _T_226 = and(_T_224, _T_225) @[lsu_dccm_ctl.scala 155:294]
node _T_227 = mux(_T_221, _T_222, _T_226) @[lsu_dccm_ctl.scala 155:214]
node _T_228 = mux(_T_218, _T_220, _T_227) @[lsu_dccm_ctl.scala 155:78]
node _T_229 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_230 = xor(UInt<8>("h0ff"), _T_229) @[Bitwise.scala 102:21]
node _T_231 = shr(_T_228, 4) @[Bitwise.scala 103:21]
node _T_232 = and(_T_231, _T_230) @[Bitwise.scala 103:31]
node _T_233 = bits(_T_228, 3, 0) @[Bitwise.scala 103:46]
node _T_234 = shl(_T_233, 4) @[Bitwise.scala 103:65]
node _T_235 = not(_T_230) @[Bitwise.scala 103:77]
node _T_236 = and(_T_234, _T_235) @[Bitwise.scala 103:75]
node _T_237 = or(_T_232, _T_236) @[Bitwise.scala 103:39]
node _T_238 = bits(_T_230, 5, 0) @[Bitwise.scala 102:28]
node _T_239 = shl(_T_238, 2) @[Bitwise.scala 102:47]
node _T_240 = xor(_T_230, _T_239) @[Bitwise.scala 102:21]
node _T_241 = shr(_T_237, 2) @[Bitwise.scala 103:21]
node _T_242 = and(_T_241, _T_240) @[Bitwise.scala 103:31]
node _T_243 = bits(_T_237, 5, 0) @[Bitwise.scala 103:46]
node _T_244 = shl(_T_243, 2) @[Bitwise.scala 103:65]
node _T_245 = not(_T_240) @[Bitwise.scala 103:77]
node _T_246 = and(_T_244, _T_245) @[Bitwise.scala 103:75]
node _T_247 = or(_T_242, _T_246) @[Bitwise.scala 103:39]
node _T_248 = bits(_T_240, 6, 0) @[Bitwise.scala 102:28]
node _T_249 = shl(_T_248, 1) @[Bitwise.scala 102:47]
node _T_250 = xor(_T_240, _T_249) @[Bitwise.scala 102:21]
node _T_251 = shr(_T_247, 1) @[Bitwise.scala 103:21]
node _T_252 = and(_T_251, _T_250) @[Bitwise.scala 103:31]
node _T_253 = bits(_T_247, 6, 0) @[Bitwise.scala 103:46]
node _T_254 = shl(_T_253, 1) @[Bitwise.scala 103:65]
node _T_255 = not(_T_250) @[Bitwise.scala 103:77]
node _T_256 = and(_T_254, _T_255) @[Bitwise.scala 103:75]
node _T_257 = or(_T_252, _T_256) @[Bitwise.scala 103:39]
node _T_258 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_259 = bits(_T_258, 6, 6) @[lsu_dccm_ctl.scala 155:134]
node _T_260 = bits(_T_259, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_261 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_262 = bits(_T_261, 55, 48) @[lsu_dccm_ctl.scala 155:196]
node _T_263 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_264 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 155:253]
node _T_265 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_266 = mux(_T_265, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_267 = bits(dccm_rdata_corr_m, 55, 48) @[lsu_dccm_ctl.scala 155:313]
node _T_268 = and(_T_266, _T_267) @[lsu_dccm_ctl.scala 155:294]
node _T_269 = mux(_T_263, _T_264, _T_268) @[lsu_dccm_ctl.scala 155:214]
node _T_270 = mux(_T_260, _T_262, _T_269) @[lsu_dccm_ctl.scala 155:78]
node _T_271 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_272 = xor(UInt<8>("h0ff"), _T_271) @[Bitwise.scala 102:21]
node _T_273 = shr(_T_270, 4) @[Bitwise.scala 103:21]
node _T_274 = and(_T_273, _T_272) @[Bitwise.scala 103:31]
node _T_275 = bits(_T_270, 3, 0) @[Bitwise.scala 103:46]
node _T_276 = shl(_T_275, 4) @[Bitwise.scala 103:65]
node _T_277 = not(_T_272) @[Bitwise.scala 103:77]
node _T_278 = and(_T_276, _T_277) @[Bitwise.scala 103:75]
node _T_279 = or(_T_274, _T_278) @[Bitwise.scala 103:39]
node _T_280 = bits(_T_272, 5, 0) @[Bitwise.scala 102:28]
node _T_281 = shl(_T_280, 2) @[Bitwise.scala 102:47]
node _T_282 = xor(_T_272, _T_281) @[Bitwise.scala 102:21]
node _T_283 = shr(_T_279, 2) @[Bitwise.scala 103:21]
node _T_284 = and(_T_283, _T_282) @[Bitwise.scala 103:31]
node _T_285 = bits(_T_279, 5, 0) @[Bitwise.scala 103:46]
node _T_286 = shl(_T_285, 2) @[Bitwise.scala 103:65]
node _T_287 = not(_T_282) @[Bitwise.scala 103:77]
node _T_288 = and(_T_286, _T_287) @[Bitwise.scala 103:75]
node _T_289 = or(_T_284, _T_288) @[Bitwise.scala 103:39]
node _T_290 = bits(_T_282, 6, 0) @[Bitwise.scala 102:28]
node _T_291 = shl(_T_290, 1) @[Bitwise.scala 102:47]
node _T_292 = xor(_T_282, _T_291) @[Bitwise.scala 102:21]
node _T_293 = shr(_T_289, 1) @[Bitwise.scala 103:21]
node _T_294 = and(_T_293, _T_292) @[Bitwise.scala 103:31]
node _T_295 = bits(_T_289, 6, 0) @[Bitwise.scala 103:46]
node _T_296 = shl(_T_295, 1) @[Bitwise.scala 103:65]
node _T_297 = not(_T_292) @[Bitwise.scala 103:77]
node _T_298 = and(_T_296, _T_297) @[Bitwise.scala 103:75]
node _T_299 = or(_T_294, _T_298) @[Bitwise.scala 103:39]
node _T_300 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_301 = bits(_T_300, 7, 7) @[lsu_dccm_ctl.scala 155:134]
node _T_302 = bits(_T_301, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_303 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_304 = bits(_T_303, 63, 56) @[lsu_dccm_ctl.scala 155:196]
node _T_305 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_306 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 155:253]
node _T_307 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_308 = mux(_T_307, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_309 = bits(dccm_rdata_corr_m, 63, 56) @[lsu_dccm_ctl.scala 155:313]
node _T_310 = and(_T_308, _T_309) @[lsu_dccm_ctl.scala 155:294]
node _T_311 = mux(_T_305, _T_306, _T_310) @[lsu_dccm_ctl.scala 155:214]
node _T_312 = mux(_T_302, _T_304, _T_311) @[lsu_dccm_ctl.scala 155:78]
node _T_313 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_314 = xor(UInt<8>("h0ff"), _T_313) @[Bitwise.scala 102:21]
node _T_315 = shr(_T_312, 4) @[Bitwise.scala 103:21]
node _T_316 = and(_T_315, _T_314) @[Bitwise.scala 103:31]
node _T_317 = bits(_T_312, 3, 0) @[Bitwise.scala 103:46]
node _T_318 = shl(_T_317, 4) @[Bitwise.scala 103:65]
node _T_319 = not(_T_314) @[Bitwise.scala 103:77]
node _T_320 = and(_T_318, _T_319) @[Bitwise.scala 103:75]
node _T_321 = or(_T_316, _T_320) @[Bitwise.scala 103:39]
node _T_322 = bits(_T_314, 5, 0) @[Bitwise.scala 102:28]
node _T_323 = shl(_T_322, 2) @[Bitwise.scala 102:47]
node _T_324 = xor(_T_314, _T_323) @[Bitwise.scala 102:21]
node _T_325 = shr(_T_321, 2) @[Bitwise.scala 103:21]
node _T_326 = and(_T_325, _T_324) @[Bitwise.scala 103:31]
node _T_327 = bits(_T_321, 5, 0) @[Bitwise.scala 103:46]
node _T_328 = shl(_T_327, 2) @[Bitwise.scala 103:65]
node _T_329 = not(_T_324) @[Bitwise.scala 103:77]
node _T_330 = and(_T_328, _T_329) @[Bitwise.scala 103:75]
node _T_331 = or(_T_326, _T_330) @[Bitwise.scala 103:39]
node _T_332 = bits(_T_324, 6, 0) @[Bitwise.scala 102:28]
node _T_333 = shl(_T_332, 1) @[Bitwise.scala 102:47]
node _T_334 = xor(_T_324, _T_333) @[Bitwise.scala 102:21]
node _T_335 = shr(_T_331, 1) @[Bitwise.scala 103:21]
node _T_336 = and(_T_335, _T_334) @[Bitwise.scala 103:31]
node _T_337 = bits(_T_331, 6, 0) @[Bitwise.scala 103:46]
node _T_338 = shl(_T_337, 1) @[Bitwise.scala 103:65]
node _T_339 = not(_T_334) @[Bitwise.scala 103:77]
node _T_340 = and(_T_338, _T_339) @[Bitwise.scala 103:75]
node _T_341 = or(_T_336, _T_340) @[Bitwise.scala 103:39]
wire _T_342 : UInt<8>[8] @[lsu_dccm_ctl.scala 155:62]
_T_342[0] <= _T_47 @[lsu_dccm_ctl.scala 155:62]
_T_342[1] <= _T_89 @[lsu_dccm_ctl.scala 155:62]
_T_342[2] <= _T_131 @[lsu_dccm_ctl.scala 155:62]
_T_342[3] <= _T_173 @[lsu_dccm_ctl.scala 155:62]
_T_342[4] <= _T_215 @[lsu_dccm_ctl.scala 155:62]
_T_342[5] <= _T_257 @[lsu_dccm_ctl.scala 155:62]
_T_342[6] <= _T_299 @[lsu_dccm_ctl.scala 155:62]
_T_342[7] <= _T_341 @[lsu_dccm_ctl.scala 155:62]
node _T_343 = cat(_T_342[6], _T_342[7]) @[Cat.scala 29:58]
node _T_344 = cat(_T_342[4], _T_342[5]) @[Cat.scala 29:58]
node _T_345 = cat(_T_344, _T_343) @[Cat.scala 29:58]
node _T_346 = cat(_T_342[2], _T_342[3]) @[Cat.scala 29:58]
node _T_347 = cat(_T_342[0], _T_342[1]) @[Cat.scala 29:58]
node _T_348 = cat(_T_347, _T_346) @[Cat.scala 29:58]
node _T_349 = cat(_T_348, _T_345) @[Cat.scala 29:58]
node _T_350 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47]
node _T_351 = xor(UInt<64>("h0ffffffffffffffff"), _T_350) @[Bitwise.scala 102:21]
node _T_352 = shr(_T_349, 32) @[Bitwise.scala 103:21]
node _T_353 = and(_T_352, _T_351) @[Bitwise.scala 103:31]
node _T_354 = bits(_T_349, 31, 0) @[Bitwise.scala 103:46]
node _T_355 = shl(_T_354, 32) @[Bitwise.scala 103:65]
node _T_356 = not(_T_351) @[Bitwise.scala 103:77]
node _T_357 = and(_T_355, _T_356) @[Bitwise.scala 103:75]
node _T_358 = or(_T_353, _T_357) @[Bitwise.scala 103:39]
node _T_359 = bits(_T_351, 47, 0) @[Bitwise.scala 102:28]
node _T_360 = shl(_T_359, 16) @[Bitwise.scala 102:47]
node _T_361 = xor(_T_351, _T_360) @[Bitwise.scala 102:21]
node _T_362 = shr(_T_358, 16) @[Bitwise.scala 103:21]
node _T_363 = and(_T_362, _T_361) @[Bitwise.scala 103:31]
node _T_364 = bits(_T_358, 47, 0) @[Bitwise.scala 103:46]
node _T_365 = shl(_T_364, 16) @[Bitwise.scala 103:65]
node _T_366 = not(_T_361) @[Bitwise.scala 103:77]
node _T_367 = and(_T_365, _T_366) @[Bitwise.scala 103:75]
node _T_368 = or(_T_363, _T_367) @[Bitwise.scala 103:39]
node _T_369 = bits(_T_361, 55, 0) @[Bitwise.scala 102:28]
node _T_370 = shl(_T_369, 8) @[Bitwise.scala 102:47]
node _T_371 = xor(_T_361, _T_370) @[Bitwise.scala 102:21]
node _T_372 = shr(_T_368, 8) @[Bitwise.scala 103:21]
node _T_373 = and(_T_372, _T_371) @[Bitwise.scala 103:31]
node _T_374 = bits(_T_368, 55, 0) @[Bitwise.scala 103:46]
node _T_375 = shl(_T_374, 8) @[Bitwise.scala 103:65]
node _T_376 = not(_T_371) @[Bitwise.scala 103:77]
node _T_377 = and(_T_375, _T_376) @[Bitwise.scala 103:75]
node _T_378 = or(_T_373, _T_377) @[Bitwise.scala 103:39]
node _T_379 = bits(_T_371, 59, 0) @[Bitwise.scala 102:28]
node _T_380 = shl(_T_379, 4) @[Bitwise.scala 102:47]
node _T_381 = xor(_T_371, _T_380) @[Bitwise.scala 102:21]
node _T_382 = shr(_T_378, 4) @[Bitwise.scala 103:21]
node _T_383 = and(_T_382, _T_381) @[Bitwise.scala 103:31]
node _T_384 = bits(_T_378, 59, 0) @[Bitwise.scala 103:46]
node _T_385 = shl(_T_384, 4) @[Bitwise.scala 103:65]
node _T_386 = not(_T_381) @[Bitwise.scala 103:77]
node _T_387 = and(_T_385, _T_386) @[Bitwise.scala 103:75]
node _T_388 = or(_T_383, _T_387) @[Bitwise.scala 103:39]
node _T_389 = bits(_T_381, 61, 0) @[Bitwise.scala 102:28]
node _T_390 = shl(_T_389, 2) @[Bitwise.scala 102:47]
node _T_391 = xor(_T_381, _T_390) @[Bitwise.scala 102:21]
node _T_392 = shr(_T_388, 2) @[Bitwise.scala 103:21]
node _T_393 = and(_T_392, _T_391) @[Bitwise.scala 103:31]
node _T_394 = bits(_T_388, 61, 0) @[Bitwise.scala 103:46]
node _T_395 = shl(_T_394, 2) @[Bitwise.scala 103:65]
node _T_396 = not(_T_391) @[Bitwise.scala 103:77]
node _T_397 = and(_T_395, _T_396) @[Bitwise.scala 103:75]
node _T_398 = or(_T_393, _T_397) @[Bitwise.scala 103:39]
node _T_399 = bits(_T_391, 62, 0) @[Bitwise.scala 102:28]
node _T_400 = shl(_T_399, 1) @[Bitwise.scala 102:47]
node _T_401 = xor(_T_391, _T_400) @[Bitwise.scala 102:21]
node _T_402 = shr(_T_398, 1) @[Bitwise.scala 103:21]
node _T_403 = and(_T_402, _T_401) @[Bitwise.scala 103:31]
node _T_404 = bits(_T_398, 62, 0) @[Bitwise.scala 103:46]
node _T_405 = shl(_T_404, 1) @[Bitwise.scala 103:65]
node _T_406 = not(_T_401) @[Bitwise.scala 103:77]
node _T_407 = and(_T_405, _T_406) @[Bitwise.scala 103:75]
node _T_408 = or(_T_403, _T_407) @[Bitwise.scala 103:39]
lsu_rdata_corr_m <= _T_408 @[lsu_dccm_ctl.scala 155:28]
node _T_409 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_410 = bits(_T_409, 0, 0) @[lsu_dccm_ctl.scala 156:134]
node _T_411 = bits(_T_410, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_412 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_413 = bits(_T_412, 7, 0) @[lsu_dccm_ctl.scala 156:196]
node _T_414 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_415 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 156:253]
node _T_416 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_417 = mux(_T_416, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_418 = bits(dccm_rdata_m, 7, 0) @[lsu_dccm_ctl.scala 156:308]
node _T_419 = and(_T_417, _T_418) @[lsu_dccm_ctl.scala 156:294]
node _T_420 = mux(_T_414, _T_415, _T_419) @[lsu_dccm_ctl.scala 156:214]
node _T_421 = mux(_T_411, _T_413, _T_420) @[lsu_dccm_ctl.scala 156:78]
node _T_422 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_423 = xor(UInt<8>("h0ff"), _T_422) @[Bitwise.scala 102:21]
node _T_424 = shr(_T_421, 4) @[Bitwise.scala 103:21]
node _T_425 = and(_T_424, _T_423) @[Bitwise.scala 103:31]
node _T_426 = bits(_T_421, 3, 0) @[Bitwise.scala 103:46]
node _T_427 = shl(_T_426, 4) @[Bitwise.scala 103:65]
node _T_428 = not(_T_423) @[Bitwise.scala 103:77]
node _T_429 = and(_T_427, _T_428) @[Bitwise.scala 103:75]
node _T_430 = or(_T_425, _T_429) @[Bitwise.scala 103:39]
node _T_431 = bits(_T_423, 5, 0) @[Bitwise.scala 102:28]
node _T_432 = shl(_T_431, 2) @[Bitwise.scala 102:47]
node _T_433 = xor(_T_423, _T_432) @[Bitwise.scala 102:21]
node _T_434 = shr(_T_430, 2) @[Bitwise.scala 103:21]
node _T_435 = and(_T_434, _T_433) @[Bitwise.scala 103:31]
node _T_436 = bits(_T_430, 5, 0) @[Bitwise.scala 103:46]
node _T_437 = shl(_T_436, 2) @[Bitwise.scala 103:65]
node _T_438 = not(_T_433) @[Bitwise.scala 103:77]
node _T_439 = and(_T_437, _T_438) @[Bitwise.scala 103:75]
node _T_440 = or(_T_435, _T_439) @[Bitwise.scala 103:39]
node _T_441 = bits(_T_433, 6, 0) @[Bitwise.scala 102:28]
node _T_442 = shl(_T_441, 1) @[Bitwise.scala 102:47]
node _T_443 = xor(_T_433, _T_442) @[Bitwise.scala 102:21]
node _T_444 = shr(_T_440, 1) @[Bitwise.scala 103:21]
node _T_445 = and(_T_444, _T_443) @[Bitwise.scala 103:31]
node _T_446 = bits(_T_440, 6, 0) @[Bitwise.scala 103:46]
node _T_447 = shl(_T_446, 1) @[Bitwise.scala 103:65]
node _T_448 = not(_T_443) @[Bitwise.scala 103:77]
node _T_449 = and(_T_447, _T_448) @[Bitwise.scala 103:75]
node _T_450 = or(_T_445, _T_449) @[Bitwise.scala 103:39]
node _T_451 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_452 = bits(_T_451, 1, 1) @[lsu_dccm_ctl.scala 156:134]
node _T_453 = bits(_T_452, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_454 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_455 = bits(_T_454, 15, 8) @[lsu_dccm_ctl.scala 156:196]
node _T_456 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_457 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 156:253]
node _T_458 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_459 = mux(_T_458, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_460 = bits(dccm_rdata_m, 15, 8) @[lsu_dccm_ctl.scala 156:308]
node _T_461 = and(_T_459, _T_460) @[lsu_dccm_ctl.scala 156:294]
node _T_462 = mux(_T_456, _T_457, _T_461) @[lsu_dccm_ctl.scala 156:214]
node _T_463 = mux(_T_453, _T_455, _T_462) @[lsu_dccm_ctl.scala 156:78]
node _T_464 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_465 = xor(UInt<8>("h0ff"), _T_464) @[Bitwise.scala 102:21]
node _T_466 = shr(_T_463, 4) @[Bitwise.scala 103:21]
node _T_467 = and(_T_466, _T_465) @[Bitwise.scala 103:31]
node _T_468 = bits(_T_463, 3, 0) @[Bitwise.scala 103:46]
node _T_469 = shl(_T_468, 4) @[Bitwise.scala 103:65]
node _T_470 = not(_T_465) @[Bitwise.scala 103:77]
node _T_471 = and(_T_469, _T_470) @[Bitwise.scala 103:75]
node _T_472 = or(_T_467, _T_471) @[Bitwise.scala 103:39]
node _T_473 = bits(_T_465, 5, 0) @[Bitwise.scala 102:28]
node _T_474 = shl(_T_473, 2) @[Bitwise.scala 102:47]
node _T_475 = xor(_T_465, _T_474) @[Bitwise.scala 102:21]
node _T_476 = shr(_T_472, 2) @[Bitwise.scala 103:21]
node _T_477 = and(_T_476, _T_475) @[Bitwise.scala 103:31]
node _T_478 = bits(_T_472, 5, 0) @[Bitwise.scala 103:46]
node _T_479 = shl(_T_478, 2) @[Bitwise.scala 103:65]
node _T_480 = not(_T_475) @[Bitwise.scala 103:77]
node _T_481 = and(_T_479, _T_480) @[Bitwise.scala 103:75]
node _T_482 = or(_T_477, _T_481) @[Bitwise.scala 103:39]
node _T_483 = bits(_T_475, 6, 0) @[Bitwise.scala 102:28]
node _T_484 = shl(_T_483, 1) @[Bitwise.scala 102:47]
node _T_485 = xor(_T_475, _T_484) @[Bitwise.scala 102:21]
node _T_486 = shr(_T_482, 1) @[Bitwise.scala 103:21]
node _T_487 = and(_T_486, _T_485) @[Bitwise.scala 103:31]
node _T_488 = bits(_T_482, 6, 0) @[Bitwise.scala 103:46]
node _T_489 = shl(_T_488, 1) @[Bitwise.scala 103:65]
node _T_490 = not(_T_485) @[Bitwise.scala 103:77]
node _T_491 = and(_T_489, _T_490) @[Bitwise.scala 103:75]
node _T_492 = or(_T_487, _T_491) @[Bitwise.scala 103:39]
node _T_493 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_494 = bits(_T_493, 2, 2) @[lsu_dccm_ctl.scala 156:134]
node _T_495 = bits(_T_494, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_496 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_497 = bits(_T_496, 23, 16) @[lsu_dccm_ctl.scala 156:196]
node _T_498 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_499 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 156:253]
node _T_500 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_501 = mux(_T_500, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_502 = bits(dccm_rdata_m, 23, 16) @[lsu_dccm_ctl.scala 156:308]
node _T_503 = and(_T_501, _T_502) @[lsu_dccm_ctl.scala 156:294]
node _T_504 = mux(_T_498, _T_499, _T_503) @[lsu_dccm_ctl.scala 156:214]
node _T_505 = mux(_T_495, _T_497, _T_504) @[lsu_dccm_ctl.scala 156:78]
node _T_506 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_507 = xor(UInt<8>("h0ff"), _T_506) @[Bitwise.scala 102:21]
node _T_508 = shr(_T_505, 4) @[Bitwise.scala 103:21]
node _T_509 = and(_T_508, _T_507) @[Bitwise.scala 103:31]
node _T_510 = bits(_T_505, 3, 0) @[Bitwise.scala 103:46]
node _T_511 = shl(_T_510, 4) @[Bitwise.scala 103:65]
node _T_512 = not(_T_507) @[Bitwise.scala 103:77]
node _T_513 = and(_T_511, _T_512) @[Bitwise.scala 103:75]
node _T_514 = or(_T_509, _T_513) @[Bitwise.scala 103:39]
node _T_515 = bits(_T_507, 5, 0) @[Bitwise.scala 102:28]
node _T_516 = shl(_T_515, 2) @[Bitwise.scala 102:47]
node _T_517 = xor(_T_507, _T_516) @[Bitwise.scala 102:21]
node _T_518 = shr(_T_514, 2) @[Bitwise.scala 103:21]
node _T_519 = and(_T_518, _T_517) @[Bitwise.scala 103:31]
node _T_520 = bits(_T_514, 5, 0) @[Bitwise.scala 103:46]
node _T_521 = shl(_T_520, 2) @[Bitwise.scala 103:65]
node _T_522 = not(_T_517) @[Bitwise.scala 103:77]
node _T_523 = and(_T_521, _T_522) @[Bitwise.scala 103:75]
node _T_524 = or(_T_519, _T_523) @[Bitwise.scala 103:39]
node _T_525 = bits(_T_517, 6, 0) @[Bitwise.scala 102:28]
node _T_526 = shl(_T_525, 1) @[Bitwise.scala 102:47]
node _T_527 = xor(_T_517, _T_526) @[Bitwise.scala 102:21]
node _T_528 = shr(_T_524, 1) @[Bitwise.scala 103:21]
node _T_529 = and(_T_528, _T_527) @[Bitwise.scala 103:31]
node _T_530 = bits(_T_524, 6, 0) @[Bitwise.scala 103:46]
node _T_531 = shl(_T_530, 1) @[Bitwise.scala 103:65]
node _T_532 = not(_T_527) @[Bitwise.scala 103:77]
node _T_533 = and(_T_531, _T_532) @[Bitwise.scala 103:75]
node _T_534 = or(_T_529, _T_533) @[Bitwise.scala 103:39]
node _T_535 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_536 = bits(_T_535, 3, 3) @[lsu_dccm_ctl.scala 156:134]
node _T_537 = bits(_T_536, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_538 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_539 = bits(_T_538, 31, 24) @[lsu_dccm_ctl.scala 156:196]
node _T_540 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_541 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 156:253]
node _T_542 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_543 = mux(_T_542, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_544 = bits(dccm_rdata_m, 31, 24) @[lsu_dccm_ctl.scala 156:308]
node _T_545 = and(_T_543, _T_544) @[lsu_dccm_ctl.scala 156:294]
node _T_546 = mux(_T_540, _T_541, _T_545) @[lsu_dccm_ctl.scala 156:214]
node _T_547 = mux(_T_537, _T_539, _T_546) @[lsu_dccm_ctl.scala 156:78]
node _T_548 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_549 = xor(UInt<8>("h0ff"), _T_548) @[Bitwise.scala 102:21]
node _T_550 = shr(_T_547, 4) @[Bitwise.scala 103:21]
node _T_551 = and(_T_550, _T_549) @[Bitwise.scala 103:31]
node _T_552 = bits(_T_547, 3, 0) @[Bitwise.scala 103:46]
node _T_553 = shl(_T_552, 4) @[Bitwise.scala 103:65]
node _T_554 = not(_T_549) @[Bitwise.scala 103:77]
node _T_555 = and(_T_553, _T_554) @[Bitwise.scala 103:75]
node _T_556 = or(_T_551, _T_555) @[Bitwise.scala 103:39]
node _T_557 = bits(_T_549, 5, 0) @[Bitwise.scala 102:28]
node _T_558 = shl(_T_557, 2) @[Bitwise.scala 102:47]
node _T_559 = xor(_T_549, _T_558) @[Bitwise.scala 102:21]
node _T_560 = shr(_T_556, 2) @[Bitwise.scala 103:21]
node _T_561 = and(_T_560, _T_559) @[Bitwise.scala 103:31]
node _T_562 = bits(_T_556, 5, 0) @[Bitwise.scala 103:46]
node _T_563 = shl(_T_562, 2) @[Bitwise.scala 103:65]
node _T_564 = not(_T_559) @[Bitwise.scala 103:77]
node _T_565 = and(_T_563, _T_564) @[Bitwise.scala 103:75]
node _T_566 = or(_T_561, _T_565) @[Bitwise.scala 103:39]
node _T_567 = bits(_T_559, 6, 0) @[Bitwise.scala 102:28]
node _T_568 = shl(_T_567, 1) @[Bitwise.scala 102:47]
node _T_569 = xor(_T_559, _T_568) @[Bitwise.scala 102:21]
node _T_570 = shr(_T_566, 1) @[Bitwise.scala 103:21]
node _T_571 = and(_T_570, _T_569) @[Bitwise.scala 103:31]
node _T_572 = bits(_T_566, 6, 0) @[Bitwise.scala 103:46]
node _T_573 = shl(_T_572, 1) @[Bitwise.scala 103:65]
node _T_574 = not(_T_569) @[Bitwise.scala 103:77]
node _T_575 = and(_T_573, _T_574) @[Bitwise.scala 103:75]
node _T_576 = or(_T_571, _T_575) @[Bitwise.scala 103:39]
node _T_577 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_578 = bits(_T_577, 4, 4) @[lsu_dccm_ctl.scala 156:134]
node _T_579 = bits(_T_578, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_580 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_581 = bits(_T_580, 39, 32) @[lsu_dccm_ctl.scala 156:196]
node _T_582 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_583 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 156:253]
node _T_584 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_585 = mux(_T_584, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_586 = bits(dccm_rdata_m, 39, 32) @[lsu_dccm_ctl.scala 156:308]
node _T_587 = and(_T_585, _T_586) @[lsu_dccm_ctl.scala 156:294]
node _T_588 = mux(_T_582, _T_583, _T_587) @[lsu_dccm_ctl.scala 156:214]
node _T_589 = mux(_T_579, _T_581, _T_588) @[lsu_dccm_ctl.scala 156:78]
node _T_590 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_591 = xor(UInt<8>("h0ff"), _T_590) @[Bitwise.scala 102:21]
node _T_592 = shr(_T_589, 4) @[Bitwise.scala 103:21]
node _T_593 = and(_T_592, _T_591) @[Bitwise.scala 103:31]
node _T_594 = bits(_T_589, 3, 0) @[Bitwise.scala 103:46]
node _T_595 = shl(_T_594, 4) @[Bitwise.scala 103:65]
node _T_596 = not(_T_591) @[Bitwise.scala 103:77]
node _T_597 = and(_T_595, _T_596) @[Bitwise.scala 103:75]
node _T_598 = or(_T_593, _T_597) @[Bitwise.scala 103:39]
node _T_599 = bits(_T_591, 5, 0) @[Bitwise.scala 102:28]
node _T_600 = shl(_T_599, 2) @[Bitwise.scala 102:47]
node _T_601 = xor(_T_591, _T_600) @[Bitwise.scala 102:21]
node _T_602 = shr(_T_598, 2) @[Bitwise.scala 103:21]
node _T_603 = and(_T_602, _T_601) @[Bitwise.scala 103:31]
node _T_604 = bits(_T_598, 5, 0) @[Bitwise.scala 103:46]
node _T_605 = shl(_T_604, 2) @[Bitwise.scala 103:65]
node _T_606 = not(_T_601) @[Bitwise.scala 103:77]
node _T_607 = and(_T_605, _T_606) @[Bitwise.scala 103:75]
node _T_608 = or(_T_603, _T_607) @[Bitwise.scala 103:39]
node _T_609 = bits(_T_601, 6, 0) @[Bitwise.scala 102:28]
node _T_610 = shl(_T_609, 1) @[Bitwise.scala 102:47]
node _T_611 = xor(_T_601, _T_610) @[Bitwise.scala 102:21]
node _T_612 = shr(_T_608, 1) @[Bitwise.scala 103:21]
node _T_613 = and(_T_612, _T_611) @[Bitwise.scala 103:31]
node _T_614 = bits(_T_608, 6, 0) @[Bitwise.scala 103:46]
node _T_615 = shl(_T_614, 1) @[Bitwise.scala 103:65]
node _T_616 = not(_T_611) @[Bitwise.scala 103:77]
node _T_617 = and(_T_615, _T_616) @[Bitwise.scala 103:75]
node _T_618 = or(_T_613, _T_617) @[Bitwise.scala 103:39]
node _T_619 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_620 = bits(_T_619, 5, 5) @[lsu_dccm_ctl.scala 156:134]
node _T_621 = bits(_T_620, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_622 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_623 = bits(_T_622, 47, 40) @[lsu_dccm_ctl.scala 156:196]
node _T_624 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_625 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 156:253]
node _T_626 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_628 = bits(dccm_rdata_m, 47, 40) @[lsu_dccm_ctl.scala 156:308]
node _T_629 = and(_T_627, _T_628) @[lsu_dccm_ctl.scala 156:294]
node _T_630 = mux(_T_624, _T_625, _T_629) @[lsu_dccm_ctl.scala 156:214]
node _T_631 = mux(_T_621, _T_623, _T_630) @[lsu_dccm_ctl.scala 156:78]
node _T_632 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_633 = xor(UInt<8>("h0ff"), _T_632) @[Bitwise.scala 102:21]
node _T_634 = shr(_T_631, 4) @[Bitwise.scala 103:21]
node _T_635 = and(_T_634, _T_633) @[Bitwise.scala 103:31]
node _T_636 = bits(_T_631, 3, 0) @[Bitwise.scala 103:46]
node _T_637 = shl(_T_636, 4) @[Bitwise.scala 103:65]
node _T_638 = not(_T_633) @[Bitwise.scala 103:77]
node _T_639 = and(_T_637, _T_638) @[Bitwise.scala 103:75]
node _T_640 = or(_T_635, _T_639) @[Bitwise.scala 103:39]
node _T_641 = bits(_T_633, 5, 0) @[Bitwise.scala 102:28]
node _T_642 = shl(_T_641, 2) @[Bitwise.scala 102:47]
node _T_643 = xor(_T_633, _T_642) @[Bitwise.scala 102:21]
node _T_644 = shr(_T_640, 2) @[Bitwise.scala 103:21]
node _T_645 = and(_T_644, _T_643) @[Bitwise.scala 103:31]
node _T_646 = bits(_T_640, 5, 0) @[Bitwise.scala 103:46]
node _T_647 = shl(_T_646, 2) @[Bitwise.scala 103:65]
node _T_648 = not(_T_643) @[Bitwise.scala 103:77]
node _T_649 = and(_T_647, _T_648) @[Bitwise.scala 103:75]
node _T_650 = or(_T_645, _T_649) @[Bitwise.scala 103:39]
node _T_651 = bits(_T_643, 6, 0) @[Bitwise.scala 102:28]
node _T_652 = shl(_T_651, 1) @[Bitwise.scala 102:47]
node _T_653 = xor(_T_643, _T_652) @[Bitwise.scala 102:21]
node _T_654 = shr(_T_650, 1) @[Bitwise.scala 103:21]
node _T_655 = and(_T_654, _T_653) @[Bitwise.scala 103:31]
node _T_656 = bits(_T_650, 6, 0) @[Bitwise.scala 103:46]
node _T_657 = shl(_T_656, 1) @[Bitwise.scala 103:65]
node _T_658 = not(_T_653) @[Bitwise.scala 103:77]
node _T_659 = and(_T_657, _T_658) @[Bitwise.scala 103:75]
node _T_660 = or(_T_655, _T_659) @[Bitwise.scala 103:39]
node _T_661 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_662 = bits(_T_661, 6, 6) @[lsu_dccm_ctl.scala 156:134]
node _T_663 = bits(_T_662, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_664 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_665 = bits(_T_664, 55, 48) @[lsu_dccm_ctl.scala 156:196]
node _T_666 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_667 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 156:253]
node _T_668 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_669 = mux(_T_668, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_670 = bits(dccm_rdata_m, 55, 48) @[lsu_dccm_ctl.scala 156:308]
node _T_671 = and(_T_669, _T_670) @[lsu_dccm_ctl.scala 156:294]
node _T_672 = mux(_T_666, _T_667, _T_671) @[lsu_dccm_ctl.scala 156:214]
node _T_673 = mux(_T_663, _T_665, _T_672) @[lsu_dccm_ctl.scala 156:78]
node _T_674 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_675 = xor(UInt<8>("h0ff"), _T_674) @[Bitwise.scala 102:21]
node _T_676 = shr(_T_673, 4) @[Bitwise.scala 103:21]
node _T_677 = and(_T_676, _T_675) @[Bitwise.scala 103:31]
node _T_678 = bits(_T_673, 3, 0) @[Bitwise.scala 103:46]
node _T_679 = shl(_T_678, 4) @[Bitwise.scala 103:65]
node _T_680 = not(_T_675) @[Bitwise.scala 103:77]
node _T_681 = and(_T_679, _T_680) @[Bitwise.scala 103:75]
node _T_682 = or(_T_677, _T_681) @[Bitwise.scala 103:39]
node _T_683 = bits(_T_675, 5, 0) @[Bitwise.scala 102:28]
node _T_684 = shl(_T_683, 2) @[Bitwise.scala 102:47]
node _T_685 = xor(_T_675, _T_684) @[Bitwise.scala 102:21]
node _T_686 = shr(_T_682, 2) @[Bitwise.scala 103:21]
node _T_687 = and(_T_686, _T_685) @[Bitwise.scala 103:31]
node _T_688 = bits(_T_682, 5, 0) @[Bitwise.scala 103:46]
node _T_689 = shl(_T_688, 2) @[Bitwise.scala 103:65]
node _T_690 = not(_T_685) @[Bitwise.scala 103:77]
node _T_691 = and(_T_689, _T_690) @[Bitwise.scala 103:75]
node _T_692 = or(_T_687, _T_691) @[Bitwise.scala 103:39]
node _T_693 = bits(_T_685, 6, 0) @[Bitwise.scala 102:28]
node _T_694 = shl(_T_693, 1) @[Bitwise.scala 102:47]
node _T_695 = xor(_T_685, _T_694) @[Bitwise.scala 102:21]
node _T_696 = shr(_T_692, 1) @[Bitwise.scala 103:21]
node _T_697 = and(_T_696, _T_695) @[Bitwise.scala 103:31]
node _T_698 = bits(_T_692, 6, 0) @[Bitwise.scala 103:46]
node _T_699 = shl(_T_698, 1) @[Bitwise.scala 103:65]
node _T_700 = not(_T_695) @[Bitwise.scala 103:77]
node _T_701 = and(_T_699, _T_700) @[Bitwise.scala 103:75]
node _T_702 = or(_T_697, _T_701) @[Bitwise.scala 103:39]
node _T_703 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_704 = bits(_T_703, 7, 7) @[lsu_dccm_ctl.scala 156:134]
node _T_705 = bits(_T_704, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_706 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_707 = bits(_T_706, 63, 56) @[lsu_dccm_ctl.scala 156:196]
node _T_708 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_709 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 156:253]
node _T_710 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_712 = bits(dccm_rdata_m, 63, 56) @[lsu_dccm_ctl.scala 156:308]
node _T_713 = and(_T_711, _T_712) @[lsu_dccm_ctl.scala 156:294]
node _T_714 = mux(_T_708, _T_709, _T_713) @[lsu_dccm_ctl.scala 156:214]
node _T_715 = mux(_T_705, _T_707, _T_714) @[lsu_dccm_ctl.scala 156:78]
node _T_716 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_717 = xor(UInt<8>("h0ff"), _T_716) @[Bitwise.scala 102:21]
node _T_718 = shr(_T_715, 4) @[Bitwise.scala 103:21]
node _T_719 = and(_T_718, _T_717) @[Bitwise.scala 103:31]
node _T_720 = bits(_T_715, 3, 0) @[Bitwise.scala 103:46]
node _T_721 = shl(_T_720, 4) @[Bitwise.scala 103:65]
node _T_722 = not(_T_717) @[Bitwise.scala 103:77]
node _T_723 = and(_T_721, _T_722) @[Bitwise.scala 103:75]
node _T_724 = or(_T_719, _T_723) @[Bitwise.scala 103:39]
node _T_725 = bits(_T_717, 5, 0) @[Bitwise.scala 102:28]
node _T_726 = shl(_T_725, 2) @[Bitwise.scala 102:47]
node _T_727 = xor(_T_717, _T_726) @[Bitwise.scala 102:21]
node _T_728 = shr(_T_724, 2) @[Bitwise.scala 103:21]
node _T_729 = and(_T_728, _T_727) @[Bitwise.scala 103:31]
node _T_730 = bits(_T_724, 5, 0) @[Bitwise.scala 103:46]
node _T_731 = shl(_T_730, 2) @[Bitwise.scala 103:65]
node _T_732 = not(_T_727) @[Bitwise.scala 103:77]
node _T_733 = and(_T_731, _T_732) @[Bitwise.scala 103:75]
node _T_734 = or(_T_729, _T_733) @[Bitwise.scala 103:39]
node _T_735 = bits(_T_727, 6, 0) @[Bitwise.scala 102:28]
node _T_736 = shl(_T_735, 1) @[Bitwise.scala 102:47]
node _T_737 = xor(_T_727, _T_736) @[Bitwise.scala 102:21]
node _T_738 = shr(_T_734, 1) @[Bitwise.scala 103:21]
node _T_739 = and(_T_738, _T_737) @[Bitwise.scala 103:31]
node _T_740 = bits(_T_734, 6, 0) @[Bitwise.scala 103:46]
node _T_741 = shl(_T_740, 1) @[Bitwise.scala 103:65]
node _T_742 = not(_T_737) @[Bitwise.scala 103:77]
node _T_743 = and(_T_741, _T_742) @[Bitwise.scala 103:75]
node _T_744 = or(_T_739, _T_743) @[Bitwise.scala 103:39]
wire _T_745 : UInt<8>[8] @[lsu_dccm_ctl.scala 156:62]
_T_745[0] <= _T_450 @[lsu_dccm_ctl.scala 156:62]
_T_745[1] <= _T_492 @[lsu_dccm_ctl.scala 156:62]
_T_745[2] <= _T_534 @[lsu_dccm_ctl.scala 156:62]
_T_745[3] <= _T_576 @[lsu_dccm_ctl.scala 156:62]
_T_745[4] <= _T_618 @[lsu_dccm_ctl.scala 156:62]
_T_745[5] <= _T_660 @[lsu_dccm_ctl.scala 156:62]
_T_745[6] <= _T_702 @[lsu_dccm_ctl.scala 156:62]
_T_745[7] <= _T_744 @[lsu_dccm_ctl.scala 156:62]
node _T_746 = cat(_T_745[6], _T_745[7]) @[Cat.scala 29:58]
node _T_747 = cat(_T_745[4], _T_745[5]) @[Cat.scala 29:58]
node _T_748 = cat(_T_747, _T_746) @[Cat.scala 29:58]
node _T_749 = cat(_T_745[2], _T_745[3]) @[Cat.scala 29:58]
node _T_750 = cat(_T_745[0], _T_745[1]) @[Cat.scala 29:58]
node _T_751 = cat(_T_750, _T_749) @[Cat.scala 29:58]
node _T_752 = cat(_T_751, _T_748) @[Cat.scala 29:58]
node _T_753 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47]
node _T_754 = xor(UInt<64>("h0ffffffffffffffff"), _T_753) @[Bitwise.scala 102:21]
node _T_755 = shr(_T_752, 32) @[Bitwise.scala 103:21]
node _T_756 = and(_T_755, _T_754) @[Bitwise.scala 103:31]
node _T_757 = bits(_T_752, 31, 0) @[Bitwise.scala 103:46]
node _T_758 = shl(_T_757, 32) @[Bitwise.scala 103:65]
node _T_759 = not(_T_754) @[Bitwise.scala 103:77]
node _T_760 = and(_T_758, _T_759) @[Bitwise.scala 103:75]
node _T_761 = or(_T_756, _T_760) @[Bitwise.scala 103:39]
node _T_762 = bits(_T_754, 47, 0) @[Bitwise.scala 102:28]
node _T_763 = shl(_T_762, 16) @[Bitwise.scala 102:47]
node _T_764 = xor(_T_754, _T_763) @[Bitwise.scala 102:21]
node _T_765 = shr(_T_761, 16) @[Bitwise.scala 103:21]
node _T_766 = and(_T_765, _T_764) @[Bitwise.scala 103:31]
node _T_767 = bits(_T_761, 47, 0) @[Bitwise.scala 103:46]
node _T_768 = shl(_T_767, 16) @[Bitwise.scala 103:65]
node _T_769 = not(_T_764) @[Bitwise.scala 103:77]
node _T_770 = and(_T_768, _T_769) @[Bitwise.scala 103:75]
node _T_771 = or(_T_766, _T_770) @[Bitwise.scala 103:39]
node _T_772 = bits(_T_764, 55, 0) @[Bitwise.scala 102:28]
node _T_773 = shl(_T_772, 8) @[Bitwise.scala 102:47]
node _T_774 = xor(_T_764, _T_773) @[Bitwise.scala 102:21]
node _T_775 = shr(_T_771, 8) @[Bitwise.scala 103:21]
node _T_776 = and(_T_775, _T_774) @[Bitwise.scala 103:31]
node _T_777 = bits(_T_771, 55, 0) @[Bitwise.scala 103:46]
node _T_778 = shl(_T_777, 8) @[Bitwise.scala 103:65]
node _T_779 = not(_T_774) @[Bitwise.scala 103:77]
node _T_780 = and(_T_778, _T_779) @[Bitwise.scala 103:75]
node _T_781 = or(_T_776, _T_780) @[Bitwise.scala 103:39]
node _T_782 = bits(_T_774, 59, 0) @[Bitwise.scala 102:28]
node _T_783 = shl(_T_782, 4) @[Bitwise.scala 102:47]
node _T_784 = xor(_T_774, _T_783) @[Bitwise.scala 102:21]
node _T_785 = shr(_T_781, 4) @[Bitwise.scala 103:21]
node _T_786 = and(_T_785, _T_784) @[Bitwise.scala 103:31]
node _T_787 = bits(_T_781, 59, 0) @[Bitwise.scala 103:46]
node _T_788 = shl(_T_787, 4) @[Bitwise.scala 103:65]
node _T_789 = not(_T_784) @[Bitwise.scala 103:77]
node _T_790 = and(_T_788, _T_789) @[Bitwise.scala 103:75]
node _T_791 = or(_T_786, _T_790) @[Bitwise.scala 103:39]
node _T_792 = bits(_T_784, 61, 0) @[Bitwise.scala 102:28]
node _T_793 = shl(_T_792, 2) @[Bitwise.scala 102:47]
node _T_794 = xor(_T_784, _T_793) @[Bitwise.scala 102:21]
node _T_795 = shr(_T_791, 2) @[Bitwise.scala 103:21]
node _T_796 = and(_T_795, _T_794) @[Bitwise.scala 103:31]
node _T_797 = bits(_T_791, 61, 0) @[Bitwise.scala 103:46]
node _T_798 = shl(_T_797, 2) @[Bitwise.scala 103:65]
node _T_799 = not(_T_794) @[Bitwise.scala 103:77]
node _T_800 = and(_T_798, _T_799) @[Bitwise.scala 103:75]
node _T_801 = or(_T_796, _T_800) @[Bitwise.scala 103:39]
node _T_802 = bits(_T_794, 62, 0) @[Bitwise.scala 102:28]
node _T_803 = shl(_T_802, 1) @[Bitwise.scala 102:47]
node _T_804 = xor(_T_794, _T_803) @[Bitwise.scala 102:21]
node _T_805 = shr(_T_801, 1) @[Bitwise.scala 103:21]
node _T_806 = and(_T_805, _T_804) @[Bitwise.scala 103:31]
node _T_807 = bits(_T_801, 62, 0) @[Bitwise.scala 103:46]
node _T_808 = shl(_T_807, 1) @[Bitwise.scala 103:65]
node _T_809 = not(_T_804) @[Bitwise.scala 103:77]
node _T_810 = and(_T_808, _T_809) @[Bitwise.scala 103:75]
node _T_811 = or(_T_806, _T_810) @[Bitwise.scala 103:39]
lsu_rdata_m <= _T_811 @[lsu_dccm_ctl.scala 156:28]
node _T_812 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 157:78]
node _T_813 = or(io.addr_in_pic_m, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 157:123]
node _T_814 = and(_T_812, _T_813) @[lsu_dccm_ctl.scala 157:103]
node _T_815 = or(_T_814, io.clk_override) @[lsu_dccm_ctl.scala 157:145]
node _T_816 = bits(_T_815, 0, 0) @[lib.scala 8:44]
node _T_817 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44]
inst rvclkhdr of rvclkhdr @[lib.scala 415:23]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[lib.scala 417:18]
rvclkhdr.io.en <= _T_816 @[lib.scala 418:17]
rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 419:24]
reg _T_818 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_816 : @[Reg.scala 28:19]
_T_818 <= lsu_ld_data_corr_m @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
io.lsu_ld_data_corr_r <= _T_818 @[lsu_dccm_ctl.scala 157:28]
node _T_819 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 158:63]
node _T_820 = mul(UInt<4>("h08"), _T_819) @[lsu_dccm_ctl.scala 158:49]
node _T_821 = dshr(lsu_rdata_m, _T_820) @[lsu_dccm_ctl.scala 158:43]
io.lsu_ld_data_m <= _T_821 @[lsu_dccm_ctl.scala 158:28]
node _T_822 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 159:68]
node _T_823 = mul(UInt<4>("h08"), _T_822) @[lsu_dccm_ctl.scala 159:54]
node _T_824 = dshr(lsu_rdata_corr_m, _T_823) @[lsu_dccm_ctl.scala 159:48]
lsu_ld_data_corr_m <= _T_824 @[lsu_dccm_ctl.scala 159:28]
node _T_825 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:44]
node _T_826 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:77]
node _T_827 = eq(_T_825, _T_826) @[lsu_dccm_ctl.scala 163:60]
node _T_828 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:117]
node _T_829 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:150]
node _T_830 = eq(_T_828, _T_829) @[lsu_dccm_ctl.scala 163:133]
node _T_831 = or(_T_827, _T_830) @[lsu_dccm_ctl.scala 163:101]
node _T_832 = and(_T_831, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 163:175]
node _T_833 = and(_T_832, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 163:196]
node _T_834 = and(_T_833, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 163:222]
node _T_835 = and(_T_834, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 163:246]
node _T_836 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:21]
node _T_837 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:54]
node _T_838 = eq(_T_836, _T_837) @[lsu_dccm_ctl.scala 164:37]
node _T_839 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:94]
node _T_840 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:127]
node _T_841 = eq(_T_839, _T_840) @[lsu_dccm_ctl.scala 164:110]
node _T_842 = or(_T_838, _T_841) @[lsu_dccm_ctl.scala 164:78]
node _T_843 = and(_T_842, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 164:152]
node _T_844 = and(_T_843, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 164:173]
node _T_845 = and(_T_844, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 164:199]
node _T_846 = and(_T_845, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 164:223]
node kill_ecc_corr_lo_r = or(_T_835, _T_846) @[lsu_dccm_ctl.scala 163:267]
node _T_847 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:44]
node _T_848 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:77]
node _T_849 = eq(_T_847, _T_848) @[lsu_dccm_ctl.scala 166:60]
node _T_850 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:117]
node _T_851 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:150]
node _T_852 = eq(_T_850, _T_851) @[lsu_dccm_ctl.scala 166:133]
node _T_853 = or(_T_849, _T_852) @[lsu_dccm_ctl.scala 166:101]
node _T_854 = and(_T_853, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 166:175]
node _T_855 = and(_T_854, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 166:196]
node _T_856 = and(_T_855, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 166:222]
node _T_857 = and(_T_856, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 166:246]
node _T_858 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:21]
node _T_859 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:54]
node _T_860 = eq(_T_858, _T_859) @[lsu_dccm_ctl.scala 167:37]
node _T_861 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:94]
node _T_862 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:127]
node _T_863 = eq(_T_861, _T_862) @[lsu_dccm_ctl.scala 167:110]
node _T_864 = or(_T_860, _T_863) @[lsu_dccm_ctl.scala 167:78]
node _T_865 = and(_T_864, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 167:152]
node _T_866 = and(_T_865, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 167:173]
node _T_867 = and(_T_866, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 167:199]
node _T_868 = and(_T_867, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 167:223]
node kill_ecc_corr_hi_r = or(_T_857, _T_868) @[lsu_dccm_ctl.scala 166:267]
node _T_869 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[lsu_dccm_ctl.scala 169:60]
node _T_870 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 169:89]
node ld_single_ecc_error_lo_r = and(_T_869, _T_870) @[lsu_dccm_ctl.scala 169:87]
node _T_871 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 170:60]
node _T_872 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 170:89]
node ld_single_ecc_error_hi_r = and(_T_871, _T_872) @[lsu_dccm_ctl.scala 170:87]
node _T_873 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 171:63]
node _T_874 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 171:93]
node _T_875 = and(_T_873, _T_874) @[lsu_dccm_ctl.scala 171:91]
io.ld_single_ecc_error_r <= _T_875 @[lsu_dccm_ctl.scala 171:34]
node _T_876 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 172:81]
node _T_877 = and(ld_single_ecc_error_lo_r, _T_876) @[lsu_dccm_ctl.scala 172:62]
node _T_878 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 172:108]
node ld_single_ecc_error_lo_r_ns = and(_T_877, _T_878) @[lsu_dccm_ctl.scala 172:106]
node _T_879 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 173:81]
node _T_880 = and(ld_single_ecc_error_hi_r, _T_879) @[lsu_dccm_ctl.scala 173:62]
node _T_881 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 173:108]
node ld_single_ecc_error_hi_r_ns = and(_T_880, _T_881) @[lsu_dccm_ctl.scala 173:106]
node _T_882 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[lsu_dccm_ctl.scala 175:125]
node _T_883 = eq(_T_882, UInt<1>("h00")) @[lsu_dccm_ctl.scala 175:100]
node _T_884 = bits(io.lsu_addr_d, 1, 0) @[lsu_dccm_ctl.scala 175:168]
node _T_885 = neq(_T_884, UInt<2>("h00")) @[lsu_dccm_ctl.scala 175:174]
node _T_886 = or(_T_883, _T_885) @[lsu_dccm_ctl.scala 175:152]
node _T_887 = and(io.lsu_pkt_d.bits.store, _T_886) @[lsu_dccm_ctl.scala 175:97]
node _T_888 = or(io.lsu_pkt_d.bits.load, _T_887) @[lsu_dccm_ctl.scala 175:70]
node _T_889 = and(io.lsu_pkt_d.valid, _T_888) @[lsu_dccm_ctl.scala 175:44]
node lsu_dccm_rden_d = and(_T_889, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 175:191]
node _T_890 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[lsu_dccm_ctl.scala 178:63]
node _T_891 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[lsu_dccm_ctl.scala 178:96]
node _T_892 = and(_T_890, _T_891) @[lsu_dccm_ctl.scala 178:94]
io.ld_single_ecc_error_r_ff <= _T_892 @[lsu_dccm_ctl.scala 178:31]
node _T_893 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[lsu_dccm_ctl.scala 179:75]
node _T_894 = or(_T_893, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 179:93]
node _T_895 = eq(_T_894, UInt<1>("h00")) @[lsu_dccm_ctl.scala 179:57]
node _T_896 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 180:44]
node _T_897 = bits(io.lsu_addr_d, 3, 2) @[lsu_dccm_ctl.scala 180:112]
node _T_898 = eq(_T_896, _T_897) @[lsu_dccm_ctl.scala 180:95]
node _T_899 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 181:25]
node _T_900 = bits(io.end_addr_d, 3, 2) @[lsu_dccm_ctl.scala 181:93]
node _T_901 = eq(_T_899, _T_900) @[lsu_dccm_ctl.scala 181:76]
node _T_902 = or(_T_898, _T_901) @[lsu_dccm_ctl.scala 180:171]
node _T_903 = eq(_T_902, UInt<1>("h00")) @[lsu_dccm_ctl.scala 180:24]
node _T_904 = and(lsu_dccm_rden_d, _T_903) @[lsu_dccm_ctl.scala 180:22]
node _T_905 = or(_T_895, _T_904) @[lsu_dccm_ctl.scala 179:124]
node _T_906 = and(io.stbuf_reqvld_any, _T_905) @[lsu_dccm_ctl.scala 179:54]
io.lsu_stbuf_commit_any <= _T_906 @[lsu_dccm_ctl.scala 179:31]
node _T_907 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[lsu_dccm_ctl.scala 185:41]
node _T_908 = or(_T_907, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 185:67]
io.dccm.wren <= _T_908 @[lsu_dccm_ctl.scala 185:22]
node _T_909 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 186:41]
io.dccm.rden <= _T_909 @[lsu_dccm_ctl.scala 186:22]
node _T_910 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 188:57]
node _T_911 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 189:36]
node _T_912 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:62]
node _T_913 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:97]
node _T_914 = mux(_T_911, _T_912, _T_913) @[lsu_dccm_ctl.scala 189:8]
node _T_915 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 190:25]
node _T_916 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 190:45]
node _T_917 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 190:78]
node _T_918 = mux(_T_915, _T_916, _T_917) @[lsu_dccm_ctl.scala 190:8]
node _T_919 = mux(_T_910, _T_914, _T_918) @[lsu_dccm_ctl.scala 188:28]
io.dccm.wr_addr_lo <= _T_919 @[lsu_dccm_ctl.scala 188:22]
node _T_920 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 192:57]
node _T_921 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 193:36]
node _T_922 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:63]
node _T_923 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:99]
node _T_924 = mux(_T_921, _T_922, _T_923) @[lsu_dccm_ctl.scala 193:8]
node _T_925 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 194:25]
node _T_926 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 194:46]
node _T_927 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 194:79]
node _T_928 = mux(_T_925, _T_926, _T_927) @[lsu_dccm_ctl.scala 194:8]
node _T_929 = mux(_T_920, _T_924, _T_928) @[lsu_dccm_ctl.scala 192:28]
io.dccm.wr_addr_hi <= _T_929 @[lsu_dccm_ctl.scala 192:22]
node _T_930 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 196:38]
io.dccm.rd_addr_lo <= _T_930 @[lsu_dccm_ctl.scala 196:22]
node _T_931 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 197:38]
io.dccm.rd_addr_hi <= _T_931 @[lsu_dccm_ctl.scala 197:22]
node _T_932 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 199:57]
node _T_933 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 200:36]
node _T_934 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 200:70]
node _T_935 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 200:110]
node _T_936 = cat(_T_934, _T_935) @[Cat.scala 29:58]
node _T_937 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 201:34]
node _T_938 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 201:74]
node _T_939 = cat(_T_937, _T_938) @[Cat.scala 29:58]
node _T_940 = mux(_T_933, _T_936, _T_939) @[lsu_dccm_ctl.scala 200:8]
node _T_941 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 202:25]
node _T_942 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[lsu_dccm_ctl.scala 202:60]
node _T_943 = bits(io.dma_dccm_wdata_lo, 31, 0) @[lsu_dccm_ctl.scala 202:101]
node _T_944 = cat(_T_942, _T_943) @[Cat.scala 29:58]
node _T_945 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 203:27]
node _T_946 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 203:65]
node _T_947 = cat(_T_945, _T_946) @[Cat.scala 29:58]
node _T_948 = mux(_T_941, _T_944, _T_947) @[lsu_dccm_ctl.scala 202:8]
node _T_949 = mux(_T_932, _T_940, _T_948) @[lsu_dccm_ctl.scala 199:28]
io.dccm.wr_data_lo <= _T_949 @[lsu_dccm_ctl.scala 199:22]
node _T_950 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 205:57]
node _T_951 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 206:36]
node _T_952 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 206:71]
node _T_953 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 206:111]
node _T_954 = cat(_T_952, _T_953) @[Cat.scala 29:58]
node _T_955 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 207:34]
node _T_956 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 207:74]
node _T_957 = cat(_T_955, _T_956) @[Cat.scala 29:58]
node _T_958 = mux(_T_951, _T_954, _T_957) @[lsu_dccm_ctl.scala 206:8]
node _T_959 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 208:25]
node _T_960 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[lsu_dccm_ctl.scala 208:61]
node _T_961 = bits(io.dma_dccm_wdata_hi, 31, 0) @[lsu_dccm_ctl.scala 208:102]
node _T_962 = cat(_T_960, _T_961) @[Cat.scala 29:58]
node _T_963 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 209:27]
node _T_964 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 209:65]
node _T_965 = cat(_T_963, _T_964) @[Cat.scala 29:58]
node _T_966 = mux(_T_959, _T_962, _T_965) @[lsu_dccm_ctl.scala 208:8]
node _T_967 = mux(_T_950, _T_958, _T_966) @[lsu_dccm_ctl.scala 205:28]
io.dccm.wr_data_hi <= _T_967 @[lsu_dccm_ctl.scala 205:22]
node _T_968 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_969 = mux(_T_968, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_970 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15]
node _T_971 = mux(_T_970, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_972 = and(_T_971, UInt<4>("h01")) @[lsu_dccm_ctl.scala 212:94]
node _T_973 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_974 = mux(_T_973, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_975 = and(_T_974, UInt<4>("h03")) @[lsu_dccm_ctl.scala 213:38]
node _T_976 = or(_T_972, _T_975) @[lsu_dccm_ctl.scala 212:107]
node _T_977 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_978 = mux(_T_977, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_979 = and(_T_978, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 214:38]
node _T_980 = or(_T_976, _T_979) @[lsu_dccm_ctl.scala 213:51]
node store_byteen_m = and(_T_969, _T_980) @[lsu_dccm_ctl.scala 212:58]
node _T_981 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_982 = mux(_T_981, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_983 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15]
node _T_984 = mux(_T_983, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_985 = and(_T_984, UInt<4>("h01")) @[lsu_dccm_ctl.scala 216:94]
node _T_986 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_987 = mux(_T_986, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_988 = and(_T_987, UInt<4>("h03")) @[lsu_dccm_ctl.scala 217:38]
node _T_989 = or(_T_985, _T_988) @[lsu_dccm_ctl.scala 216:107]
node _T_990 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_991 = mux(_T_990, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_992 = and(_T_991, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 218:38]
node _T_993 = or(_T_989, _T_992) @[lsu_dccm_ctl.scala 217:51]
node store_byteen_r = and(_T_982, _T_993) @[lsu_dccm_ctl.scala 216:58]
wire store_byteen_ext_m : UInt<8>
store_byteen_ext_m <= UInt<1>("h00")
node _T_994 = bits(store_byteen_m, 3, 0) @[lsu_dccm_ctl.scala 220:39]
node _T_995 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 220:61]
node _T_996 = dshl(_T_994, _T_995) @[lsu_dccm_ctl.scala 220:45]
store_byteen_ext_m <= _T_996 @[lsu_dccm_ctl.scala 220:22]
wire store_byteen_ext_r : UInt<8>
store_byteen_ext_r <= UInt<1>("h00")
node _T_997 = bits(store_byteen_r, 3, 0) @[lsu_dccm_ctl.scala 222:39]
node _T_998 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 222:61]
node _T_999 = dshl(_T_997, _T_998) @[lsu_dccm_ctl.scala 222:45]
store_byteen_ext_r <= _T_999 @[lsu_dccm_ctl.scala 222:22]
node _T_1000 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 225:51]
node _T_1001 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 225:84]
node _T_1002 = eq(_T_1000, _T_1001) @[lsu_dccm_ctl.scala 225:67]
node dccm_wr_bypass_d_m_lo = and(_T_1002, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 225:101]
node _T_1003 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 226:51]
node _T_1004 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 226:84]
node _T_1005 = eq(_T_1003, _T_1004) @[lsu_dccm_ctl.scala 226:67]
node dccm_wr_bypass_d_m_hi = and(_T_1005, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 226:101]
node _T_1006 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 228:51]
node _T_1007 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 228:84]
node _T_1008 = eq(_T_1006, _T_1007) @[lsu_dccm_ctl.scala 228:67]
node dccm_wr_bypass_d_r_lo = and(_T_1008, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 228:101]
node _T_1009 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 229:51]
node _T_1010 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 229:84]
node _T_1011 = eq(_T_1009, _T_1010) @[lsu_dccm_ctl.scala 229:67]
node dccm_wr_bypass_d_r_hi = and(_T_1011, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 229:101]
wire dccm_wr_bypass_d_m_hi_Q : UInt<1>
dccm_wr_bypass_d_m_hi_Q <= UInt<1>("h00")
wire dccm_wr_bypass_d_m_lo_Q : UInt<1>
dccm_wr_bypass_d_m_lo_Q <= UInt<1>("h00")
wire dccm_wren_Q : UInt<1>
dccm_wren_Q <= UInt<1>("h00")
wire dccm_wr_data_Q : UInt<32>
dccm_wr_data_Q <= UInt<32>("h00")
wire store_data_pre_r : UInt<64>
store_data_pre_r <= UInt<64>("h00")
wire store_data_pre_hi_r : UInt<32>
store_data_pre_hi_r <= UInt<32>("h00")
wire store_data_pre_lo_r : UInt<32>
store_data_pre_lo_r <= UInt<32>("h00")
wire store_data_pre_m : UInt<64>
store_data_pre_m <= UInt<64>("h00")
wire store_data_hi_m : UInt<32>
store_data_hi_m <= UInt<32>("h00")
wire store_data_lo_m : UInt<32>
store_data_lo_m <= UInt<32>("h00")
node _T_1012 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1013 = bits(io.store_data_m, 31, 0) @[lsu_dccm_ctl.scala 258:64]
node _T_1014 = cat(_T_1012, _T_1013) @[Cat.scala 29:58]
node _T_1015 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 258:92]
node _T_1016 = mul(UInt<4>("h08"), _T_1015) @[lsu_dccm_ctl.scala 258:78]
node _T_1017 = dshl(_T_1014, _T_1016) @[lsu_dccm_ctl.scala 258:72]
store_data_pre_m <= _T_1017 @[lsu_dccm_ctl.scala 258:29]
node _T_1018 = bits(store_data_pre_m, 63, 32) @[lsu_dccm_ctl.scala 259:48]
store_data_hi_m <= _T_1018 @[lsu_dccm_ctl.scala 259:29]
node _T_1019 = bits(store_data_pre_m, 31, 0) @[lsu_dccm_ctl.scala 260:48]
store_data_lo_m <= _T_1019 @[lsu_dccm_ctl.scala 260:29]
node _T_1020 = bits(store_byteen_ext_m, 0, 0) @[lsu_dccm_ctl.scala 261:139]
node _T_1021 = bits(_T_1020, 0, 0) @[lsu_dccm_ctl.scala 261:143]
node _T_1022 = bits(store_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:167]
node _T_1023 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211]
node _T_1024 = bits(_T_1023, 0, 0) @[lsu_dccm_ctl.scala 261:237]
node _T_1025 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 261:262]
node _T_1026 = bits(io.sec_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:292]
node _T_1027 = mux(_T_1024, _T_1025, _T_1026) @[lsu_dccm_ctl.scala 261:185]
node _T_1028 = mux(_T_1021, _T_1022, _T_1027) @[lsu_dccm_ctl.scala 261:120]
node _T_1029 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1030 = xor(UInt<8>("h0ff"), _T_1029) @[Bitwise.scala 102:21]
node _T_1031 = shr(_T_1028, 4) @[Bitwise.scala 103:21]
node _T_1032 = and(_T_1031, _T_1030) @[Bitwise.scala 103:31]
node _T_1033 = bits(_T_1028, 3, 0) @[Bitwise.scala 103:46]
node _T_1034 = shl(_T_1033, 4) @[Bitwise.scala 103:65]
node _T_1035 = not(_T_1030) @[Bitwise.scala 103:77]
node _T_1036 = and(_T_1034, _T_1035) @[Bitwise.scala 103:75]
node _T_1037 = or(_T_1032, _T_1036) @[Bitwise.scala 103:39]
node _T_1038 = bits(_T_1030, 5, 0) @[Bitwise.scala 102:28]
node _T_1039 = shl(_T_1038, 2) @[Bitwise.scala 102:47]
node _T_1040 = xor(_T_1030, _T_1039) @[Bitwise.scala 102:21]
node _T_1041 = shr(_T_1037, 2) @[Bitwise.scala 103:21]
node _T_1042 = and(_T_1041, _T_1040) @[Bitwise.scala 103:31]
node _T_1043 = bits(_T_1037, 5, 0) @[Bitwise.scala 103:46]
node _T_1044 = shl(_T_1043, 2) @[Bitwise.scala 103:65]
node _T_1045 = not(_T_1040) @[Bitwise.scala 103:77]
node _T_1046 = and(_T_1044, _T_1045) @[Bitwise.scala 103:75]
node _T_1047 = or(_T_1042, _T_1046) @[Bitwise.scala 103:39]
node _T_1048 = bits(_T_1040, 6, 0) @[Bitwise.scala 102:28]
node _T_1049 = shl(_T_1048, 1) @[Bitwise.scala 102:47]
node _T_1050 = xor(_T_1040, _T_1049) @[Bitwise.scala 102:21]
node _T_1051 = shr(_T_1047, 1) @[Bitwise.scala 103:21]
node _T_1052 = and(_T_1051, _T_1050) @[Bitwise.scala 103:31]
node _T_1053 = bits(_T_1047, 6, 0) @[Bitwise.scala 103:46]
node _T_1054 = shl(_T_1053, 1) @[Bitwise.scala 103:65]
node _T_1055 = not(_T_1050) @[Bitwise.scala 103:77]
node _T_1056 = and(_T_1054, _T_1055) @[Bitwise.scala 103:75]
node _T_1057 = or(_T_1052, _T_1056) @[Bitwise.scala 103:39]
node _T_1058 = bits(store_byteen_ext_m, 1, 1) @[lsu_dccm_ctl.scala 261:139]
node _T_1059 = bits(_T_1058, 0, 0) @[lsu_dccm_ctl.scala 261:143]
node _T_1060 = bits(store_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:167]
node _T_1061 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211]
node _T_1062 = bits(_T_1061, 0, 0) @[lsu_dccm_ctl.scala 261:237]
node _T_1063 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 261:262]
node _T_1064 = bits(io.sec_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:292]
node _T_1065 = mux(_T_1062, _T_1063, _T_1064) @[lsu_dccm_ctl.scala 261:185]
node _T_1066 = mux(_T_1059, _T_1060, _T_1065) @[lsu_dccm_ctl.scala 261:120]
node _T_1067 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1068 = xor(UInt<8>("h0ff"), _T_1067) @[Bitwise.scala 102:21]
node _T_1069 = shr(_T_1066, 4) @[Bitwise.scala 103:21]
node _T_1070 = and(_T_1069, _T_1068) @[Bitwise.scala 103:31]
node _T_1071 = bits(_T_1066, 3, 0) @[Bitwise.scala 103:46]
node _T_1072 = shl(_T_1071, 4) @[Bitwise.scala 103:65]
node _T_1073 = not(_T_1068) @[Bitwise.scala 103:77]
node _T_1074 = and(_T_1072, _T_1073) @[Bitwise.scala 103:75]
node _T_1075 = or(_T_1070, _T_1074) @[Bitwise.scala 103:39]
node _T_1076 = bits(_T_1068, 5, 0) @[Bitwise.scala 102:28]
node _T_1077 = shl(_T_1076, 2) @[Bitwise.scala 102:47]
node _T_1078 = xor(_T_1068, _T_1077) @[Bitwise.scala 102:21]
node _T_1079 = shr(_T_1075, 2) @[Bitwise.scala 103:21]
node _T_1080 = and(_T_1079, _T_1078) @[Bitwise.scala 103:31]
node _T_1081 = bits(_T_1075, 5, 0) @[Bitwise.scala 103:46]
node _T_1082 = shl(_T_1081, 2) @[Bitwise.scala 103:65]
node _T_1083 = not(_T_1078) @[Bitwise.scala 103:77]
node _T_1084 = and(_T_1082, _T_1083) @[Bitwise.scala 103:75]
node _T_1085 = or(_T_1080, _T_1084) @[Bitwise.scala 103:39]
node _T_1086 = bits(_T_1078, 6, 0) @[Bitwise.scala 102:28]
node _T_1087 = shl(_T_1086, 1) @[Bitwise.scala 102:47]
node _T_1088 = xor(_T_1078, _T_1087) @[Bitwise.scala 102:21]
node _T_1089 = shr(_T_1085, 1) @[Bitwise.scala 103:21]
node _T_1090 = and(_T_1089, _T_1088) @[Bitwise.scala 103:31]
node _T_1091 = bits(_T_1085, 6, 0) @[Bitwise.scala 103:46]
node _T_1092 = shl(_T_1091, 1) @[Bitwise.scala 103:65]
node _T_1093 = not(_T_1088) @[Bitwise.scala 103:77]
node _T_1094 = and(_T_1092, _T_1093) @[Bitwise.scala 103:75]
node _T_1095 = or(_T_1090, _T_1094) @[Bitwise.scala 103:39]
node _T_1096 = bits(store_byteen_ext_m, 2, 2) @[lsu_dccm_ctl.scala 261:139]
node _T_1097 = bits(_T_1096, 0, 0) @[lsu_dccm_ctl.scala 261:143]
node _T_1098 = bits(store_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:167]
node _T_1099 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211]
node _T_1100 = bits(_T_1099, 0, 0) @[lsu_dccm_ctl.scala 261:237]
node _T_1101 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 261:262]
node _T_1102 = bits(io.sec_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:292]
node _T_1103 = mux(_T_1100, _T_1101, _T_1102) @[lsu_dccm_ctl.scala 261:185]
node _T_1104 = mux(_T_1097, _T_1098, _T_1103) @[lsu_dccm_ctl.scala 261:120]
node _T_1105 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1106 = xor(UInt<8>("h0ff"), _T_1105) @[Bitwise.scala 102:21]
node _T_1107 = shr(_T_1104, 4) @[Bitwise.scala 103:21]
node _T_1108 = and(_T_1107, _T_1106) @[Bitwise.scala 103:31]
node _T_1109 = bits(_T_1104, 3, 0) @[Bitwise.scala 103:46]
node _T_1110 = shl(_T_1109, 4) @[Bitwise.scala 103:65]
node _T_1111 = not(_T_1106) @[Bitwise.scala 103:77]
node _T_1112 = and(_T_1110, _T_1111) @[Bitwise.scala 103:75]
node _T_1113 = or(_T_1108, _T_1112) @[Bitwise.scala 103:39]
node _T_1114 = bits(_T_1106, 5, 0) @[Bitwise.scala 102:28]
node _T_1115 = shl(_T_1114, 2) @[Bitwise.scala 102:47]
node _T_1116 = xor(_T_1106, _T_1115) @[Bitwise.scala 102:21]
node _T_1117 = shr(_T_1113, 2) @[Bitwise.scala 103:21]
node _T_1118 = and(_T_1117, _T_1116) @[Bitwise.scala 103:31]
node _T_1119 = bits(_T_1113, 5, 0) @[Bitwise.scala 103:46]
node _T_1120 = shl(_T_1119, 2) @[Bitwise.scala 103:65]
node _T_1121 = not(_T_1116) @[Bitwise.scala 103:77]
node _T_1122 = and(_T_1120, _T_1121) @[Bitwise.scala 103:75]
node _T_1123 = or(_T_1118, _T_1122) @[Bitwise.scala 103:39]
node _T_1124 = bits(_T_1116, 6, 0) @[Bitwise.scala 102:28]
node _T_1125 = shl(_T_1124, 1) @[Bitwise.scala 102:47]
node _T_1126 = xor(_T_1116, _T_1125) @[Bitwise.scala 102:21]
node _T_1127 = shr(_T_1123, 1) @[Bitwise.scala 103:21]
node _T_1128 = and(_T_1127, _T_1126) @[Bitwise.scala 103:31]
node _T_1129 = bits(_T_1123, 6, 0) @[Bitwise.scala 103:46]
node _T_1130 = shl(_T_1129, 1) @[Bitwise.scala 103:65]
node _T_1131 = not(_T_1126) @[Bitwise.scala 103:77]
node _T_1132 = and(_T_1130, _T_1131) @[Bitwise.scala 103:75]
node _T_1133 = or(_T_1128, _T_1132) @[Bitwise.scala 103:39]
node _T_1134 = bits(store_byteen_ext_m, 3, 3) @[lsu_dccm_ctl.scala 261:139]
node _T_1135 = bits(_T_1134, 0, 0) @[lsu_dccm_ctl.scala 261:143]
node _T_1136 = bits(store_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:167]
node _T_1137 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211]
node _T_1138 = bits(_T_1137, 0, 0) @[lsu_dccm_ctl.scala 261:237]
node _T_1139 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 261:262]
node _T_1140 = bits(io.sec_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:292]
node _T_1141 = mux(_T_1138, _T_1139, _T_1140) @[lsu_dccm_ctl.scala 261:185]
node _T_1142 = mux(_T_1135, _T_1136, _T_1141) @[lsu_dccm_ctl.scala 261:120]
node _T_1143 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1144 = xor(UInt<8>("h0ff"), _T_1143) @[Bitwise.scala 102:21]
node _T_1145 = shr(_T_1142, 4) @[Bitwise.scala 103:21]
node _T_1146 = and(_T_1145, _T_1144) @[Bitwise.scala 103:31]
node _T_1147 = bits(_T_1142, 3, 0) @[Bitwise.scala 103:46]
node _T_1148 = shl(_T_1147, 4) @[Bitwise.scala 103:65]
node _T_1149 = not(_T_1144) @[Bitwise.scala 103:77]
node _T_1150 = and(_T_1148, _T_1149) @[Bitwise.scala 103:75]
node _T_1151 = or(_T_1146, _T_1150) @[Bitwise.scala 103:39]
node _T_1152 = bits(_T_1144, 5, 0) @[Bitwise.scala 102:28]
node _T_1153 = shl(_T_1152, 2) @[Bitwise.scala 102:47]
node _T_1154 = xor(_T_1144, _T_1153) @[Bitwise.scala 102:21]
node _T_1155 = shr(_T_1151, 2) @[Bitwise.scala 103:21]
node _T_1156 = and(_T_1155, _T_1154) @[Bitwise.scala 103:31]
node _T_1157 = bits(_T_1151, 5, 0) @[Bitwise.scala 103:46]
node _T_1158 = shl(_T_1157, 2) @[Bitwise.scala 103:65]
node _T_1159 = not(_T_1154) @[Bitwise.scala 103:77]
node _T_1160 = and(_T_1158, _T_1159) @[Bitwise.scala 103:75]
node _T_1161 = or(_T_1156, _T_1160) @[Bitwise.scala 103:39]
node _T_1162 = bits(_T_1154, 6, 0) @[Bitwise.scala 102:28]
node _T_1163 = shl(_T_1162, 1) @[Bitwise.scala 102:47]
node _T_1164 = xor(_T_1154, _T_1163) @[Bitwise.scala 102:21]
node _T_1165 = shr(_T_1161, 1) @[Bitwise.scala 103:21]
node _T_1166 = and(_T_1165, _T_1164) @[Bitwise.scala 103:31]
node _T_1167 = bits(_T_1161, 6, 0) @[Bitwise.scala 103:46]
node _T_1168 = shl(_T_1167, 1) @[Bitwise.scala 103:65]
node _T_1169 = not(_T_1164) @[Bitwise.scala 103:77]
node _T_1170 = and(_T_1168, _T_1169) @[Bitwise.scala 103:75]
node _T_1171 = or(_T_1166, _T_1170) @[Bitwise.scala 103:39]
wire _T_1172 : UInt<8>[4] @[lsu_dccm_ctl.scala 261:104]
_T_1172[0] <= _T_1057 @[lsu_dccm_ctl.scala 261:104]
_T_1172[1] <= _T_1095 @[lsu_dccm_ctl.scala 261:104]
_T_1172[2] <= _T_1133 @[lsu_dccm_ctl.scala 261:104]
_T_1172[3] <= _T_1171 @[lsu_dccm_ctl.scala 261:104]
node _T_1173 = cat(_T_1172[2], _T_1172[3]) @[Cat.scala 29:58]
node _T_1174 = cat(_T_1172[0], _T_1172[1]) @[Cat.scala 29:58]
node _T_1175 = cat(_T_1174, _T_1173) @[Cat.scala 29:58]
node _T_1176 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1177 = xor(UInt<32>("h0ffffffff"), _T_1176) @[Bitwise.scala 102:21]
node _T_1178 = shr(_T_1175, 16) @[Bitwise.scala 103:21]
node _T_1179 = and(_T_1178, _T_1177) @[Bitwise.scala 103:31]
node _T_1180 = bits(_T_1175, 15, 0) @[Bitwise.scala 103:46]
node _T_1181 = shl(_T_1180, 16) @[Bitwise.scala 103:65]
node _T_1182 = not(_T_1177) @[Bitwise.scala 103:77]
node _T_1183 = and(_T_1181, _T_1182) @[Bitwise.scala 103:75]
node _T_1184 = or(_T_1179, _T_1183) @[Bitwise.scala 103:39]
node _T_1185 = bits(_T_1177, 23, 0) @[Bitwise.scala 102:28]
node _T_1186 = shl(_T_1185, 8) @[Bitwise.scala 102:47]
node _T_1187 = xor(_T_1177, _T_1186) @[Bitwise.scala 102:21]
node _T_1188 = shr(_T_1184, 8) @[Bitwise.scala 103:21]
node _T_1189 = and(_T_1188, _T_1187) @[Bitwise.scala 103:31]
node _T_1190 = bits(_T_1184, 23, 0) @[Bitwise.scala 103:46]
node _T_1191 = shl(_T_1190, 8) @[Bitwise.scala 103:65]
node _T_1192 = not(_T_1187) @[Bitwise.scala 103:77]
node _T_1193 = and(_T_1191, _T_1192) @[Bitwise.scala 103:75]
node _T_1194 = or(_T_1189, _T_1193) @[Bitwise.scala 103:39]
node _T_1195 = bits(_T_1187, 27, 0) @[Bitwise.scala 102:28]
node _T_1196 = shl(_T_1195, 4) @[Bitwise.scala 102:47]
node _T_1197 = xor(_T_1187, _T_1196) @[Bitwise.scala 102:21]
node _T_1198 = shr(_T_1194, 4) @[Bitwise.scala 103:21]
node _T_1199 = and(_T_1198, _T_1197) @[Bitwise.scala 103:31]
node _T_1200 = bits(_T_1194, 27, 0) @[Bitwise.scala 103:46]
node _T_1201 = shl(_T_1200, 4) @[Bitwise.scala 103:65]
node _T_1202 = not(_T_1197) @[Bitwise.scala 103:77]
node _T_1203 = and(_T_1201, _T_1202) @[Bitwise.scala 103:75]
node _T_1204 = or(_T_1199, _T_1203) @[Bitwise.scala 103:39]
node _T_1205 = bits(_T_1197, 29, 0) @[Bitwise.scala 102:28]
node _T_1206 = shl(_T_1205, 2) @[Bitwise.scala 102:47]
node _T_1207 = xor(_T_1197, _T_1206) @[Bitwise.scala 102:21]
node _T_1208 = shr(_T_1204, 2) @[Bitwise.scala 103:21]
node _T_1209 = and(_T_1208, _T_1207) @[Bitwise.scala 103:31]
node _T_1210 = bits(_T_1204, 29, 0) @[Bitwise.scala 103:46]
node _T_1211 = shl(_T_1210, 2) @[Bitwise.scala 103:65]
node _T_1212 = not(_T_1207) @[Bitwise.scala 103:77]
node _T_1213 = and(_T_1211, _T_1212) @[Bitwise.scala 103:75]
node _T_1214 = or(_T_1209, _T_1213) @[Bitwise.scala 103:39]
node _T_1215 = bits(_T_1207, 30, 0) @[Bitwise.scala 102:28]
node _T_1216 = shl(_T_1215, 1) @[Bitwise.scala 102:47]
node _T_1217 = xor(_T_1207, _T_1216) @[Bitwise.scala 102:21]
node _T_1218 = shr(_T_1214, 1) @[Bitwise.scala 103:21]
node _T_1219 = and(_T_1218, _T_1217) @[Bitwise.scala 103:31]
node _T_1220 = bits(_T_1214, 30, 0) @[Bitwise.scala 103:46]
node _T_1221 = shl(_T_1220, 1) @[Bitwise.scala 103:65]
node _T_1222 = not(_T_1217) @[Bitwise.scala 103:77]
node _T_1223 = and(_T_1221, _T_1222) @[Bitwise.scala 103:75]
node _T_1224 = or(_T_1219, _T_1223) @[Bitwise.scala 103:39]
reg _T_1225 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 261:72]
_T_1225 <= _T_1224 @[lsu_dccm_ctl.scala 261:72]
io.store_data_lo_r <= _T_1225 @[lsu_dccm_ctl.scala 261:29]
node _T_1226 = bits(store_byteen_ext_m, 4, 4) @[lsu_dccm_ctl.scala 262:105]
node _T_1227 = bits(_T_1226, 0, 0) @[lsu_dccm_ctl.scala 262:111]
node _T_1228 = bits(store_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:133]
node _T_1229 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177]
node _T_1230 = bits(_T_1229, 0, 0) @[lsu_dccm_ctl.scala 262:203]
node _T_1231 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 262:228]
node _T_1232 = bits(io.sec_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:258]
node _T_1233 = mux(_T_1230, _T_1231, _T_1232) @[lsu_dccm_ctl.scala 262:151]
node _T_1234 = mux(_T_1227, _T_1228, _T_1233) @[lsu_dccm_ctl.scala 262:86]
node _T_1235 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1236 = xor(UInt<8>("h0ff"), _T_1235) @[Bitwise.scala 102:21]
node _T_1237 = shr(_T_1234, 4) @[Bitwise.scala 103:21]
node _T_1238 = and(_T_1237, _T_1236) @[Bitwise.scala 103:31]
node _T_1239 = bits(_T_1234, 3, 0) @[Bitwise.scala 103:46]
node _T_1240 = shl(_T_1239, 4) @[Bitwise.scala 103:65]
node _T_1241 = not(_T_1236) @[Bitwise.scala 103:77]
node _T_1242 = and(_T_1240, _T_1241) @[Bitwise.scala 103:75]
node _T_1243 = or(_T_1238, _T_1242) @[Bitwise.scala 103:39]
node _T_1244 = bits(_T_1236, 5, 0) @[Bitwise.scala 102:28]
node _T_1245 = shl(_T_1244, 2) @[Bitwise.scala 102:47]
node _T_1246 = xor(_T_1236, _T_1245) @[Bitwise.scala 102:21]
node _T_1247 = shr(_T_1243, 2) @[Bitwise.scala 103:21]
node _T_1248 = and(_T_1247, _T_1246) @[Bitwise.scala 103:31]
node _T_1249 = bits(_T_1243, 5, 0) @[Bitwise.scala 103:46]
node _T_1250 = shl(_T_1249, 2) @[Bitwise.scala 103:65]
node _T_1251 = not(_T_1246) @[Bitwise.scala 103:77]
node _T_1252 = and(_T_1250, _T_1251) @[Bitwise.scala 103:75]
node _T_1253 = or(_T_1248, _T_1252) @[Bitwise.scala 103:39]
node _T_1254 = bits(_T_1246, 6, 0) @[Bitwise.scala 102:28]
node _T_1255 = shl(_T_1254, 1) @[Bitwise.scala 102:47]
node _T_1256 = xor(_T_1246, _T_1255) @[Bitwise.scala 102:21]
node _T_1257 = shr(_T_1253, 1) @[Bitwise.scala 103:21]
node _T_1258 = and(_T_1257, _T_1256) @[Bitwise.scala 103:31]
node _T_1259 = bits(_T_1253, 6, 0) @[Bitwise.scala 103:46]
node _T_1260 = shl(_T_1259, 1) @[Bitwise.scala 103:65]
node _T_1261 = not(_T_1256) @[Bitwise.scala 103:77]
node _T_1262 = and(_T_1260, _T_1261) @[Bitwise.scala 103:75]
node _T_1263 = or(_T_1258, _T_1262) @[Bitwise.scala 103:39]
node _T_1264 = bits(store_byteen_ext_m, 5, 5) @[lsu_dccm_ctl.scala 262:105]
node _T_1265 = bits(_T_1264, 0, 0) @[lsu_dccm_ctl.scala 262:111]
node _T_1266 = bits(store_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:133]
node _T_1267 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177]
node _T_1268 = bits(_T_1267, 0, 0) @[lsu_dccm_ctl.scala 262:203]
node _T_1269 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 262:228]
node _T_1270 = bits(io.sec_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:258]
node _T_1271 = mux(_T_1268, _T_1269, _T_1270) @[lsu_dccm_ctl.scala 262:151]
node _T_1272 = mux(_T_1265, _T_1266, _T_1271) @[lsu_dccm_ctl.scala 262:86]
node _T_1273 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1274 = xor(UInt<8>("h0ff"), _T_1273) @[Bitwise.scala 102:21]
node _T_1275 = shr(_T_1272, 4) @[Bitwise.scala 103:21]
node _T_1276 = and(_T_1275, _T_1274) @[Bitwise.scala 103:31]
node _T_1277 = bits(_T_1272, 3, 0) @[Bitwise.scala 103:46]
node _T_1278 = shl(_T_1277, 4) @[Bitwise.scala 103:65]
node _T_1279 = not(_T_1274) @[Bitwise.scala 103:77]
node _T_1280 = and(_T_1278, _T_1279) @[Bitwise.scala 103:75]
node _T_1281 = or(_T_1276, _T_1280) @[Bitwise.scala 103:39]
node _T_1282 = bits(_T_1274, 5, 0) @[Bitwise.scala 102:28]
node _T_1283 = shl(_T_1282, 2) @[Bitwise.scala 102:47]
node _T_1284 = xor(_T_1274, _T_1283) @[Bitwise.scala 102:21]
node _T_1285 = shr(_T_1281, 2) @[Bitwise.scala 103:21]
node _T_1286 = and(_T_1285, _T_1284) @[Bitwise.scala 103:31]
node _T_1287 = bits(_T_1281, 5, 0) @[Bitwise.scala 103:46]
node _T_1288 = shl(_T_1287, 2) @[Bitwise.scala 103:65]
node _T_1289 = not(_T_1284) @[Bitwise.scala 103:77]
node _T_1290 = and(_T_1288, _T_1289) @[Bitwise.scala 103:75]
node _T_1291 = or(_T_1286, _T_1290) @[Bitwise.scala 103:39]
node _T_1292 = bits(_T_1284, 6, 0) @[Bitwise.scala 102:28]
node _T_1293 = shl(_T_1292, 1) @[Bitwise.scala 102:47]
node _T_1294 = xor(_T_1284, _T_1293) @[Bitwise.scala 102:21]
node _T_1295 = shr(_T_1291, 1) @[Bitwise.scala 103:21]
node _T_1296 = and(_T_1295, _T_1294) @[Bitwise.scala 103:31]
node _T_1297 = bits(_T_1291, 6, 0) @[Bitwise.scala 103:46]
node _T_1298 = shl(_T_1297, 1) @[Bitwise.scala 103:65]
node _T_1299 = not(_T_1294) @[Bitwise.scala 103:77]
node _T_1300 = and(_T_1298, _T_1299) @[Bitwise.scala 103:75]
node _T_1301 = or(_T_1296, _T_1300) @[Bitwise.scala 103:39]
node _T_1302 = bits(store_byteen_ext_m, 6, 6) @[lsu_dccm_ctl.scala 262:105]
node _T_1303 = bits(_T_1302, 0, 0) @[lsu_dccm_ctl.scala 262:111]
node _T_1304 = bits(store_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:133]
node _T_1305 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177]
node _T_1306 = bits(_T_1305, 0, 0) @[lsu_dccm_ctl.scala 262:203]
node _T_1307 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 262:228]
node _T_1308 = bits(io.sec_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:258]
node _T_1309 = mux(_T_1306, _T_1307, _T_1308) @[lsu_dccm_ctl.scala 262:151]
node _T_1310 = mux(_T_1303, _T_1304, _T_1309) @[lsu_dccm_ctl.scala 262:86]
node _T_1311 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1312 = xor(UInt<8>("h0ff"), _T_1311) @[Bitwise.scala 102:21]
node _T_1313 = shr(_T_1310, 4) @[Bitwise.scala 103:21]
node _T_1314 = and(_T_1313, _T_1312) @[Bitwise.scala 103:31]
node _T_1315 = bits(_T_1310, 3, 0) @[Bitwise.scala 103:46]
node _T_1316 = shl(_T_1315, 4) @[Bitwise.scala 103:65]
node _T_1317 = not(_T_1312) @[Bitwise.scala 103:77]
node _T_1318 = and(_T_1316, _T_1317) @[Bitwise.scala 103:75]
node _T_1319 = or(_T_1314, _T_1318) @[Bitwise.scala 103:39]
node _T_1320 = bits(_T_1312, 5, 0) @[Bitwise.scala 102:28]
node _T_1321 = shl(_T_1320, 2) @[Bitwise.scala 102:47]
node _T_1322 = xor(_T_1312, _T_1321) @[Bitwise.scala 102:21]
node _T_1323 = shr(_T_1319, 2) @[Bitwise.scala 103:21]
node _T_1324 = and(_T_1323, _T_1322) @[Bitwise.scala 103:31]
node _T_1325 = bits(_T_1319, 5, 0) @[Bitwise.scala 103:46]
node _T_1326 = shl(_T_1325, 2) @[Bitwise.scala 103:65]
node _T_1327 = not(_T_1322) @[Bitwise.scala 103:77]
node _T_1328 = and(_T_1326, _T_1327) @[Bitwise.scala 103:75]
node _T_1329 = or(_T_1324, _T_1328) @[Bitwise.scala 103:39]
node _T_1330 = bits(_T_1322, 6, 0) @[Bitwise.scala 102:28]
node _T_1331 = shl(_T_1330, 1) @[Bitwise.scala 102:47]
node _T_1332 = xor(_T_1322, _T_1331) @[Bitwise.scala 102:21]
node _T_1333 = shr(_T_1329, 1) @[Bitwise.scala 103:21]
node _T_1334 = and(_T_1333, _T_1332) @[Bitwise.scala 103:31]
node _T_1335 = bits(_T_1329, 6, 0) @[Bitwise.scala 103:46]
node _T_1336 = shl(_T_1335, 1) @[Bitwise.scala 103:65]
node _T_1337 = not(_T_1332) @[Bitwise.scala 103:77]
node _T_1338 = and(_T_1336, _T_1337) @[Bitwise.scala 103:75]
node _T_1339 = or(_T_1334, _T_1338) @[Bitwise.scala 103:39]
node _T_1340 = bits(store_byteen_ext_m, 7, 7) @[lsu_dccm_ctl.scala 262:105]
node _T_1341 = bits(_T_1340, 0, 0) @[lsu_dccm_ctl.scala 262:111]
node _T_1342 = bits(store_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:133]
node _T_1343 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177]
node _T_1344 = bits(_T_1343, 0, 0) @[lsu_dccm_ctl.scala 262:203]
node _T_1345 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 262:228]
node _T_1346 = bits(io.sec_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:258]
node _T_1347 = mux(_T_1344, _T_1345, _T_1346) @[lsu_dccm_ctl.scala 262:151]
node _T_1348 = mux(_T_1341, _T_1342, _T_1347) @[lsu_dccm_ctl.scala 262:86]
node _T_1349 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1350 = xor(UInt<8>("h0ff"), _T_1349) @[Bitwise.scala 102:21]
node _T_1351 = shr(_T_1348, 4) @[Bitwise.scala 103:21]
node _T_1352 = and(_T_1351, _T_1350) @[Bitwise.scala 103:31]
node _T_1353 = bits(_T_1348, 3, 0) @[Bitwise.scala 103:46]
node _T_1354 = shl(_T_1353, 4) @[Bitwise.scala 103:65]
node _T_1355 = not(_T_1350) @[Bitwise.scala 103:77]
node _T_1356 = and(_T_1354, _T_1355) @[Bitwise.scala 103:75]
node _T_1357 = or(_T_1352, _T_1356) @[Bitwise.scala 103:39]
node _T_1358 = bits(_T_1350, 5, 0) @[Bitwise.scala 102:28]
node _T_1359 = shl(_T_1358, 2) @[Bitwise.scala 102:47]
node _T_1360 = xor(_T_1350, _T_1359) @[Bitwise.scala 102:21]
node _T_1361 = shr(_T_1357, 2) @[Bitwise.scala 103:21]
node _T_1362 = and(_T_1361, _T_1360) @[Bitwise.scala 103:31]
node _T_1363 = bits(_T_1357, 5, 0) @[Bitwise.scala 103:46]
node _T_1364 = shl(_T_1363, 2) @[Bitwise.scala 103:65]
node _T_1365 = not(_T_1360) @[Bitwise.scala 103:77]
node _T_1366 = and(_T_1364, _T_1365) @[Bitwise.scala 103:75]
node _T_1367 = or(_T_1362, _T_1366) @[Bitwise.scala 103:39]
node _T_1368 = bits(_T_1360, 6, 0) @[Bitwise.scala 102:28]
node _T_1369 = shl(_T_1368, 1) @[Bitwise.scala 102:47]
node _T_1370 = xor(_T_1360, _T_1369) @[Bitwise.scala 102:21]
node _T_1371 = shr(_T_1367, 1) @[Bitwise.scala 103:21]
node _T_1372 = and(_T_1371, _T_1370) @[Bitwise.scala 103:31]
node _T_1373 = bits(_T_1367, 6, 0) @[Bitwise.scala 103:46]
node _T_1374 = shl(_T_1373, 1) @[Bitwise.scala 103:65]
node _T_1375 = not(_T_1370) @[Bitwise.scala 103:77]
node _T_1376 = and(_T_1374, _T_1375) @[Bitwise.scala 103:75]
node _T_1377 = or(_T_1372, _T_1376) @[Bitwise.scala 103:39]
wire _T_1378 : UInt<8>[4] @[lsu_dccm_ctl.scala 262:70]
_T_1378[0] <= _T_1263 @[lsu_dccm_ctl.scala 262:70]
_T_1378[1] <= _T_1301 @[lsu_dccm_ctl.scala 262:70]
_T_1378[2] <= _T_1339 @[lsu_dccm_ctl.scala 262:70]
_T_1378[3] <= _T_1377 @[lsu_dccm_ctl.scala 262:70]
node _T_1379 = cat(_T_1378[2], _T_1378[3]) @[Cat.scala 29:58]
node _T_1380 = cat(_T_1378[0], _T_1378[1]) @[Cat.scala 29:58]
node _T_1381 = cat(_T_1380, _T_1379) @[Cat.scala 29:58]
node _T_1382 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1383 = xor(UInt<32>("h0ffffffff"), _T_1382) @[Bitwise.scala 102:21]
node _T_1384 = shr(_T_1381, 16) @[Bitwise.scala 103:21]
node _T_1385 = and(_T_1384, _T_1383) @[Bitwise.scala 103:31]
node _T_1386 = bits(_T_1381, 15, 0) @[Bitwise.scala 103:46]
node _T_1387 = shl(_T_1386, 16) @[Bitwise.scala 103:65]
node _T_1388 = not(_T_1383) @[Bitwise.scala 103:77]
node _T_1389 = and(_T_1387, _T_1388) @[Bitwise.scala 103:75]
node _T_1390 = or(_T_1385, _T_1389) @[Bitwise.scala 103:39]
node _T_1391 = bits(_T_1383, 23, 0) @[Bitwise.scala 102:28]
node _T_1392 = shl(_T_1391, 8) @[Bitwise.scala 102:47]
node _T_1393 = xor(_T_1383, _T_1392) @[Bitwise.scala 102:21]
node _T_1394 = shr(_T_1390, 8) @[Bitwise.scala 103:21]
node _T_1395 = and(_T_1394, _T_1393) @[Bitwise.scala 103:31]
node _T_1396 = bits(_T_1390, 23, 0) @[Bitwise.scala 103:46]
node _T_1397 = shl(_T_1396, 8) @[Bitwise.scala 103:65]
node _T_1398 = not(_T_1393) @[Bitwise.scala 103:77]
node _T_1399 = and(_T_1397, _T_1398) @[Bitwise.scala 103:75]
node _T_1400 = or(_T_1395, _T_1399) @[Bitwise.scala 103:39]
node _T_1401 = bits(_T_1393, 27, 0) @[Bitwise.scala 102:28]
node _T_1402 = shl(_T_1401, 4) @[Bitwise.scala 102:47]
node _T_1403 = xor(_T_1393, _T_1402) @[Bitwise.scala 102:21]
node _T_1404 = shr(_T_1400, 4) @[Bitwise.scala 103:21]
node _T_1405 = and(_T_1404, _T_1403) @[Bitwise.scala 103:31]
node _T_1406 = bits(_T_1400, 27, 0) @[Bitwise.scala 103:46]
node _T_1407 = shl(_T_1406, 4) @[Bitwise.scala 103:65]
node _T_1408 = not(_T_1403) @[Bitwise.scala 103:77]
node _T_1409 = and(_T_1407, _T_1408) @[Bitwise.scala 103:75]
node _T_1410 = or(_T_1405, _T_1409) @[Bitwise.scala 103:39]
node _T_1411 = bits(_T_1403, 29, 0) @[Bitwise.scala 102:28]
node _T_1412 = shl(_T_1411, 2) @[Bitwise.scala 102:47]
node _T_1413 = xor(_T_1403, _T_1412) @[Bitwise.scala 102:21]
node _T_1414 = shr(_T_1410, 2) @[Bitwise.scala 103:21]
node _T_1415 = and(_T_1414, _T_1413) @[Bitwise.scala 103:31]
node _T_1416 = bits(_T_1410, 29, 0) @[Bitwise.scala 103:46]
node _T_1417 = shl(_T_1416, 2) @[Bitwise.scala 103:65]
node _T_1418 = not(_T_1413) @[Bitwise.scala 103:77]
node _T_1419 = and(_T_1417, _T_1418) @[Bitwise.scala 103:75]
node _T_1420 = or(_T_1415, _T_1419) @[Bitwise.scala 103:39]
node _T_1421 = bits(_T_1413, 30, 0) @[Bitwise.scala 102:28]
node _T_1422 = shl(_T_1421, 1) @[Bitwise.scala 102:47]
node _T_1423 = xor(_T_1413, _T_1422) @[Bitwise.scala 102:21]
node _T_1424 = shr(_T_1420, 1) @[Bitwise.scala 103:21]
node _T_1425 = and(_T_1424, _T_1423) @[Bitwise.scala 103:31]
node _T_1426 = bits(_T_1420, 30, 0) @[Bitwise.scala 103:46]
node _T_1427 = shl(_T_1426, 1) @[Bitwise.scala 103:65]
node _T_1428 = not(_T_1423) @[Bitwise.scala 103:77]
node _T_1429 = and(_T_1427, _T_1428) @[Bitwise.scala 103:75]
node _T_1430 = or(_T_1425, _T_1429) @[Bitwise.scala 103:39]
node _T_1431 = and(io.ldst_dual_m, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 262:295]
node _T_1432 = and(_T_1431, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 262:316]
node _T_1433 = or(_T_1432, io.clk_override) @[lsu_dccm_ctl.scala 262:343]
node _T_1434 = bits(_T_1433, 0, 0) @[lib.scala 8:44]
node _T_1435 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 415:23]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[lib.scala 417:18]
rvclkhdr_1.io.en <= _T_1434 @[lib.scala 418:17]
rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 419:24]
reg _T_1436 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1434 : @[Reg.scala 28:19]
_T_1436 <= _T_1430 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
io.store_data_hi_r <= _T_1436 @[lsu_dccm_ctl.scala 262:29]
node _T_1437 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105]
node _T_1438 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 263:150]
node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131]
node _T_1440 = and(_T_1437, _T_1439) @[lsu_dccm_ctl.scala 263:129]
node _T_1441 = bits(_T_1440, 0, 0) @[lsu_dccm_ctl.scala 263:155]
node _T_1442 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 263:179]
node _T_1443 = bits(io.store_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 263:211]
node _T_1444 = mux(_T_1441, _T_1442, _T_1443) @[lsu_dccm_ctl.scala 263:79]
node _T_1445 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1446 = xor(UInt<8>("h0ff"), _T_1445) @[Bitwise.scala 102:21]
node _T_1447 = shr(_T_1444, 4) @[Bitwise.scala 103:21]
node _T_1448 = and(_T_1447, _T_1446) @[Bitwise.scala 103:31]
node _T_1449 = bits(_T_1444, 3, 0) @[Bitwise.scala 103:46]
node _T_1450 = shl(_T_1449, 4) @[Bitwise.scala 103:65]
node _T_1451 = not(_T_1446) @[Bitwise.scala 103:77]
node _T_1452 = and(_T_1450, _T_1451) @[Bitwise.scala 103:75]
node _T_1453 = or(_T_1448, _T_1452) @[Bitwise.scala 103:39]
node _T_1454 = bits(_T_1446, 5, 0) @[Bitwise.scala 102:28]
node _T_1455 = shl(_T_1454, 2) @[Bitwise.scala 102:47]
node _T_1456 = xor(_T_1446, _T_1455) @[Bitwise.scala 102:21]
node _T_1457 = shr(_T_1453, 2) @[Bitwise.scala 103:21]
node _T_1458 = and(_T_1457, _T_1456) @[Bitwise.scala 103:31]
node _T_1459 = bits(_T_1453, 5, 0) @[Bitwise.scala 103:46]
node _T_1460 = shl(_T_1459, 2) @[Bitwise.scala 103:65]
node _T_1461 = not(_T_1456) @[Bitwise.scala 103:77]
node _T_1462 = and(_T_1460, _T_1461) @[Bitwise.scala 103:75]
node _T_1463 = or(_T_1458, _T_1462) @[Bitwise.scala 103:39]
node _T_1464 = bits(_T_1456, 6, 0) @[Bitwise.scala 102:28]
node _T_1465 = shl(_T_1464, 1) @[Bitwise.scala 102:47]
node _T_1466 = xor(_T_1456, _T_1465) @[Bitwise.scala 102:21]
node _T_1467 = shr(_T_1463, 1) @[Bitwise.scala 103:21]
node _T_1468 = and(_T_1467, _T_1466) @[Bitwise.scala 103:31]
node _T_1469 = bits(_T_1463, 6, 0) @[Bitwise.scala 103:46]
node _T_1470 = shl(_T_1469, 1) @[Bitwise.scala 103:65]
node _T_1471 = not(_T_1466) @[Bitwise.scala 103:77]
node _T_1472 = and(_T_1470, _T_1471) @[Bitwise.scala 103:75]
node _T_1473 = or(_T_1468, _T_1472) @[Bitwise.scala 103:39]
node _T_1474 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105]
node _T_1475 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 263:150]
node _T_1476 = eq(_T_1475, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131]
node _T_1477 = and(_T_1474, _T_1476) @[lsu_dccm_ctl.scala 263:129]
node _T_1478 = bits(_T_1477, 0, 0) @[lsu_dccm_ctl.scala 263:155]
node _T_1479 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 263:179]
node _T_1480 = bits(io.store_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 263:211]
node _T_1481 = mux(_T_1478, _T_1479, _T_1480) @[lsu_dccm_ctl.scala 263:79]
node _T_1482 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1483 = xor(UInt<8>("h0ff"), _T_1482) @[Bitwise.scala 102:21]
node _T_1484 = shr(_T_1481, 4) @[Bitwise.scala 103:21]
node _T_1485 = and(_T_1484, _T_1483) @[Bitwise.scala 103:31]
node _T_1486 = bits(_T_1481, 3, 0) @[Bitwise.scala 103:46]
node _T_1487 = shl(_T_1486, 4) @[Bitwise.scala 103:65]
node _T_1488 = not(_T_1483) @[Bitwise.scala 103:77]
node _T_1489 = and(_T_1487, _T_1488) @[Bitwise.scala 103:75]
node _T_1490 = or(_T_1485, _T_1489) @[Bitwise.scala 103:39]
node _T_1491 = bits(_T_1483, 5, 0) @[Bitwise.scala 102:28]
node _T_1492 = shl(_T_1491, 2) @[Bitwise.scala 102:47]
node _T_1493 = xor(_T_1483, _T_1492) @[Bitwise.scala 102:21]
node _T_1494 = shr(_T_1490, 2) @[Bitwise.scala 103:21]
node _T_1495 = and(_T_1494, _T_1493) @[Bitwise.scala 103:31]
node _T_1496 = bits(_T_1490, 5, 0) @[Bitwise.scala 103:46]
node _T_1497 = shl(_T_1496, 2) @[Bitwise.scala 103:65]
node _T_1498 = not(_T_1493) @[Bitwise.scala 103:77]
node _T_1499 = and(_T_1497, _T_1498) @[Bitwise.scala 103:75]
node _T_1500 = or(_T_1495, _T_1499) @[Bitwise.scala 103:39]
node _T_1501 = bits(_T_1493, 6, 0) @[Bitwise.scala 102:28]
node _T_1502 = shl(_T_1501, 1) @[Bitwise.scala 102:47]
node _T_1503 = xor(_T_1493, _T_1502) @[Bitwise.scala 102:21]
node _T_1504 = shr(_T_1500, 1) @[Bitwise.scala 103:21]
node _T_1505 = and(_T_1504, _T_1503) @[Bitwise.scala 103:31]
node _T_1506 = bits(_T_1500, 6, 0) @[Bitwise.scala 103:46]
node _T_1507 = shl(_T_1506, 1) @[Bitwise.scala 103:65]
node _T_1508 = not(_T_1503) @[Bitwise.scala 103:77]
node _T_1509 = and(_T_1507, _T_1508) @[Bitwise.scala 103:75]
node _T_1510 = or(_T_1505, _T_1509) @[Bitwise.scala 103:39]
node _T_1511 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105]
node _T_1512 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 263:150]
node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131]
node _T_1514 = and(_T_1511, _T_1513) @[lsu_dccm_ctl.scala 263:129]
node _T_1515 = bits(_T_1514, 0, 0) @[lsu_dccm_ctl.scala 263:155]
node _T_1516 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 263:179]
node _T_1517 = bits(io.store_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 263:211]
node _T_1518 = mux(_T_1515, _T_1516, _T_1517) @[lsu_dccm_ctl.scala 263:79]
node _T_1519 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1520 = xor(UInt<8>("h0ff"), _T_1519) @[Bitwise.scala 102:21]
node _T_1521 = shr(_T_1518, 4) @[Bitwise.scala 103:21]
node _T_1522 = and(_T_1521, _T_1520) @[Bitwise.scala 103:31]
node _T_1523 = bits(_T_1518, 3, 0) @[Bitwise.scala 103:46]
node _T_1524 = shl(_T_1523, 4) @[Bitwise.scala 103:65]
node _T_1525 = not(_T_1520) @[Bitwise.scala 103:77]
node _T_1526 = and(_T_1524, _T_1525) @[Bitwise.scala 103:75]
node _T_1527 = or(_T_1522, _T_1526) @[Bitwise.scala 103:39]
node _T_1528 = bits(_T_1520, 5, 0) @[Bitwise.scala 102:28]
node _T_1529 = shl(_T_1528, 2) @[Bitwise.scala 102:47]
node _T_1530 = xor(_T_1520, _T_1529) @[Bitwise.scala 102:21]
node _T_1531 = shr(_T_1527, 2) @[Bitwise.scala 103:21]
node _T_1532 = and(_T_1531, _T_1530) @[Bitwise.scala 103:31]
node _T_1533 = bits(_T_1527, 5, 0) @[Bitwise.scala 103:46]
node _T_1534 = shl(_T_1533, 2) @[Bitwise.scala 103:65]
node _T_1535 = not(_T_1530) @[Bitwise.scala 103:77]
node _T_1536 = and(_T_1534, _T_1535) @[Bitwise.scala 103:75]
node _T_1537 = or(_T_1532, _T_1536) @[Bitwise.scala 103:39]
node _T_1538 = bits(_T_1530, 6, 0) @[Bitwise.scala 102:28]
node _T_1539 = shl(_T_1538, 1) @[Bitwise.scala 102:47]
node _T_1540 = xor(_T_1530, _T_1539) @[Bitwise.scala 102:21]
node _T_1541 = shr(_T_1537, 1) @[Bitwise.scala 103:21]
node _T_1542 = and(_T_1541, _T_1540) @[Bitwise.scala 103:31]
node _T_1543 = bits(_T_1537, 6, 0) @[Bitwise.scala 103:46]
node _T_1544 = shl(_T_1543, 1) @[Bitwise.scala 103:65]
node _T_1545 = not(_T_1540) @[Bitwise.scala 103:77]
node _T_1546 = and(_T_1544, _T_1545) @[Bitwise.scala 103:75]
node _T_1547 = or(_T_1542, _T_1546) @[Bitwise.scala 103:39]
node _T_1548 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105]
node _T_1549 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 263:150]
node _T_1550 = eq(_T_1549, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131]
node _T_1551 = and(_T_1548, _T_1550) @[lsu_dccm_ctl.scala 263:129]
node _T_1552 = bits(_T_1551, 0, 0) @[lsu_dccm_ctl.scala 263:155]
node _T_1553 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 263:179]
node _T_1554 = bits(io.store_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 263:211]
node _T_1555 = mux(_T_1552, _T_1553, _T_1554) @[lsu_dccm_ctl.scala 263:79]
node _T_1556 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1557 = xor(UInt<8>("h0ff"), _T_1556) @[Bitwise.scala 102:21]
node _T_1558 = shr(_T_1555, 4) @[Bitwise.scala 103:21]
node _T_1559 = and(_T_1558, _T_1557) @[Bitwise.scala 103:31]
node _T_1560 = bits(_T_1555, 3, 0) @[Bitwise.scala 103:46]
node _T_1561 = shl(_T_1560, 4) @[Bitwise.scala 103:65]
node _T_1562 = not(_T_1557) @[Bitwise.scala 103:77]
node _T_1563 = and(_T_1561, _T_1562) @[Bitwise.scala 103:75]
node _T_1564 = or(_T_1559, _T_1563) @[Bitwise.scala 103:39]
node _T_1565 = bits(_T_1557, 5, 0) @[Bitwise.scala 102:28]
node _T_1566 = shl(_T_1565, 2) @[Bitwise.scala 102:47]
node _T_1567 = xor(_T_1557, _T_1566) @[Bitwise.scala 102:21]
node _T_1568 = shr(_T_1564, 2) @[Bitwise.scala 103:21]
node _T_1569 = and(_T_1568, _T_1567) @[Bitwise.scala 103:31]
node _T_1570 = bits(_T_1564, 5, 0) @[Bitwise.scala 103:46]
node _T_1571 = shl(_T_1570, 2) @[Bitwise.scala 103:65]
node _T_1572 = not(_T_1567) @[Bitwise.scala 103:77]
node _T_1573 = and(_T_1571, _T_1572) @[Bitwise.scala 103:75]
node _T_1574 = or(_T_1569, _T_1573) @[Bitwise.scala 103:39]
node _T_1575 = bits(_T_1567, 6, 0) @[Bitwise.scala 102:28]
node _T_1576 = shl(_T_1575, 1) @[Bitwise.scala 102:47]
node _T_1577 = xor(_T_1567, _T_1576) @[Bitwise.scala 102:21]
node _T_1578 = shr(_T_1574, 1) @[Bitwise.scala 103:21]
node _T_1579 = and(_T_1578, _T_1577) @[Bitwise.scala 103:31]
node _T_1580 = bits(_T_1574, 6, 0) @[Bitwise.scala 103:46]
node _T_1581 = shl(_T_1580, 1) @[Bitwise.scala 103:65]
node _T_1582 = not(_T_1577) @[Bitwise.scala 103:77]
node _T_1583 = and(_T_1581, _T_1582) @[Bitwise.scala 103:75]
node _T_1584 = or(_T_1579, _T_1583) @[Bitwise.scala 103:39]
wire _T_1585 : UInt<8>[4] @[lsu_dccm_ctl.scala 263:63]
_T_1585[0] <= _T_1473 @[lsu_dccm_ctl.scala 263:63]
_T_1585[1] <= _T_1510 @[lsu_dccm_ctl.scala 263:63]
_T_1585[2] <= _T_1547 @[lsu_dccm_ctl.scala 263:63]
_T_1585[3] <= _T_1584 @[lsu_dccm_ctl.scala 263:63]
node _T_1586 = cat(_T_1585[2], _T_1585[3]) @[Cat.scala 29:58]
node _T_1587 = cat(_T_1585[0], _T_1585[1]) @[Cat.scala 29:58]
node _T_1588 = cat(_T_1587, _T_1586) @[Cat.scala 29:58]
node _T_1589 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1590 = xor(UInt<32>("h0ffffffff"), _T_1589) @[Bitwise.scala 102:21]
node _T_1591 = shr(_T_1588, 16) @[Bitwise.scala 103:21]
node _T_1592 = and(_T_1591, _T_1590) @[Bitwise.scala 103:31]
node _T_1593 = bits(_T_1588, 15, 0) @[Bitwise.scala 103:46]
node _T_1594 = shl(_T_1593, 16) @[Bitwise.scala 103:65]
node _T_1595 = not(_T_1590) @[Bitwise.scala 103:77]
node _T_1596 = and(_T_1594, _T_1595) @[Bitwise.scala 103:75]
node _T_1597 = or(_T_1592, _T_1596) @[Bitwise.scala 103:39]
node _T_1598 = bits(_T_1590, 23, 0) @[Bitwise.scala 102:28]
node _T_1599 = shl(_T_1598, 8) @[Bitwise.scala 102:47]
node _T_1600 = xor(_T_1590, _T_1599) @[Bitwise.scala 102:21]
node _T_1601 = shr(_T_1597, 8) @[Bitwise.scala 103:21]
node _T_1602 = and(_T_1601, _T_1600) @[Bitwise.scala 103:31]
node _T_1603 = bits(_T_1597, 23, 0) @[Bitwise.scala 103:46]
node _T_1604 = shl(_T_1603, 8) @[Bitwise.scala 103:65]
node _T_1605 = not(_T_1600) @[Bitwise.scala 103:77]
node _T_1606 = and(_T_1604, _T_1605) @[Bitwise.scala 103:75]
node _T_1607 = or(_T_1602, _T_1606) @[Bitwise.scala 103:39]
node _T_1608 = bits(_T_1600, 27, 0) @[Bitwise.scala 102:28]
node _T_1609 = shl(_T_1608, 4) @[Bitwise.scala 102:47]
node _T_1610 = xor(_T_1600, _T_1609) @[Bitwise.scala 102:21]
node _T_1611 = shr(_T_1607, 4) @[Bitwise.scala 103:21]
node _T_1612 = and(_T_1611, _T_1610) @[Bitwise.scala 103:31]
node _T_1613 = bits(_T_1607, 27, 0) @[Bitwise.scala 103:46]
node _T_1614 = shl(_T_1613, 4) @[Bitwise.scala 103:65]
node _T_1615 = not(_T_1610) @[Bitwise.scala 103:77]
node _T_1616 = and(_T_1614, _T_1615) @[Bitwise.scala 103:75]
node _T_1617 = or(_T_1612, _T_1616) @[Bitwise.scala 103:39]
node _T_1618 = bits(_T_1610, 29, 0) @[Bitwise.scala 102:28]
node _T_1619 = shl(_T_1618, 2) @[Bitwise.scala 102:47]
node _T_1620 = xor(_T_1610, _T_1619) @[Bitwise.scala 102:21]
node _T_1621 = shr(_T_1617, 2) @[Bitwise.scala 103:21]
node _T_1622 = and(_T_1621, _T_1620) @[Bitwise.scala 103:31]
node _T_1623 = bits(_T_1617, 29, 0) @[Bitwise.scala 103:46]
node _T_1624 = shl(_T_1623, 2) @[Bitwise.scala 103:65]
node _T_1625 = not(_T_1620) @[Bitwise.scala 103:77]
node _T_1626 = and(_T_1624, _T_1625) @[Bitwise.scala 103:75]
node _T_1627 = or(_T_1622, _T_1626) @[Bitwise.scala 103:39]
node _T_1628 = bits(_T_1620, 30, 0) @[Bitwise.scala 102:28]
node _T_1629 = shl(_T_1628, 1) @[Bitwise.scala 102:47]
node _T_1630 = xor(_T_1620, _T_1629) @[Bitwise.scala 102:21]
node _T_1631 = shr(_T_1627, 1) @[Bitwise.scala 103:21]
node _T_1632 = and(_T_1631, _T_1630) @[Bitwise.scala 103:31]
node _T_1633 = bits(_T_1627, 30, 0) @[Bitwise.scala 103:46]
node _T_1634 = shl(_T_1633, 1) @[Bitwise.scala 103:65]
node _T_1635 = not(_T_1630) @[Bitwise.scala 103:77]
node _T_1636 = and(_T_1634, _T_1635) @[Bitwise.scala 103:75]
node _T_1637 = or(_T_1632, _T_1636) @[Bitwise.scala 103:39]
io.store_datafn_lo_r <= _T_1637 @[lsu_dccm_ctl.scala 263:29]
node _T_1638 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105]
node _T_1639 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 264:150]
node _T_1640 = eq(_T_1639, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131]
node _T_1641 = and(_T_1638, _T_1640) @[lsu_dccm_ctl.scala 264:129]
node _T_1642 = bits(_T_1641, 0, 0) @[lsu_dccm_ctl.scala 264:157]
node _T_1643 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 264:181]
node _T_1644 = bits(io.store_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 264:213]
node _T_1645 = mux(_T_1642, _T_1643, _T_1644) @[lsu_dccm_ctl.scala 264:79]
node _T_1646 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1647 = xor(UInt<8>("h0ff"), _T_1646) @[Bitwise.scala 102:21]
node _T_1648 = shr(_T_1645, 4) @[Bitwise.scala 103:21]
node _T_1649 = and(_T_1648, _T_1647) @[Bitwise.scala 103:31]
node _T_1650 = bits(_T_1645, 3, 0) @[Bitwise.scala 103:46]
node _T_1651 = shl(_T_1650, 4) @[Bitwise.scala 103:65]
node _T_1652 = not(_T_1647) @[Bitwise.scala 103:77]
node _T_1653 = and(_T_1651, _T_1652) @[Bitwise.scala 103:75]
node _T_1654 = or(_T_1649, _T_1653) @[Bitwise.scala 103:39]
node _T_1655 = bits(_T_1647, 5, 0) @[Bitwise.scala 102:28]
node _T_1656 = shl(_T_1655, 2) @[Bitwise.scala 102:47]
node _T_1657 = xor(_T_1647, _T_1656) @[Bitwise.scala 102:21]
node _T_1658 = shr(_T_1654, 2) @[Bitwise.scala 103:21]
node _T_1659 = and(_T_1658, _T_1657) @[Bitwise.scala 103:31]
node _T_1660 = bits(_T_1654, 5, 0) @[Bitwise.scala 103:46]
node _T_1661 = shl(_T_1660, 2) @[Bitwise.scala 103:65]
node _T_1662 = not(_T_1657) @[Bitwise.scala 103:77]
node _T_1663 = and(_T_1661, _T_1662) @[Bitwise.scala 103:75]
node _T_1664 = or(_T_1659, _T_1663) @[Bitwise.scala 103:39]
node _T_1665 = bits(_T_1657, 6, 0) @[Bitwise.scala 102:28]
node _T_1666 = shl(_T_1665, 1) @[Bitwise.scala 102:47]
node _T_1667 = xor(_T_1657, _T_1666) @[Bitwise.scala 102:21]
node _T_1668 = shr(_T_1664, 1) @[Bitwise.scala 103:21]
node _T_1669 = and(_T_1668, _T_1667) @[Bitwise.scala 103:31]
node _T_1670 = bits(_T_1664, 6, 0) @[Bitwise.scala 103:46]
node _T_1671 = shl(_T_1670, 1) @[Bitwise.scala 103:65]
node _T_1672 = not(_T_1667) @[Bitwise.scala 103:77]
node _T_1673 = and(_T_1671, _T_1672) @[Bitwise.scala 103:75]
node _T_1674 = or(_T_1669, _T_1673) @[Bitwise.scala 103:39]
node _T_1675 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105]
node _T_1676 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 264:150]
node _T_1677 = eq(_T_1676, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131]
node _T_1678 = and(_T_1675, _T_1677) @[lsu_dccm_ctl.scala 264:129]
node _T_1679 = bits(_T_1678, 0, 0) @[lsu_dccm_ctl.scala 264:157]
node _T_1680 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 264:181]
node _T_1681 = bits(io.store_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 264:213]
node _T_1682 = mux(_T_1679, _T_1680, _T_1681) @[lsu_dccm_ctl.scala 264:79]
node _T_1683 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1684 = xor(UInt<8>("h0ff"), _T_1683) @[Bitwise.scala 102:21]
node _T_1685 = shr(_T_1682, 4) @[Bitwise.scala 103:21]
node _T_1686 = and(_T_1685, _T_1684) @[Bitwise.scala 103:31]
node _T_1687 = bits(_T_1682, 3, 0) @[Bitwise.scala 103:46]
node _T_1688 = shl(_T_1687, 4) @[Bitwise.scala 103:65]
node _T_1689 = not(_T_1684) @[Bitwise.scala 103:77]
node _T_1690 = and(_T_1688, _T_1689) @[Bitwise.scala 103:75]
node _T_1691 = or(_T_1686, _T_1690) @[Bitwise.scala 103:39]
node _T_1692 = bits(_T_1684, 5, 0) @[Bitwise.scala 102:28]
node _T_1693 = shl(_T_1692, 2) @[Bitwise.scala 102:47]
node _T_1694 = xor(_T_1684, _T_1693) @[Bitwise.scala 102:21]
node _T_1695 = shr(_T_1691, 2) @[Bitwise.scala 103:21]
node _T_1696 = and(_T_1695, _T_1694) @[Bitwise.scala 103:31]
node _T_1697 = bits(_T_1691, 5, 0) @[Bitwise.scala 103:46]
node _T_1698 = shl(_T_1697, 2) @[Bitwise.scala 103:65]
node _T_1699 = not(_T_1694) @[Bitwise.scala 103:77]
node _T_1700 = and(_T_1698, _T_1699) @[Bitwise.scala 103:75]
node _T_1701 = or(_T_1696, _T_1700) @[Bitwise.scala 103:39]
node _T_1702 = bits(_T_1694, 6, 0) @[Bitwise.scala 102:28]
node _T_1703 = shl(_T_1702, 1) @[Bitwise.scala 102:47]
node _T_1704 = xor(_T_1694, _T_1703) @[Bitwise.scala 102:21]
node _T_1705 = shr(_T_1701, 1) @[Bitwise.scala 103:21]
node _T_1706 = and(_T_1705, _T_1704) @[Bitwise.scala 103:31]
node _T_1707 = bits(_T_1701, 6, 0) @[Bitwise.scala 103:46]
node _T_1708 = shl(_T_1707, 1) @[Bitwise.scala 103:65]
node _T_1709 = not(_T_1704) @[Bitwise.scala 103:77]
node _T_1710 = and(_T_1708, _T_1709) @[Bitwise.scala 103:75]
node _T_1711 = or(_T_1706, _T_1710) @[Bitwise.scala 103:39]
node _T_1712 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105]
node _T_1713 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 264:150]
node _T_1714 = eq(_T_1713, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131]
node _T_1715 = and(_T_1712, _T_1714) @[lsu_dccm_ctl.scala 264:129]
node _T_1716 = bits(_T_1715, 0, 0) @[lsu_dccm_ctl.scala 264:157]
node _T_1717 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 264:181]
node _T_1718 = bits(io.store_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 264:213]
node _T_1719 = mux(_T_1716, _T_1717, _T_1718) @[lsu_dccm_ctl.scala 264:79]
node _T_1720 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1721 = xor(UInt<8>("h0ff"), _T_1720) @[Bitwise.scala 102:21]
node _T_1722 = shr(_T_1719, 4) @[Bitwise.scala 103:21]
node _T_1723 = and(_T_1722, _T_1721) @[Bitwise.scala 103:31]
node _T_1724 = bits(_T_1719, 3, 0) @[Bitwise.scala 103:46]
node _T_1725 = shl(_T_1724, 4) @[Bitwise.scala 103:65]
node _T_1726 = not(_T_1721) @[Bitwise.scala 103:77]
node _T_1727 = and(_T_1725, _T_1726) @[Bitwise.scala 103:75]
node _T_1728 = or(_T_1723, _T_1727) @[Bitwise.scala 103:39]
node _T_1729 = bits(_T_1721, 5, 0) @[Bitwise.scala 102:28]
node _T_1730 = shl(_T_1729, 2) @[Bitwise.scala 102:47]
node _T_1731 = xor(_T_1721, _T_1730) @[Bitwise.scala 102:21]
node _T_1732 = shr(_T_1728, 2) @[Bitwise.scala 103:21]
node _T_1733 = and(_T_1732, _T_1731) @[Bitwise.scala 103:31]
node _T_1734 = bits(_T_1728, 5, 0) @[Bitwise.scala 103:46]
node _T_1735 = shl(_T_1734, 2) @[Bitwise.scala 103:65]
node _T_1736 = not(_T_1731) @[Bitwise.scala 103:77]
node _T_1737 = and(_T_1735, _T_1736) @[Bitwise.scala 103:75]
node _T_1738 = or(_T_1733, _T_1737) @[Bitwise.scala 103:39]
node _T_1739 = bits(_T_1731, 6, 0) @[Bitwise.scala 102:28]
node _T_1740 = shl(_T_1739, 1) @[Bitwise.scala 102:47]
node _T_1741 = xor(_T_1731, _T_1740) @[Bitwise.scala 102:21]
node _T_1742 = shr(_T_1738, 1) @[Bitwise.scala 103:21]
node _T_1743 = and(_T_1742, _T_1741) @[Bitwise.scala 103:31]
node _T_1744 = bits(_T_1738, 6, 0) @[Bitwise.scala 103:46]
node _T_1745 = shl(_T_1744, 1) @[Bitwise.scala 103:65]
node _T_1746 = not(_T_1741) @[Bitwise.scala 103:77]
node _T_1747 = and(_T_1745, _T_1746) @[Bitwise.scala 103:75]
node _T_1748 = or(_T_1743, _T_1747) @[Bitwise.scala 103:39]
node _T_1749 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105]
node _T_1750 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 264:150]
node _T_1751 = eq(_T_1750, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131]
node _T_1752 = and(_T_1749, _T_1751) @[lsu_dccm_ctl.scala 264:129]
node _T_1753 = bits(_T_1752, 0, 0) @[lsu_dccm_ctl.scala 264:157]
node _T_1754 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 264:181]
node _T_1755 = bits(io.store_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 264:213]
node _T_1756 = mux(_T_1753, _T_1754, _T_1755) @[lsu_dccm_ctl.scala 264:79]
node _T_1757 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1758 = xor(UInt<8>("h0ff"), _T_1757) @[Bitwise.scala 102:21]
node _T_1759 = shr(_T_1756, 4) @[Bitwise.scala 103:21]
node _T_1760 = and(_T_1759, _T_1758) @[Bitwise.scala 103:31]
node _T_1761 = bits(_T_1756, 3, 0) @[Bitwise.scala 103:46]
node _T_1762 = shl(_T_1761, 4) @[Bitwise.scala 103:65]
node _T_1763 = not(_T_1758) @[Bitwise.scala 103:77]
node _T_1764 = and(_T_1762, _T_1763) @[Bitwise.scala 103:75]
node _T_1765 = or(_T_1760, _T_1764) @[Bitwise.scala 103:39]
node _T_1766 = bits(_T_1758, 5, 0) @[Bitwise.scala 102:28]
node _T_1767 = shl(_T_1766, 2) @[Bitwise.scala 102:47]
node _T_1768 = xor(_T_1758, _T_1767) @[Bitwise.scala 102:21]
node _T_1769 = shr(_T_1765, 2) @[Bitwise.scala 103:21]
node _T_1770 = and(_T_1769, _T_1768) @[Bitwise.scala 103:31]
node _T_1771 = bits(_T_1765, 5, 0) @[Bitwise.scala 103:46]
node _T_1772 = shl(_T_1771, 2) @[Bitwise.scala 103:65]
node _T_1773 = not(_T_1768) @[Bitwise.scala 103:77]
node _T_1774 = and(_T_1772, _T_1773) @[Bitwise.scala 103:75]
node _T_1775 = or(_T_1770, _T_1774) @[Bitwise.scala 103:39]
node _T_1776 = bits(_T_1768, 6, 0) @[Bitwise.scala 102:28]
node _T_1777 = shl(_T_1776, 1) @[Bitwise.scala 102:47]
node _T_1778 = xor(_T_1768, _T_1777) @[Bitwise.scala 102:21]
node _T_1779 = shr(_T_1775, 1) @[Bitwise.scala 103:21]
node _T_1780 = and(_T_1779, _T_1778) @[Bitwise.scala 103:31]
node _T_1781 = bits(_T_1775, 6, 0) @[Bitwise.scala 103:46]
node _T_1782 = shl(_T_1781, 1) @[Bitwise.scala 103:65]
node _T_1783 = not(_T_1778) @[Bitwise.scala 103:77]
node _T_1784 = and(_T_1782, _T_1783) @[Bitwise.scala 103:75]
node _T_1785 = or(_T_1780, _T_1784) @[Bitwise.scala 103:39]
wire _T_1786 : UInt<8>[4] @[lsu_dccm_ctl.scala 264:63]
_T_1786[0] <= _T_1674 @[lsu_dccm_ctl.scala 264:63]
_T_1786[1] <= _T_1711 @[lsu_dccm_ctl.scala 264:63]
_T_1786[2] <= _T_1748 @[lsu_dccm_ctl.scala 264:63]
_T_1786[3] <= _T_1785 @[lsu_dccm_ctl.scala 264:63]
node _T_1787 = cat(_T_1786[2], _T_1786[3]) @[Cat.scala 29:58]
node _T_1788 = cat(_T_1786[0], _T_1786[1]) @[Cat.scala 29:58]
node _T_1789 = cat(_T_1788, _T_1787) @[Cat.scala 29:58]
node _T_1790 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1791 = xor(UInt<32>("h0ffffffff"), _T_1790) @[Bitwise.scala 102:21]
node _T_1792 = shr(_T_1789, 16) @[Bitwise.scala 103:21]
node _T_1793 = and(_T_1792, _T_1791) @[Bitwise.scala 103:31]
node _T_1794 = bits(_T_1789, 15, 0) @[Bitwise.scala 103:46]
node _T_1795 = shl(_T_1794, 16) @[Bitwise.scala 103:65]
node _T_1796 = not(_T_1791) @[Bitwise.scala 103:77]
node _T_1797 = and(_T_1795, _T_1796) @[Bitwise.scala 103:75]
node _T_1798 = or(_T_1793, _T_1797) @[Bitwise.scala 103:39]
node _T_1799 = bits(_T_1791, 23, 0) @[Bitwise.scala 102:28]
node _T_1800 = shl(_T_1799, 8) @[Bitwise.scala 102:47]
node _T_1801 = xor(_T_1791, _T_1800) @[Bitwise.scala 102:21]
node _T_1802 = shr(_T_1798, 8) @[Bitwise.scala 103:21]
node _T_1803 = and(_T_1802, _T_1801) @[Bitwise.scala 103:31]
node _T_1804 = bits(_T_1798, 23, 0) @[Bitwise.scala 103:46]
node _T_1805 = shl(_T_1804, 8) @[Bitwise.scala 103:65]
node _T_1806 = not(_T_1801) @[Bitwise.scala 103:77]
node _T_1807 = and(_T_1805, _T_1806) @[Bitwise.scala 103:75]
node _T_1808 = or(_T_1803, _T_1807) @[Bitwise.scala 103:39]
node _T_1809 = bits(_T_1801, 27, 0) @[Bitwise.scala 102:28]
node _T_1810 = shl(_T_1809, 4) @[Bitwise.scala 102:47]
node _T_1811 = xor(_T_1801, _T_1810) @[Bitwise.scala 102:21]
node _T_1812 = shr(_T_1808, 4) @[Bitwise.scala 103:21]
node _T_1813 = and(_T_1812, _T_1811) @[Bitwise.scala 103:31]
node _T_1814 = bits(_T_1808, 27, 0) @[Bitwise.scala 103:46]
node _T_1815 = shl(_T_1814, 4) @[Bitwise.scala 103:65]
node _T_1816 = not(_T_1811) @[Bitwise.scala 103:77]
node _T_1817 = and(_T_1815, _T_1816) @[Bitwise.scala 103:75]
node _T_1818 = or(_T_1813, _T_1817) @[Bitwise.scala 103:39]
node _T_1819 = bits(_T_1811, 29, 0) @[Bitwise.scala 102:28]
node _T_1820 = shl(_T_1819, 2) @[Bitwise.scala 102:47]
node _T_1821 = xor(_T_1811, _T_1820) @[Bitwise.scala 102:21]
node _T_1822 = shr(_T_1818, 2) @[Bitwise.scala 103:21]
node _T_1823 = and(_T_1822, _T_1821) @[Bitwise.scala 103:31]
node _T_1824 = bits(_T_1818, 29, 0) @[Bitwise.scala 103:46]
node _T_1825 = shl(_T_1824, 2) @[Bitwise.scala 103:65]
node _T_1826 = not(_T_1821) @[Bitwise.scala 103:77]
node _T_1827 = and(_T_1825, _T_1826) @[Bitwise.scala 103:75]
node _T_1828 = or(_T_1823, _T_1827) @[Bitwise.scala 103:39]
node _T_1829 = bits(_T_1821, 30, 0) @[Bitwise.scala 102:28]
node _T_1830 = shl(_T_1829, 1) @[Bitwise.scala 102:47]
node _T_1831 = xor(_T_1821, _T_1830) @[Bitwise.scala 102:21]
node _T_1832 = shr(_T_1828, 1) @[Bitwise.scala 103:21]
node _T_1833 = and(_T_1832, _T_1831) @[Bitwise.scala 103:31]
node _T_1834 = bits(_T_1828, 30, 0) @[Bitwise.scala 103:46]
node _T_1835 = shl(_T_1834, 1) @[Bitwise.scala 103:65]
node _T_1836 = not(_T_1831) @[Bitwise.scala 103:77]
node _T_1837 = and(_T_1835, _T_1836) @[Bitwise.scala 103:75]
node _T_1838 = or(_T_1833, _T_1837) @[Bitwise.scala 103:39]
io.store_datafn_hi_r <= _T_1838 @[lsu_dccm_ctl.scala 264:29]
node _T_1839 = bits(io.store_data_hi_r, 31, 0) @[lsu_dccm_ctl.scala 265:55]
node _T_1840 = bits(io.store_data_lo_r, 31, 0) @[lsu_dccm_ctl.scala 265:80]
node _T_1841 = cat(_T_1839, _T_1840) @[Cat.scala 29:58]
node _T_1842 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 265:108]
node _T_1843 = mul(UInt<4>("h08"), _T_1842) @[lsu_dccm_ctl.scala 265:94]
node _T_1844 = dshr(_T_1841, _T_1843) @[lsu_dccm_ctl.scala 265:88]
node _T_1845 = bits(store_byteen_r, 0, 0) @[lsu_dccm_ctl.scala 265:174]
node _T_1846 = bits(_T_1845, 0, 0) @[Bitwise.scala 72:15]
node _T_1847 = mux(_T_1846, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1848 = bits(store_byteen_r, 1, 1) @[lsu_dccm_ctl.scala 265:174]
node _T_1849 = bits(_T_1848, 0, 0) @[Bitwise.scala 72:15]
node _T_1850 = mux(_T_1849, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1851 = bits(store_byteen_r, 2, 2) @[lsu_dccm_ctl.scala 265:174]
node _T_1852 = bits(_T_1851, 0, 0) @[Bitwise.scala 72:15]
node _T_1853 = mux(_T_1852, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1854 = bits(store_byteen_r, 3, 3) @[lsu_dccm_ctl.scala 265:174]
node _T_1855 = bits(_T_1854, 0, 0) @[Bitwise.scala 72:15]
node _T_1856 = mux(_T_1855, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
wire _T_1857 : UInt<8>[4] @[lsu_dccm_ctl.scala 265:148]
_T_1857[0] <= _T_1847 @[lsu_dccm_ctl.scala 265:148]
_T_1857[1] <= _T_1850 @[lsu_dccm_ctl.scala 265:148]
_T_1857[2] <= _T_1853 @[lsu_dccm_ctl.scala 265:148]
_T_1857[3] <= _T_1856 @[lsu_dccm_ctl.scala 265:148]
node _T_1858 = cat(_T_1857[2], _T_1857[3]) @[Cat.scala 29:58]
node _T_1859 = cat(_T_1857[0], _T_1857[1]) @[Cat.scala 29:58]
node _T_1860 = cat(_T_1859, _T_1858) @[Cat.scala 29:58]
node _T_1861 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1862 = xor(UInt<32>("h0ffffffff"), _T_1861) @[Bitwise.scala 102:21]
node _T_1863 = shr(_T_1860, 16) @[Bitwise.scala 103:21]
node _T_1864 = and(_T_1863, _T_1862) @[Bitwise.scala 103:31]
node _T_1865 = bits(_T_1860, 15, 0) @[Bitwise.scala 103:46]
node _T_1866 = shl(_T_1865, 16) @[Bitwise.scala 103:65]
node _T_1867 = not(_T_1862) @[Bitwise.scala 103:77]
node _T_1868 = and(_T_1866, _T_1867) @[Bitwise.scala 103:75]
node _T_1869 = or(_T_1864, _T_1868) @[Bitwise.scala 103:39]
node _T_1870 = bits(_T_1862, 23, 0) @[Bitwise.scala 102:28]
node _T_1871 = shl(_T_1870, 8) @[Bitwise.scala 102:47]
node _T_1872 = xor(_T_1862, _T_1871) @[Bitwise.scala 102:21]
node _T_1873 = shr(_T_1869, 8) @[Bitwise.scala 103:21]
node _T_1874 = and(_T_1873, _T_1872) @[Bitwise.scala 103:31]
node _T_1875 = bits(_T_1869, 23, 0) @[Bitwise.scala 103:46]
node _T_1876 = shl(_T_1875, 8) @[Bitwise.scala 103:65]
node _T_1877 = not(_T_1872) @[Bitwise.scala 103:77]
node _T_1878 = and(_T_1876, _T_1877) @[Bitwise.scala 103:75]
node _T_1879 = or(_T_1874, _T_1878) @[Bitwise.scala 103:39]
node _T_1880 = bits(_T_1872, 27, 0) @[Bitwise.scala 102:28]
node _T_1881 = shl(_T_1880, 4) @[Bitwise.scala 102:47]
node _T_1882 = xor(_T_1872, _T_1881) @[Bitwise.scala 102:21]
node _T_1883 = shr(_T_1879, 4) @[Bitwise.scala 103:21]
node _T_1884 = and(_T_1883, _T_1882) @[Bitwise.scala 103:31]
node _T_1885 = bits(_T_1879, 27, 0) @[Bitwise.scala 103:46]
node _T_1886 = shl(_T_1885, 4) @[Bitwise.scala 103:65]
node _T_1887 = not(_T_1882) @[Bitwise.scala 103:77]
node _T_1888 = and(_T_1886, _T_1887) @[Bitwise.scala 103:75]
node _T_1889 = or(_T_1884, _T_1888) @[Bitwise.scala 103:39]
node _T_1890 = bits(_T_1882, 29, 0) @[Bitwise.scala 102:28]
node _T_1891 = shl(_T_1890, 2) @[Bitwise.scala 102:47]
node _T_1892 = xor(_T_1882, _T_1891) @[Bitwise.scala 102:21]
node _T_1893 = shr(_T_1889, 2) @[Bitwise.scala 103:21]
node _T_1894 = and(_T_1893, _T_1892) @[Bitwise.scala 103:31]
node _T_1895 = bits(_T_1889, 29, 0) @[Bitwise.scala 103:46]
node _T_1896 = shl(_T_1895, 2) @[Bitwise.scala 103:65]
node _T_1897 = not(_T_1892) @[Bitwise.scala 103:77]
node _T_1898 = and(_T_1896, _T_1897) @[Bitwise.scala 103:75]
node _T_1899 = or(_T_1894, _T_1898) @[Bitwise.scala 103:39]
node _T_1900 = bits(_T_1892, 30, 0) @[Bitwise.scala 102:28]
node _T_1901 = shl(_T_1900, 1) @[Bitwise.scala 102:47]
node _T_1902 = xor(_T_1892, _T_1901) @[Bitwise.scala 102:21]
node _T_1903 = shr(_T_1899, 1) @[Bitwise.scala 103:21]
node _T_1904 = and(_T_1903, _T_1902) @[Bitwise.scala 103:31]
node _T_1905 = bits(_T_1899, 30, 0) @[Bitwise.scala 103:46]
node _T_1906 = shl(_T_1905, 1) @[Bitwise.scala 103:65]
node _T_1907 = not(_T_1902) @[Bitwise.scala 103:77]
node _T_1908 = and(_T_1906, _T_1907) @[Bitwise.scala 103:75]
node _T_1909 = or(_T_1904, _T_1908) @[Bitwise.scala 103:39]
node _T_1910 = and(_T_1844, _T_1909) @[lsu_dccm_ctl.scala 265:115]
io.store_data_r <= _T_1910 @[lsu_dccm_ctl.scala 265:29]
node _T_1911 = bits(io.dccm.rd_data_lo, 31, 0) @[lsu_dccm_ctl.scala 267:48]
io.dccm_rdata_lo_m <= _T_1911 @[lsu_dccm_ctl.scala 267:27]
node _T_1912 = bits(io.dccm.rd_data_hi, 31, 0) @[lsu_dccm_ctl.scala 268:48]
io.dccm_rdata_hi_m <= _T_1912 @[lsu_dccm_ctl.scala 268:27]
node _T_1913 = bits(io.dccm.rd_data_lo, 38, 32) @[lsu_dccm_ctl.scala 269:48]
io.dccm_data_ecc_lo_m <= _T_1913 @[lsu_dccm_ctl.scala 269:27]
node _T_1914 = bits(io.dccm.rd_data_hi, 38, 32) @[lsu_dccm_ctl.scala 270:48]
io.dccm_data_ecc_hi_m <= _T_1914 @[lsu_dccm_ctl.scala 270:27]
node _T_1915 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_dccm_ctl.scala 272:58]
node _T_1916 = and(_T_1915, io.addr_in_pic_r) @[lsu_dccm_ctl.scala 272:84]
node _T_1917 = and(_T_1916, io.lsu_commit_r) @[lsu_dccm_ctl.scala 272:103]
node _T_1918 = or(_T_1917, io.dma_pic_wen) @[lsu_dccm_ctl.scala 272:122]
io.lsu_pic.picm_wren <= _T_1918 @[lsu_dccm_ctl.scala 272:35]
node _T_1919 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[lsu_dccm_ctl.scala 273:58]
node _T_1920 = and(_T_1919, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 273:84]
io.lsu_pic.picm_rden <= _T_1920 @[lsu_dccm_ctl.scala 273:35]
node _T_1921 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 274:58]
node _T_1922 = and(_T_1921, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 274:84]
io.lsu_pic.picm_mken <= _T_1922 @[lsu_dccm_ctl.scala 274:35]
node _T_1923 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_1924 = bits(io.lsu_addr_d, 14, 0) @[lsu_dccm_ctl.scala 275:103]
node _T_1925 = cat(_T_1923, _T_1924) @[Cat.scala 29:58]
node _T_1926 = or(UInt<32>("h0f00c0000"), _T_1925) @[lsu_dccm_ctl.scala 275:62]
io.lsu_pic.picm_rdaddr <= _T_1926 @[lsu_dccm_ctl.scala 275:35]
node _T_1927 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_1928 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 276:109]
node _T_1929 = bits(io.dma_dccm_ctl.dma_mem_addr, 14, 0) @[lsu_dccm_ctl.scala 276:144]
node _T_1930 = bits(io.lsu_addr_r, 14, 0) @[lsu_dccm_ctl.scala 276:172]
node _T_1931 = mux(_T_1928, _T_1929, _T_1930) @[lsu_dccm_ctl.scala 276:93]
node _T_1932 = cat(_T_1927, _T_1931) @[Cat.scala 29:58]
node _T_1933 = or(UInt<32>("h0f00c0000"), _T_1932) @[lsu_dccm_ctl.scala 276:62]
io.lsu_pic.picm_wraddr <= _T_1933 @[lsu_dccm_ctl.scala 276:35]
node _T_1934 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 277:44]
io.picm_mask_data_m <= _T_1934 @[lsu_dccm_ctl.scala 277:27]
node _T_1935 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 278:57]
node _T_1936 = bits(io.dma_dccm_ctl.dma_mem_wdata, 31, 0) @[lsu_dccm_ctl.scala 278:93]
node _T_1937 = bits(io.store_datafn_lo_r, 31, 0) @[lsu_dccm_ctl.scala 278:120]
node _T_1938 = mux(_T_1935, _T_1936, _T_1937) @[lsu_dccm_ctl.scala 278:41]
io.lsu_pic.picm_wr_data <= _T_1938 @[lsu_dccm_ctl.scala 278:35]
reg _T_1939 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 280:61]
_T_1939 <= lsu_dccm_rden_d @[lsu_dccm_ctl.scala 280:61]
io.lsu_dccm_rden_m <= _T_1939 @[lsu_dccm_ctl.scala 280:24]
reg _T_1940 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 281:61]
_T_1940 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 281:61]
io.lsu_dccm_rden_r <= _T_1940 @[lsu_dccm_ctl.scala 281:24]
reg _T_1941 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 282:73]
_T_1941 <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 282:73]
lsu_double_ecc_error_r_ff <= _T_1941 @[lsu_dccm_ctl.scala 282:33]
reg _T_1942 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 283:73]
_T_1942 <= ld_single_ecc_error_hi_r_ns @[lsu_dccm_ctl.scala 283:73]
ld_single_ecc_error_hi_r_ff <= _T_1942 @[lsu_dccm_ctl.scala 283:33]
reg _T_1943 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 284:73]
_T_1943 <= ld_single_ecc_error_lo_r_ns @[lsu_dccm_ctl.scala 284:73]
ld_single_ecc_error_lo_r_ff <= _T_1943 @[lsu_dccm_ctl.scala 284:33]
node _T_1944 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 285:48]
node _T_1945 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 285:90]
node _T_1946 = bits(_T_1945, 0, 0) @[lib.scala 8:44]
node _T_1947 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 285:128]
inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 415:23]
rvclkhdr_2.clock <= clock
rvclkhdr_2.reset <= reset
rvclkhdr_2.io.clk <= clock @[lib.scala 417:18]
rvclkhdr_2.io.en <= _T_1946 @[lib.scala 418:17]
rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 419:24]
reg _T_1948 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1946 : @[Reg.scala 28:19]
_T_1948 <= _T_1944 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
ld_sec_addr_hi_r_ff <= _T_1948 @[lsu_dccm_ctl.scala 285:25]
node _T_1949 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 286:48]
node _T_1950 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 286:90]
node _T_1951 = bits(_T_1950, 0, 0) @[lib.scala 8:44]
node _T_1952 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 286:128]
inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 415:23]
rvclkhdr_3.clock <= clock
rvclkhdr_3.reset <= reset
rvclkhdr_3.io.clk <= clock @[lib.scala 417:18]
rvclkhdr_3.io.en <= _T_1951 @[lib.scala 418:17]
rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 419:24]
reg _T_1953 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1951 : @[Reg.scala 28:19]
_T_1953 <= _T_1949 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
ld_sec_addr_lo_r_ff <= _T_1953 @[lsu_dccm_ctl.scala 286:25]