quasar/el2_lsu_dccm_ctl.fir

2112 lines
139 KiB
Plaintext

;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10
circuit el2_lsu_dccm_ctl :
extmodule gated_latch :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch @[el2_lib.scala 474:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14]
clkhdr.CK <= io.clk @[el2_lib.scala 476:18]
clkhdr.EN <= io.en @[el2_lib.scala 477:18]
clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18]
extmodule gated_latch_1 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_1 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_1 @[el2_lib.scala 474:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14]
clkhdr.CK <= io.clk @[el2_lib.scala 476:18]
clkhdr.EN <= io.en @[el2_lib.scala 477:18]
clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18]
module el2_lsu_dccm_ctl :
input clock : Clock
input reset : AsyncReset
output io : {flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_store_c1_r_clk : Clock, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip addr_in_dccm_d : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip addr_in_pic_d : UInt<1>, flip addr_in_pic_m : UInt<1>, flip addr_in_pic_r : UInt<1>, flip lsu_raw_fwd_lo_r : UInt<1>, flip lsu_raw_fwd_hi_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<16>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<16>, flip end_addr_r : UInt<16>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_addr_any : UInt<16>, flip stbuf_data_any : UInt<32>, flip stbuf_ecc_any : UInt<7>, flip stbuf_fwddata_hi_m : UInt<32>, flip stbuf_fwddata_lo_m : UInt<32>, flip stbuf_fwdbyteen_lo_m : UInt<4>, flip stbuf_fwdbyteen_hi_m : UInt<4>, dccm_rdata_hi_r : UInt<32>, dccm_rdata_lo_r : UInt<32>, dccm_data_ecc_hi_r : UInt<7>, dccm_data_ecc_lo_r : UInt<7>, lsu_ld_data_r : UInt<32>, lsu_ld_data_corr_r : UInt<32>, flip lsu_double_ecc_error_r : UInt<1>, flip single_ecc_error_hi_r : UInt<1>, flip single_ecc_error_lo_r : UInt<1>, flip sec_data_hi_r : UInt<32>, flip sec_data_lo_r : UInt<32>, flip sec_data_hi_r_ff : UInt<32>, flip sec_data_lo_r_ff : UInt<32>, flip sec_data_ecc_hi_r_ff : UInt<7>, flip sec_data_ecc_lo_r_ff : UInt<7>, dccm_rdata_hi_m : UInt<32>, dccm_rdata_lo_m : UInt<32>, dccm_data_ecc_hi_m : UInt<7>, dccm_data_ecc_lo_m : UInt<7>, lsu_ld_data_m : UInt<32>, flip lsu_double_ecc_error_m : UInt<1>, flip sec_data_hi_m : UInt<32>, flip sec_data_lo_m : UInt<32>, flip store_data_m : UInt<32>, flip dma_dccm_wen : UInt<1>, flip dma_pic_wen : UInt<1>, flip dma_mem_tag_m : UInt<3>, flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip dma_dccm_wdata_ecc_hi : UInt<7>, flip dma_dccm_wdata_ecc_lo : UInt<7>, store_data_hi_r : UInt<32>, store_data_lo_r : UInt<32>, store_datafn_hi_r : UInt<32>, store_datafn_lo_r : UInt<32>, store_data_r : UInt<32>, ld_single_ecc_error_r : UInt<1>, ld_single_ecc_error_r_ff : UInt<1>, picm_mask_data_m : UInt<32>, lsu_stbuf_commit_any : UInt<1>, lsu_dccm_rden_m : UInt<1>, lsu_dccm_rden_r : UInt<1>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>, dccm_wren : UInt<1>, dccm_rden : UInt<1>, dccm_wr_addr_lo : UInt<16>, dccm_wr_data_lo : UInt<39>, dccm_rd_addr_lo : UInt<16>, flip dccm_rd_data_lo : UInt<39>, dccm_wr_addr_hi : UInt<16>, dccm_wr_data_hi : UInt<39>, dccm_rd_addr_hi : UInt<16>, flip dccm_rd_data_hi : UInt<39>, picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>, flip scan_mode : UInt<1>}
node picm_rd_data_m = cat(io.picm_rd_data, io.picm_rd_data) @[Cat.scala 29:58]
node dccm_rdata_corr_r = cat(io.sec_data_hi_r, io.sec_data_lo_r) @[Cat.scala 29:58]
node dccm_rdata_corr_m = cat(io.sec_data_hi_m, io.sec_data_lo_m) @[Cat.scala 29:58]
node dccm_rdata_r = cat(io.dccm_rdata_hi_r, io.dccm_rdata_lo_r) @[Cat.scala 29:58]
node dccm_rdata_m = cat(io.dccm_rdata_hi_m, io.dccm_rdata_lo_m) @[Cat.scala 29:58]
wire lsu_rdata_r : UInt<64>
lsu_rdata_r <= UInt<1>("h00")
wire lsu_rdata_m : UInt<64>
lsu_rdata_m <= UInt<1>("h00")
wire lsu_rdata_corr_r : UInt<64>
lsu_rdata_corr_r <= UInt<1>("h00")
wire lsu_rdata_corr_m : UInt<64>
lsu_rdata_corr_m <= UInt<1>("h00")
wire stbuf_fwddata_r : UInt<64>
stbuf_fwddata_r <= UInt<1>("h00")
wire stbuf_fwdbyteen_r : UInt<64>
stbuf_fwdbyteen_r <= UInt<1>("h00")
wire picm_rd_data_r_32 : UInt<32>
picm_rd_data_r_32 <= UInt<1>("h00")
wire picm_rd_data_r : UInt<64>
picm_rd_data_r <= UInt<1>("h00")
wire lsu_ld_data_corr_m : UInt<64>
lsu_ld_data_corr_m <= UInt<1>("h00")
node _T = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[el2_lsu_dccm_ctl.scala 161:50]
node _T_1 = and(_T, io.lsu_pkt_m.bits.dma) @[el2_lsu_dccm_ctl.scala 161:75]
io.dccm_dma_rvalid <= _T_1 @[el2_lsu_dccm_ctl.scala 161:28]
io.dccm_dma_ecc_error <= io.lsu_double_ecc_error_m @[el2_lsu_dccm_ctl.scala 162:28]
io.dccm_dma_rdata <= lsu_rdata_corr_m @[el2_lsu_dccm_ctl.scala 163:28]
io.dccm_dma_rtag <= io.dma_mem_tag_m @[el2_lsu_dccm_ctl.scala 164:28]
io.dccm_rdata_lo_r <= UInt<1>("h00") @[el2_lsu_dccm_ctl.scala 165:28]
io.dccm_rdata_hi_r <= UInt<1>("h00") @[el2_lsu_dccm_ctl.scala 166:28]
io.dccm_data_ecc_hi_r <= UInt<1>("h00") @[el2_lsu_dccm_ctl.scala 167:28]
io.dccm_data_ecc_lo_r <= UInt<1>("h00") @[el2_lsu_dccm_ctl.scala 168:28]
io.lsu_ld_data_r <= UInt<1>("h00") @[el2_lsu_dccm_ctl.scala 169:28]
reg _T_2 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_dccm_ctl.scala 171:65]
_T_2 <= lsu_ld_data_corr_m @[el2_lsu_dccm_ctl.scala 171:65]
io.lsu_ld_data_corr_r <= _T_2 @[el2_lsu_dccm_ctl.scala 171:28]
node _T_3 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_4 = bits(_T_3, 0, 0) @[el2_lsu_dccm_ctl.scala 172:134]
node _T_5 = bits(_T_4, 0, 0) @[el2_lsu_dccm_ctl.scala 172:139]
node _T_6 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_7 = bits(_T_6, 7, 0) @[el2_lsu_dccm_ctl.scala 172:196]
node _T_8 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 172:231]
node _T_9 = bits(picm_rd_data_m, 7, 0) @[el2_lsu_dccm_ctl.scala 172:252]
node _T_10 = bits(dccm_rdata_corr_m, 7, 0) @[el2_lsu_dccm_ctl.scala 172:283]
node _T_11 = mux(_T_8, _T_9, _T_10) @[el2_lsu_dccm_ctl.scala 172:213]
node _T_12 = mux(_T_5, _T_7, _T_11) @[el2_lsu_dccm_ctl.scala 172:78]
node _T_13 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_14 = xor(UInt<8>("h0ff"), _T_13) @[Bitwise.scala 102:21]
node _T_15 = shr(_T_12, 4) @[Bitwise.scala 103:21]
node _T_16 = and(_T_15, _T_14) @[Bitwise.scala 103:31]
node _T_17 = bits(_T_12, 3, 0) @[Bitwise.scala 103:46]
node _T_18 = shl(_T_17, 4) @[Bitwise.scala 103:65]
node _T_19 = not(_T_14) @[Bitwise.scala 103:77]
node _T_20 = and(_T_18, _T_19) @[Bitwise.scala 103:75]
node _T_21 = or(_T_16, _T_20) @[Bitwise.scala 103:39]
node _T_22 = bits(_T_14, 5, 0) @[Bitwise.scala 102:28]
node _T_23 = shl(_T_22, 2) @[Bitwise.scala 102:47]
node _T_24 = xor(_T_14, _T_23) @[Bitwise.scala 102:21]
node _T_25 = shr(_T_21, 2) @[Bitwise.scala 103:21]
node _T_26 = and(_T_25, _T_24) @[Bitwise.scala 103:31]
node _T_27 = bits(_T_21, 5, 0) @[Bitwise.scala 103:46]
node _T_28 = shl(_T_27, 2) @[Bitwise.scala 103:65]
node _T_29 = not(_T_24) @[Bitwise.scala 103:77]
node _T_30 = and(_T_28, _T_29) @[Bitwise.scala 103:75]
node _T_31 = or(_T_26, _T_30) @[Bitwise.scala 103:39]
node _T_32 = bits(_T_24, 6, 0) @[Bitwise.scala 102:28]
node _T_33 = shl(_T_32, 1) @[Bitwise.scala 102:47]
node _T_34 = xor(_T_24, _T_33) @[Bitwise.scala 102:21]
node _T_35 = shr(_T_31, 1) @[Bitwise.scala 103:21]
node _T_36 = and(_T_35, _T_34) @[Bitwise.scala 103:31]
node _T_37 = bits(_T_31, 6, 0) @[Bitwise.scala 103:46]
node _T_38 = shl(_T_37, 1) @[Bitwise.scala 103:65]
node _T_39 = not(_T_34) @[Bitwise.scala 103:77]
node _T_40 = and(_T_38, _T_39) @[Bitwise.scala 103:75]
node _T_41 = or(_T_36, _T_40) @[Bitwise.scala 103:39]
node _T_42 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_43 = bits(_T_42, 1, 1) @[el2_lsu_dccm_ctl.scala 172:134]
node _T_44 = bits(_T_43, 0, 0) @[el2_lsu_dccm_ctl.scala 172:139]
node _T_45 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_46 = bits(_T_45, 15, 8) @[el2_lsu_dccm_ctl.scala 172:196]
node _T_47 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 172:231]
node _T_48 = bits(picm_rd_data_m, 15, 8) @[el2_lsu_dccm_ctl.scala 172:252]
node _T_49 = bits(dccm_rdata_corr_m, 15, 8) @[el2_lsu_dccm_ctl.scala 172:283]
node _T_50 = mux(_T_47, _T_48, _T_49) @[el2_lsu_dccm_ctl.scala 172:213]
node _T_51 = mux(_T_44, _T_46, _T_50) @[el2_lsu_dccm_ctl.scala 172:78]
node _T_52 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_53 = xor(UInt<8>("h0ff"), _T_52) @[Bitwise.scala 102:21]
node _T_54 = shr(_T_51, 4) @[Bitwise.scala 103:21]
node _T_55 = and(_T_54, _T_53) @[Bitwise.scala 103:31]
node _T_56 = bits(_T_51, 3, 0) @[Bitwise.scala 103:46]
node _T_57 = shl(_T_56, 4) @[Bitwise.scala 103:65]
node _T_58 = not(_T_53) @[Bitwise.scala 103:77]
node _T_59 = and(_T_57, _T_58) @[Bitwise.scala 103:75]
node _T_60 = or(_T_55, _T_59) @[Bitwise.scala 103:39]
node _T_61 = bits(_T_53, 5, 0) @[Bitwise.scala 102:28]
node _T_62 = shl(_T_61, 2) @[Bitwise.scala 102:47]
node _T_63 = xor(_T_53, _T_62) @[Bitwise.scala 102:21]
node _T_64 = shr(_T_60, 2) @[Bitwise.scala 103:21]
node _T_65 = and(_T_64, _T_63) @[Bitwise.scala 103:31]
node _T_66 = bits(_T_60, 5, 0) @[Bitwise.scala 103:46]
node _T_67 = shl(_T_66, 2) @[Bitwise.scala 103:65]
node _T_68 = not(_T_63) @[Bitwise.scala 103:77]
node _T_69 = and(_T_67, _T_68) @[Bitwise.scala 103:75]
node _T_70 = or(_T_65, _T_69) @[Bitwise.scala 103:39]
node _T_71 = bits(_T_63, 6, 0) @[Bitwise.scala 102:28]
node _T_72 = shl(_T_71, 1) @[Bitwise.scala 102:47]
node _T_73 = xor(_T_63, _T_72) @[Bitwise.scala 102:21]
node _T_74 = shr(_T_70, 1) @[Bitwise.scala 103:21]
node _T_75 = and(_T_74, _T_73) @[Bitwise.scala 103:31]
node _T_76 = bits(_T_70, 6, 0) @[Bitwise.scala 103:46]
node _T_77 = shl(_T_76, 1) @[Bitwise.scala 103:65]
node _T_78 = not(_T_73) @[Bitwise.scala 103:77]
node _T_79 = and(_T_77, _T_78) @[Bitwise.scala 103:75]
node _T_80 = or(_T_75, _T_79) @[Bitwise.scala 103:39]
node _T_81 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_82 = bits(_T_81, 2, 2) @[el2_lsu_dccm_ctl.scala 172:134]
node _T_83 = bits(_T_82, 0, 0) @[el2_lsu_dccm_ctl.scala 172:139]
node _T_84 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_85 = bits(_T_84, 23, 16) @[el2_lsu_dccm_ctl.scala 172:196]
node _T_86 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 172:231]
node _T_87 = bits(picm_rd_data_m, 23, 16) @[el2_lsu_dccm_ctl.scala 172:252]
node _T_88 = bits(dccm_rdata_corr_m, 23, 16) @[el2_lsu_dccm_ctl.scala 172:283]
node _T_89 = mux(_T_86, _T_87, _T_88) @[el2_lsu_dccm_ctl.scala 172:213]
node _T_90 = mux(_T_83, _T_85, _T_89) @[el2_lsu_dccm_ctl.scala 172:78]
node _T_91 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_92 = xor(UInt<8>("h0ff"), _T_91) @[Bitwise.scala 102:21]
node _T_93 = shr(_T_90, 4) @[Bitwise.scala 103:21]
node _T_94 = and(_T_93, _T_92) @[Bitwise.scala 103:31]
node _T_95 = bits(_T_90, 3, 0) @[Bitwise.scala 103:46]
node _T_96 = shl(_T_95, 4) @[Bitwise.scala 103:65]
node _T_97 = not(_T_92) @[Bitwise.scala 103:77]
node _T_98 = and(_T_96, _T_97) @[Bitwise.scala 103:75]
node _T_99 = or(_T_94, _T_98) @[Bitwise.scala 103:39]
node _T_100 = bits(_T_92, 5, 0) @[Bitwise.scala 102:28]
node _T_101 = shl(_T_100, 2) @[Bitwise.scala 102:47]
node _T_102 = xor(_T_92, _T_101) @[Bitwise.scala 102:21]
node _T_103 = shr(_T_99, 2) @[Bitwise.scala 103:21]
node _T_104 = and(_T_103, _T_102) @[Bitwise.scala 103:31]
node _T_105 = bits(_T_99, 5, 0) @[Bitwise.scala 103:46]
node _T_106 = shl(_T_105, 2) @[Bitwise.scala 103:65]
node _T_107 = not(_T_102) @[Bitwise.scala 103:77]
node _T_108 = and(_T_106, _T_107) @[Bitwise.scala 103:75]
node _T_109 = or(_T_104, _T_108) @[Bitwise.scala 103:39]
node _T_110 = bits(_T_102, 6, 0) @[Bitwise.scala 102:28]
node _T_111 = shl(_T_110, 1) @[Bitwise.scala 102:47]
node _T_112 = xor(_T_102, _T_111) @[Bitwise.scala 102:21]
node _T_113 = shr(_T_109, 1) @[Bitwise.scala 103:21]
node _T_114 = and(_T_113, _T_112) @[Bitwise.scala 103:31]
node _T_115 = bits(_T_109, 6, 0) @[Bitwise.scala 103:46]
node _T_116 = shl(_T_115, 1) @[Bitwise.scala 103:65]
node _T_117 = not(_T_112) @[Bitwise.scala 103:77]
node _T_118 = and(_T_116, _T_117) @[Bitwise.scala 103:75]
node _T_119 = or(_T_114, _T_118) @[Bitwise.scala 103:39]
node _T_120 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_121 = bits(_T_120, 3, 3) @[el2_lsu_dccm_ctl.scala 172:134]
node _T_122 = bits(_T_121, 0, 0) @[el2_lsu_dccm_ctl.scala 172:139]
node _T_123 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_124 = bits(_T_123, 31, 24) @[el2_lsu_dccm_ctl.scala 172:196]
node _T_125 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 172:231]
node _T_126 = bits(picm_rd_data_m, 31, 24) @[el2_lsu_dccm_ctl.scala 172:252]
node _T_127 = bits(dccm_rdata_corr_m, 31, 24) @[el2_lsu_dccm_ctl.scala 172:283]
node _T_128 = mux(_T_125, _T_126, _T_127) @[el2_lsu_dccm_ctl.scala 172:213]
node _T_129 = mux(_T_122, _T_124, _T_128) @[el2_lsu_dccm_ctl.scala 172:78]
node _T_130 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_131 = xor(UInt<8>("h0ff"), _T_130) @[Bitwise.scala 102:21]
node _T_132 = shr(_T_129, 4) @[Bitwise.scala 103:21]
node _T_133 = and(_T_132, _T_131) @[Bitwise.scala 103:31]
node _T_134 = bits(_T_129, 3, 0) @[Bitwise.scala 103:46]
node _T_135 = shl(_T_134, 4) @[Bitwise.scala 103:65]
node _T_136 = not(_T_131) @[Bitwise.scala 103:77]
node _T_137 = and(_T_135, _T_136) @[Bitwise.scala 103:75]
node _T_138 = or(_T_133, _T_137) @[Bitwise.scala 103:39]
node _T_139 = bits(_T_131, 5, 0) @[Bitwise.scala 102:28]
node _T_140 = shl(_T_139, 2) @[Bitwise.scala 102:47]
node _T_141 = xor(_T_131, _T_140) @[Bitwise.scala 102:21]
node _T_142 = shr(_T_138, 2) @[Bitwise.scala 103:21]
node _T_143 = and(_T_142, _T_141) @[Bitwise.scala 103:31]
node _T_144 = bits(_T_138, 5, 0) @[Bitwise.scala 103:46]
node _T_145 = shl(_T_144, 2) @[Bitwise.scala 103:65]
node _T_146 = not(_T_141) @[Bitwise.scala 103:77]
node _T_147 = and(_T_145, _T_146) @[Bitwise.scala 103:75]
node _T_148 = or(_T_143, _T_147) @[Bitwise.scala 103:39]
node _T_149 = bits(_T_141, 6, 0) @[Bitwise.scala 102:28]
node _T_150 = shl(_T_149, 1) @[Bitwise.scala 102:47]
node _T_151 = xor(_T_141, _T_150) @[Bitwise.scala 102:21]
node _T_152 = shr(_T_148, 1) @[Bitwise.scala 103:21]
node _T_153 = and(_T_152, _T_151) @[Bitwise.scala 103:31]
node _T_154 = bits(_T_148, 6, 0) @[Bitwise.scala 103:46]
node _T_155 = shl(_T_154, 1) @[Bitwise.scala 103:65]
node _T_156 = not(_T_151) @[Bitwise.scala 103:77]
node _T_157 = and(_T_155, _T_156) @[Bitwise.scala 103:75]
node _T_158 = or(_T_153, _T_157) @[Bitwise.scala 103:39]
node _T_159 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_160 = bits(_T_159, 4, 4) @[el2_lsu_dccm_ctl.scala 172:134]
node _T_161 = bits(_T_160, 0, 0) @[el2_lsu_dccm_ctl.scala 172:139]
node _T_162 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_163 = bits(_T_162, 39, 32) @[el2_lsu_dccm_ctl.scala 172:196]
node _T_164 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 172:231]
node _T_165 = bits(picm_rd_data_m, 39, 32) @[el2_lsu_dccm_ctl.scala 172:252]
node _T_166 = bits(dccm_rdata_corr_m, 39, 32) @[el2_lsu_dccm_ctl.scala 172:283]
node _T_167 = mux(_T_164, _T_165, _T_166) @[el2_lsu_dccm_ctl.scala 172:213]
node _T_168 = mux(_T_161, _T_163, _T_167) @[el2_lsu_dccm_ctl.scala 172:78]
node _T_169 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_170 = xor(UInt<8>("h0ff"), _T_169) @[Bitwise.scala 102:21]
node _T_171 = shr(_T_168, 4) @[Bitwise.scala 103:21]
node _T_172 = and(_T_171, _T_170) @[Bitwise.scala 103:31]
node _T_173 = bits(_T_168, 3, 0) @[Bitwise.scala 103:46]
node _T_174 = shl(_T_173, 4) @[Bitwise.scala 103:65]
node _T_175 = not(_T_170) @[Bitwise.scala 103:77]
node _T_176 = and(_T_174, _T_175) @[Bitwise.scala 103:75]
node _T_177 = or(_T_172, _T_176) @[Bitwise.scala 103:39]
node _T_178 = bits(_T_170, 5, 0) @[Bitwise.scala 102:28]
node _T_179 = shl(_T_178, 2) @[Bitwise.scala 102:47]
node _T_180 = xor(_T_170, _T_179) @[Bitwise.scala 102:21]
node _T_181 = shr(_T_177, 2) @[Bitwise.scala 103:21]
node _T_182 = and(_T_181, _T_180) @[Bitwise.scala 103:31]
node _T_183 = bits(_T_177, 5, 0) @[Bitwise.scala 103:46]
node _T_184 = shl(_T_183, 2) @[Bitwise.scala 103:65]
node _T_185 = not(_T_180) @[Bitwise.scala 103:77]
node _T_186 = and(_T_184, _T_185) @[Bitwise.scala 103:75]
node _T_187 = or(_T_182, _T_186) @[Bitwise.scala 103:39]
node _T_188 = bits(_T_180, 6, 0) @[Bitwise.scala 102:28]
node _T_189 = shl(_T_188, 1) @[Bitwise.scala 102:47]
node _T_190 = xor(_T_180, _T_189) @[Bitwise.scala 102:21]
node _T_191 = shr(_T_187, 1) @[Bitwise.scala 103:21]
node _T_192 = and(_T_191, _T_190) @[Bitwise.scala 103:31]
node _T_193 = bits(_T_187, 6, 0) @[Bitwise.scala 103:46]
node _T_194 = shl(_T_193, 1) @[Bitwise.scala 103:65]
node _T_195 = not(_T_190) @[Bitwise.scala 103:77]
node _T_196 = and(_T_194, _T_195) @[Bitwise.scala 103:75]
node _T_197 = or(_T_192, _T_196) @[Bitwise.scala 103:39]
node _T_198 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_199 = bits(_T_198, 5, 5) @[el2_lsu_dccm_ctl.scala 172:134]
node _T_200 = bits(_T_199, 0, 0) @[el2_lsu_dccm_ctl.scala 172:139]
node _T_201 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_202 = bits(_T_201, 47, 40) @[el2_lsu_dccm_ctl.scala 172:196]
node _T_203 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 172:231]
node _T_204 = bits(picm_rd_data_m, 47, 40) @[el2_lsu_dccm_ctl.scala 172:252]
node _T_205 = bits(dccm_rdata_corr_m, 47, 40) @[el2_lsu_dccm_ctl.scala 172:283]
node _T_206 = mux(_T_203, _T_204, _T_205) @[el2_lsu_dccm_ctl.scala 172:213]
node _T_207 = mux(_T_200, _T_202, _T_206) @[el2_lsu_dccm_ctl.scala 172:78]
node _T_208 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_209 = xor(UInt<8>("h0ff"), _T_208) @[Bitwise.scala 102:21]
node _T_210 = shr(_T_207, 4) @[Bitwise.scala 103:21]
node _T_211 = and(_T_210, _T_209) @[Bitwise.scala 103:31]
node _T_212 = bits(_T_207, 3, 0) @[Bitwise.scala 103:46]
node _T_213 = shl(_T_212, 4) @[Bitwise.scala 103:65]
node _T_214 = not(_T_209) @[Bitwise.scala 103:77]
node _T_215 = and(_T_213, _T_214) @[Bitwise.scala 103:75]
node _T_216 = or(_T_211, _T_215) @[Bitwise.scala 103:39]
node _T_217 = bits(_T_209, 5, 0) @[Bitwise.scala 102:28]
node _T_218 = shl(_T_217, 2) @[Bitwise.scala 102:47]
node _T_219 = xor(_T_209, _T_218) @[Bitwise.scala 102:21]
node _T_220 = shr(_T_216, 2) @[Bitwise.scala 103:21]
node _T_221 = and(_T_220, _T_219) @[Bitwise.scala 103:31]
node _T_222 = bits(_T_216, 5, 0) @[Bitwise.scala 103:46]
node _T_223 = shl(_T_222, 2) @[Bitwise.scala 103:65]
node _T_224 = not(_T_219) @[Bitwise.scala 103:77]
node _T_225 = and(_T_223, _T_224) @[Bitwise.scala 103:75]
node _T_226 = or(_T_221, _T_225) @[Bitwise.scala 103:39]
node _T_227 = bits(_T_219, 6, 0) @[Bitwise.scala 102:28]
node _T_228 = shl(_T_227, 1) @[Bitwise.scala 102:47]
node _T_229 = xor(_T_219, _T_228) @[Bitwise.scala 102:21]
node _T_230 = shr(_T_226, 1) @[Bitwise.scala 103:21]
node _T_231 = and(_T_230, _T_229) @[Bitwise.scala 103:31]
node _T_232 = bits(_T_226, 6, 0) @[Bitwise.scala 103:46]
node _T_233 = shl(_T_232, 1) @[Bitwise.scala 103:65]
node _T_234 = not(_T_229) @[Bitwise.scala 103:77]
node _T_235 = and(_T_233, _T_234) @[Bitwise.scala 103:75]
node _T_236 = or(_T_231, _T_235) @[Bitwise.scala 103:39]
node _T_237 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_238 = bits(_T_237, 6, 6) @[el2_lsu_dccm_ctl.scala 172:134]
node _T_239 = bits(_T_238, 0, 0) @[el2_lsu_dccm_ctl.scala 172:139]
node _T_240 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_241 = bits(_T_240, 55, 48) @[el2_lsu_dccm_ctl.scala 172:196]
node _T_242 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 172:231]
node _T_243 = bits(picm_rd_data_m, 55, 48) @[el2_lsu_dccm_ctl.scala 172:252]
node _T_244 = bits(dccm_rdata_corr_m, 55, 48) @[el2_lsu_dccm_ctl.scala 172:283]
node _T_245 = mux(_T_242, _T_243, _T_244) @[el2_lsu_dccm_ctl.scala 172:213]
node _T_246 = mux(_T_239, _T_241, _T_245) @[el2_lsu_dccm_ctl.scala 172:78]
node _T_247 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_248 = xor(UInt<8>("h0ff"), _T_247) @[Bitwise.scala 102:21]
node _T_249 = shr(_T_246, 4) @[Bitwise.scala 103:21]
node _T_250 = and(_T_249, _T_248) @[Bitwise.scala 103:31]
node _T_251 = bits(_T_246, 3, 0) @[Bitwise.scala 103:46]
node _T_252 = shl(_T_251, 4) @[Bitwise.scala 103:65]
node _T_253 = not(_T_248) @[Bitwise.scala 103:77]
node _T_254 = and(_T_252, _T_253) @[Bitwise.scala 103:75]
node _T_255 = or(_T_250, _T_254) @[Bitwise.scala 103:39]
node _T_256 = bits(_T_248, 5, 0) @[Bitwise.scala 102:28]
node _T_257 = shl(_T_256, 2) @[Bitwise.scala 102:47]
node _T_258 = xor(_T_248, _T_257) @[Bitwise.scala 102:21]
node _T_259 = shr(_T_255, 2) @[Bitwise.scala 103:21]
node _T_260 = and(_T_259, _T_258) @[Bitwise.scala 103:31]
node _T_261 = bits(_T_255, 5, 0) @[Bitwise.scala 103:46]
node _T_262 = shl(_T_261, 2) @[Bitwise.scala 103:65]
node _T_263 = not(_T_258) @[Bitwise.scala 103:77]
node _T_264 = and(_T_262, _T_263) @[Bitwise.scala 103:75]
node _T_265 = or(_T_260, _T_264) @[Bitwise.scala 103:39]
node _T_266 = bits(_T_258, 6, 0) @[Bitwise.scala 102:28]
node _T_267 = shl(_T_266, 1) @[Bitwise.scala 102:47]
node _T_268 = xor(_T_258, _T_267) @[Bitwise.scala 102:21]
node _T_269 = shr(_T_265, 1) @[Bitwise.scala 103:21]
node _T_270 = and(_T_269, _T_268) @[Bitwise.scala 103:31]
node _T_271 = bits(_T_265, 6, 0) @[Bitwise.scala 103:46]
node _T_272 = shl(_T_271, 1) @[Bitwise.scala 103:65]
node _T_273 = not(_T_268) @[Bitwise.scala 103:77]
node _T_274 = and(_T_272, _T_273) @[Bitwise.scala 103:75]
node _T_275 = or(_T_270, _T_274) @[Bitwise.scala 103:39]
node _T_276 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_277 = bits(_T_276, 7, 7) @[el2_lsu_dccm_ctl.scala 172:134]
node _T_278 = bits(_T_277, 0, 0) @[el2_lsu_dccm_ctl.scala 172:139]
node _T_279 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_280 = bits(_T_279, 63, 56) @[el2_lsu_dccm_ctl.scala 172:196]
node _T_281 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 172:231]
node _T_282 = bits(picm_rd_data_m, 63, 56) @[el2_lsu_dccm_ctl.scala 172:252]
node _T_283 = bits(dccm_rdata_corr_m, 63, 56) @[el2_lsu_dccm_ctl.scala 172:283]
node _T_284 = mux(_T_281, _T_282, _T_283) @[el2_lsu_dccm_ctl.scala 172:213]
node _T_285 = mux(_T_278, _T_280, _T_284) @[el2_lsu_dccm_ctl.scala 172:78]
node _T_286 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_287 = xor(UInt<8>("h0ff"), _T_286) @[Bitwise.scala 102:21]
node _T_288 = shr(_T_285, 4) @[Bitwise.scala 103:21]
node _T_289 = and(_T_288, _T_287) @[Bitwise.scala 103:31]
node _T_290 = bits(_T_285, 3, 0) @[Bitwise.scala 103:46]
node _T_291 = shl(_T_290, 4) @[Bitwise.scala 103:65]
node _T_292 = not(_T_287) @[Bitwise.scala 103:77]
node _T_293 = and(_T_291, _T_292) @[Bitwise.scala 103:75]
node _T_294 = or(_T_289, _T_293) @[Bitwise.scala 103:39]
node _T_295 = bits(_T_287, 5, 0) @[Bitwise.scala 102:28]
node _T_296 = shl(_T_295, 2) @[Bitwise.scala 102:47]
node _T_297 = xor(_T_287, _T_296) @[Bitwise.scala 102:21]
node _T_298 = shr(_T_294, 2) @[Bitwise.scala 103:21]
node _T_299 = and(_T_298, _T_297) @[Bitwise.scala 103:31]
node _T_300 = bits(_T_294, 5, 0) @[Bitwise.scala 103:46]
node _T_301 = shl(_T_300, 2) @[Bitwise.scala 103:65]
node _T_302 = not(_T_297) @[Bitwise.scala 103:77]
node _T_303 = and(_T_301, _T_302) @[Bitwise.scala 103:75]
node _T_304 = or(_T_299, _T_303) @[Bitwise.scala 103:39]
node _T_305 = bits(_T_297, 6, 0) @[Bitwise.scala 102:28]
node _T_306 = shl(_T_305, 1) @[Bitwise.scala 102:47]
node _T_307 = xor(_T_297, _T_306) @[Bitwise.scala 102:21]
node _T_308 = shr(_T_304, 1) @[Bitwise.scala 103:21]
node _T_309 = and(_T_308, _T_307) @[Bitwise.scala 103:31]
node _T_310 = bits(_T_304, 6, 0) @[Bitwise.scala 103:46]
node _T_311 = shl(_T_310, 1) @[Bitwise.scala 103:65]
node _T_312 = not(_T_307) @[Bitwise.scala 103:77]
node _T_313 = and(_T_311, _T_312) @[Bitwise.scala 103:75]
node _T_314 = or(_T_309, _T_313) @[Bitwise.scala 103:39]
wire _T_315 : UInt<8>[8] @[el2_lsu_dccm_ctl.scala 172:62]
_T_315[0] <= _T_41 @[el2_lsu_dccm_ctl.scala 172:62]
_T_315[1] <= _T_80 @[el2_lsu_dccm_ctl.scala 172:62]
_T_315[2] <= _T_119 @[el2_lsu_dccm_ctl.scala 172:62]
_T_315[3] <= _T_158 @[el2_lsu_dccm_ctl.scala 172:62]
_T_315[4] <= _T_197 @[el2_lsu_dccm_ctl.scala 172:62]
_T_315[5] <= _T_236 @[el2_lsu_dccm_ctl.scala 172:62]
_T_315[6] <= _T_275 @[el2_lsu_dccm_ctl.scala 172:62]
_T_315[7] <= _T_314 @[el2_lsu_dccm_ctl.scala 172:62]
node _T_316 = cat(_T_315[6], _T_315[7]) @[Cat.scala 29:58]
node _T_317 = cat(_T_315[4], _T_315[5]) @[Cat.scala 29:58]
node _T_318 = cat(_T_317, _T_316) @[Cat.scala 29:58]
node _T_319 = cat(_T_315[2], _T_315[3]) @[Cat.scala 29:58]
node _T_320 = cat(_T_315[0], _T_315[1]) @[Cat.scala 29:58]
node _T_321 = cat(_T_320, _T_319) @[Cat.scala 29:58]
node _T_322 = cat(_T_321, _T_318) @[Cat.scala 29:58]
node _T_323 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47]
node _T_324 = xor(UInt<64>("h0ffffffffffffffff"), _T_323) @[Bitwise.scala 102:21]
node _T_325 = shr(_T_322, 32) @[Bitwise.scala 103:21]
node _T_326 = and(_T_325, _T_324) @[Bitwise.scala 103:31]
node _T_327 = bits(_T_322, 31, 0) @[Bitwise.scala 103:46]
node _T_328 = shl(_T_327, 32) @[Bitwise.scala 103:65]
node _T_329 = not(_T_324) @[Bitwise.scala 103:77]
node _T_330 = and(_T_328, _T_329) @[Bitwise.scala 103:75]
node _T_331 = or(_T_326, _T_330) @[Bitwise.scala 103:39]
node _T_332 = bits(_T_324, 47, 0) @[Bitwise.scala 102:28]
node _T_333 = shl(_T_332, 16) @[Bitwise.scala 102:47]
node _T_334 = xor(_T_324, _T_333) @[Bitwise.scala 102:21]
node _T_335 = shr(_T_331, 16) @[Bitwise.scala 103:21]
node _T_336 = and(_T_335, _T_334) @[Bitwise.scala 103:31]
node _T_337 = bits(_T_331, 47, 0) @[Bitwise.scala 103:46]
node _T_338 = shl(_T_337, 16) @[Bitwise.scala 103:65]
node _T_339 = not(_T_334) @[Bitwise.scala 103:77]
node _T_340 = and(_T_338, _T_339) @[Bitwise.scala 103:75]
node _T_341 = or(_T_336, _T_340) @[Bitwise.scala 103:39]
node _T_342 = bits(_T_334, 55, 0) @[Bitwise.scala 102:28]
node _T_343 = shl(_T_342, 8) @[Bitwise.scala 102:47]
node _T_344 = xor(_T_334, _T_343) @[Bitwise.scala 102:21]
node _T_345 = shr(_T_341, 8) @[Bitwise.scala 103:21]
node _T_346 = and(_T_345, _T_344) @[Bitwise.scala 103:31]
node _T_347 = bits(_T_341, 55, 0) @[Bitwise.scala 103:46]
node _T_348 = shl(_T_347, 8) @[Bitwise.scala 103:65]
node _T_349 = not(_T_344) @[Bitwise.scala 103:77]
node _T_350 = and(_T_348, _T_349) @[Bitwise.scala 103:75]
node _T_351 = or(_T_346, _T_350) @[Bitwise.scala 103:39]
node _T_352 = bits(_T_344, 59, 0) @[Bitwise.scala 102:28]
node _T_353 = shl(_T_352, 4) @[Bitwise.scala 102:47]
node _T_354 = xor(_T_344, _T_353) @[Bitwise.scala 102:21]
node _T_355 = shr(_T_351, 4) @[Bitwise.scala 103:21]
node _T_356 = and(_T_355, _T_354) @[Bitwise.scala 103:31]
node _T_357 = bits(_T_351, 59, 0) @[Bitwise.scala 103:46]
node _T_358 = shl(_T_357, 4) @[Bitwise.scala 103:65]
node _T_359 = not(_T_354) @[Bitwise.scala 103:77]
node _T_360 = and(_T_358, _T_359) @[Bitwise.scala 103:75]
node _T_361 = or(_T_356, _T_360) @[Bitwise.scala 103:39]
node _T_362 = bits(_T_354, 61, 0) @[Bitwise.scala 102:28]
node _T_363 = shl(_T_362, 2) @[Bitwise.scala 102:47]
node _T_364 = xor(_T_354, _T_363) @[Bitwise.scala 102:21]
node _T_365 = shr(_T_361, 2) @[Bitwise.scala 103:21]
node _T_366 = and(_T_365, _T_364) @[Bitwise.scala 103:31]
node _T_367 = bits(_T_361, 61, 0) @[Bitwise.scala 103:46]
node _T_368 = shl(_T_367, 2) @[Bitwise.scala 103:65]
node _T_369 = not(_T_364) @[Bitwise.scala 103:77]
node _T_370 = and(_T_368, _T_369) @[Bitwise.scala 103:75]
node _T_371 = or(_T_366, _T_370) @[Bitwise.scala 103:39]
node _T_372 = bits(_T_364, 62, 0) @[Bitwise.scala 102:28]
node _T_373 = shl(_T_372, 1) @[Bitwise.scala 102:47]
node _T_374 = xor(_T_364, _T_373) @[Bitwise.scala 102:21]
node _T_375 = shr(_T_371, 1) @[Bitwise.scala 103:21]
node _T_376 = and(_T_375, _T_374) @[Bitwise.scala 103:31]
node _T_377 = bits(_T_371, 62, 0) @[Bitwise.scala 103:46]
node _T_378 = shl(_T_377, 1) @[Bitwise.scala 103:65]
node _T_379 = not(_T_374) @[Bitwise.scala 103:77]
node _T_380 = and(_T_378, _T_379) @[Bitwise.scala 103:75]
node _T_381 = or(_T_376, _T_380) @[Bitwise.scala 103:39]
lsu_rdata_corr_m <= _T_381 @[el2_lsu_dccm_ctl.scala 172:28]
node _T_382 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_383 = bits(_T_382, 0, 0) @[el2_lsu_dccm_ctl.scala 173:134]
node _T_384 = bits(_T_383, 0, 0) @[el2_lsu_dccm_ctl.scala 173:139]
node _T_385 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_386 = bits(_T_385, 7, 0) @[el2_lsu_dccm_ctl.scala 173:196]
node _T_387 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 173:231]
node _T_388 = bits(picm_rd_data_m, 7, 0) @[el2_lsu_dccm_ctl.scala 173:252]
node _T_389 = bits(dccm_rdata_m, 7, 0) @[el2_lsu_dccm_ctl.scala 173:278]
node _T_390 = mux(_T_387, _T_388, _T_389) @[el2_lsu_dccm_ctl.scala 173:213]
node _T_391 = mux(_T_384, _T_386, _T_390) @[el2_lsu_dccm_ctl.scala 173:78]
node _T_392 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_393 = xor(UInt<8>("h0ff"), _T_392) @[Bitwise.scala 102:21]
node _T_394 = shr(_T_391, 4) @[Bitwise.scala 103:21]
node _T_395 = and(_T_394, _T_393) @[Bitwise.scala 103:31]
node _T_396 = bits(_T_391, 3, 0) @[Bitwise.scala 103:46]
node _T_397 = shl(_T_396, 4) @[Bitwise.scala 103:65]
node _T_398 = not(_T_393) @[Bitwise.scala 103:77]
node _T_399 = and(_T_397, _T_398) @[Bitwise.scala 103:75]
node _T_400 = or(_T_395, _T_399) @[Bitwise.scala 103:39]
node _T_401 = bits(_T_393, 5, 0) @[Bitwise.scala 102:28]
node _T_402 = shl(_T_401, 2) @[Bitwise.scala 102:47]
node _T_403 = xor(_T_393, _T_402) @[Bitwise.scala 102:21]
node _T_404 = shr(_T_400, 2) @[Bitwise.scala 103:21]
node _T_405 = and(_T_404, _T_403) @[Bitwise.scala 103:31]
node _T_406 = bits(_T_400, 5, 0) @[Bitwise.scala 103:46]
node _T_407 = shl(_T_406, 2) @[Bitwise.scala 103:65]
node _T_408 = not(_T_403) @[Bitwise.scala 103:77]
node _T_409 = and(_T_407, _T_408) @[Bitwise.scala 103:75]
node _T_410 = or(_T_405, _T_409) @[Bitwise.scala 103:39]
node _T_411 = bits(_T_403, 6, 0) @[Bitwise.scala 102:28]
node _T_412 = shl(_T_411, 1) @[Bitwise.scala 102:47]
node _T_413 = xor(_T_403, _T_412) @[Bitwise.scala 102:21]
node _T_414 = shr(_T_410, 1) @[Bitwise.scala 103:21]
node _T_415 = and(_T_414, _T_413) @[Bitwise.scala 103:31]
node _T_416 = bits(_T_410, 6, 0) @[Bitwise.scala 103:46]
node _T_417 = shl(_T_416, 1) @[Bitwise.scala 103:65]
node _T_418 = not(_T_413) @[Bitwise.scala 103:77]
node _T_419 = and(_T_417, _T_418) @[Bitwise.scala 103:75]
node _T_420 = or(_T_415, _T_419) @[Bitwise.scala 103:39]
node _T_421 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_422 = bits(_T_421, 1, 1) @[el2_lsu_dccm_ctl.scala 173:134]
node _T_423 = bits(_T_422, 0, 0) @[el2_lsu_dccm_ctl.scala 173:139]
node _T_424 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_425 = bits(_T_424, 15, 8) @[el2_lsu_dccm_ctl.scala 173:196]
node _T_426 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 173:231]
node _T_427 = bits(picm_rd_data_m, 15, 8) @[el2_lsu_dccm_ctl.scala 173:252]
node _T_428 = bits(dccm_rdata_m, 15, 8) @[el2_lsu_dccm_ctl.scala 173:278]
node _T_429 = mux(_T_426, _T_427, _T_428) @[el2_lsu_dccm_ctl.scala 173:213]
node _T_430 = mux(_T_423, _T_425, _T_429) @[el2_lsu_dccm_ctl.scala 173:78]
node _T_431 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_432 = xor(UInt<8>("h0ff"), _T_431) @[Bitwise.scala 102:21]
node _T_433 = shr(_T_430, 4) @[Bitwise.scala 103:21]
node _T_434 = and(_T_433, _T_432) @[Bitwise.scala 103:31]
node _T_435 = bits(_T_430, 3, 0) @[Bitwise.scala 103:46]
node _T_436 = shl(_T_435, 4) @[Bitwise.scala 103:65]
node _T_437 = not(_T_432) @[Bitwise.scala 103:77]
node _T_438 = and(_T_436, _T_437) @[Bitwise.scala 103:75]
node _T_439 = or(_T_434, _T_438) @[Bitwise.scala 103:39]
node _T_440 = bits(_T_432, 5, 0) @[Bitwise.scala 102:28]
node _T_441 = shl(_T_440, 2) @[Bitwise.scala 102:47]
node _T_442 = xor(_T_432, _T_441) @[Bitwise.scala 102:21]
node _T_443 = shr(_T_439, 2) @[Bitwise.scala 103:21]
node _T_444 = and(_T_443, _T_442) @[Bitwise.scala 103:31]
node _T_445 = bits(_T_439, 5, 0) @[Bitwise.scala 103:46]
node _T_446 = shl(_T_445, 2) @[Bitwise.scala 103:65]
node _T_447 = not(_T_442) @[Bitwise.scala 103:77]
node _T_448 = and(_T_446, _T_447) @[Bitwise.scala 103:75]
node _T_449 = or(_T_444, _T_448) @[Bitwise.scala 103:39]
node _T_450 = bits(_T_442, 6, 0) @[Bitwise.scala 102:28]
node _T_451 = shl(_T_450, 1) @[Bitwise.scala 102:47]
node _T_452 = xor(_T_442, _T_451) @[Bitwise.scala 102:21]
node _T_453 = shr(_T_449, 1) @[Bitwise.scala 103:21]
node _T_454 = and(_T_453, _T_452) @[Bitwise.scala 103:31]
node _T_455 = bits(_T_449, 6, 0) @[Bitwise.scala 103:46]
node _T_456 = shl(_T_455, 1) @[Bitwise.scala 103:65]
node _T_457 = not(_T_452) @[Bitwise.scala 103:77]
node _T_458 = and(_T_456, _T_457) @[Bitwise.scala 103:75]
node _T_459 = or(_T_454, _T_458) @[Bitwise.scala 103:39]
node _T_460 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_461 = bits(_T_460, 2, 2) @[el2_lsu_dccm_ctl.scala 173:134]
node _T_462 = bits(_T_461, 0, 0) @[el2_lsu_dccm_ctl.scala 173:139]
node _T_463 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_464 = bits(_T_463, 23, 16) @[el2_lsu_dccm_ctl.scala 173:196]
node _T_465 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 173:231]
node _T_466 = bits(picm_rd_data_m, 23, 16) @[el2_lsu_dccm_ctl.scala 173:252]
node _T_467 = bits(dccm_rdata_m, 23, 16) @[el2_lsu_dccm_ctl.scala 173:278]
node _T_468 = mux(_T_465, _T_466, _T_467) @[el2_lsu_dccm_ctl.scala 173:213]
node _T_469 = mux(_T_462, _T_464, _T_468) @[el2_lsu_dccm_ctl.scala 173:78]
node _T_470 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_471 = xor(UInt<8>("h0ff"), _T_470) @[Bitwise.scala 102:21]
node _T_472 = shr(_T_469, 4) @[Bitwise.scala 103:21]
node _T_473 = and(_T_472, _T_471) @[Bitwise.scala 103:31]
node _T_474 = bits(_T_469, 3, 0) @[Bitwise.scala 103:46]
node _T_475 = shl(_T_474, 4) @[Bitwise.scala 103:65]
node _T_476 = not(_T_471) @[Bitwise.scala 103:77]
node _T_477 = and(_T_475, _T_476) @[Bitwise.scala 103:75]
node _T_478 = or(_T_473, _T_477) @[Bitwise.scala 103:39]
node _T_479 = bits(_T_471, 5, 0) @[Bitwise.scala 102:28]
node _T_480 = shl(_T_479, 2) @[Bitwise.scala 102:47]
node _T_481 = xor(_T_471, _T_480) @[Bitwise.scala 102:21]
node _T_482 = shr(_T_478, 2) @[Bitwise.scala 103:21]
node _T_483 = and(_T_482, _T_481) @[Bitwise.scala 103:31]
node _T_484 = bits(_T_478, 5, 0) @[Bitwise.scala 103:46]
node _T_485 = shl(_T_484, 2) @[Bitwise.scala 103:65]
node _T_486 = not(_T_481) @[Bitwise.scala 103:77]
node _T_487 = and(_T_485, _T_486) @[Bitwise.scala 103:75]
node _T_488 = or(_T_483, _T_487) @[Bitwise.scala 103:39]
node _T_489 = bits(_T_481, 6, 0) @[Bitwise.scala 102:28]
node _T_490 = shl(_T_489, 1) @[Bitwise.scala 102:47]
node _T_491 = xor(_T_481, _T_490) @[Bitwise.scala 102:21]
node _T_492 = shr(_T_488, 1) @[Bitwise.scala 103:21]
node _T_493 = and(_T_492, _T_491) @[Bitwise.scala 103:31]
node _T_494 = bits(_T_488, 6, 0) @[Bitwise.scala 103:46]
node _T_495 = shl(_T_494, 1) @[Bitwise.scala 103:65]
node _T_496 = not(_T_491) @[Bitwise.scala 103:77]
node _T_497 = and(_T_495, _T_496) @[Bitwise.scala 103:75]
node _T_498 = or(_T_493, _T_497) @[Bitwise.scala 103:39]
node _T_499 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_500 = bits(_T_499, 3, 3) @[el2_lsu_dccm_ctl.scala 173:134]
node _T_501 = bits(_T_500, 0, 0) @[el2_lsu_dccm_ctl.scala 173:139]
node _T_502 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_503 = bits(_T_502, 31, 24) @[el2_lsu_dccm_ctl.scala 173:196]
node _T_504 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 173:231]
node _T_505 = bits(picm_rd_data_m, 31, 24) @[el2_lsu_dccm_ctl.scala 173:252]
node _T_506 = bits(dccm_rdata_m, 31, 24) @[el2_lsu_dccm_ctl.scala 173:278]
node _T_507 = mux(_T_504, _T_505, _T_506) @[el2_lsu_dccm_ctl.scala 173:213]
node _T_508 = mux(_T_501, _T_503, _T_507) @[el2_lsu_dccm_ctl.scala 173:78]
node _T_509 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_510 = xor(UInt<8>("h0ff"), _T_509) @[Bitwise.scala 102:21]
node _T_511 = shr(_T_508, 4) @[Bitwise.scala 103:21]
node _T_512 = and(_T_511, _T_510) @[Bitwise.scala 103:31]
node _T_513 = bits(_T_508, 3, 0) @[Bitwise.scala 103:46]
node _T_514 = shl(_T_513, 4) @[Bitwise.scala 103:65]
node _T_515 = not(_T_510) @[Bitwise.scala 103:77]
node _T_516 = and(_T_514, _T_515) @[Bitwise.scala 103:75]
node _T_517 = or(_T_512, _T_516) @[Bitwise.scala 103:39]
node _T_518 = bits(_T_510, 5, 0) @[Bitwise.scala 102:28]
node _T_519 = shl(_T_518, 2) @[Bitwise.scala 102:47]
node _T_520 = xor(_T_510, _T_519) @[Bitwise.scala 102:21]
node _T_521 = shr(_T_517, 2) @[Bitwise.scala 103:21]
node _T_522 = and(_T_521, _T_520) @[Bitwise.scala 103:31]
node _T_523 = bits(_T_517, 5, 0) @[Bitwise.scala 103:46]
node _T_524 = shl(_T_523, 2) @[Bitwise.scala 103:65]
node _T_525 = not(_T_520) @[Bitwise.scala 103:77]
node _T_526 = and(_T_524, _T_525) @[Bitwise.scala 103:75]
node _T_527 = or(_T_522, _T_526) @[Bitwise.scala 103:39]
node _T_528 = bits(_T_520, 6, 0) @[Bitwise.scala 102:28]
node _T_529 = shl(_T_528, 1) @[Bitwise.scala 102:47]
node _T_530 = xor(_T_520, _T_529) @[Bitwise.scala 102:21]
node _T_531 = shr(_T_527, 1) @[Bitwise.scala 103:21]
node _T_532 = and(_T_531, _T_530) @[Bitwise.scala 103:31]
node _T_533 = bits(_T_527, 6, 0) @[Bitwise.scala 103:46]
node _T_534 = shl(_T_533, 1) @[Bitwise.scala 103:65]
node _T_535 = not(_T_530) @[Bitwise.scala 103:77]
node _T_536 = and(_T_534, _T_535) @[Bitwise.scala 103:75]
node _T_537 = or(_T_532, _T_536) @[Bitwise.scala 103:39]
node _T_538 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_539 = bits(_T_538, 4, 4) @[el2_lsu_dccm_ctl.scala 173:134]
node _T_540 = bits(_T_539, 0, 0) @[el2_lsu_dccm_ctl.scala 173:139]
node _T_541 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_542 = bits(_T_541, 39, 32) @[el2_lsu_dccm_ctl.scala 173:196]
node _T_543 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 173:231]
node _T_544 = bits(picm_rd_data_m, 39, 32) @[el2_lsu_dccm_ctl.scala 173:252]
node _T_545 = bits(dccm_rdata_m, 39, 32) @[el2_lsu_dccm_ctl.scala 173:278]
node _T_546 = mux(_T_543, _T_544, _T_545) @[el2_lsu_dccm_ctl.scala 173:213]
node _T_547 = mux(_T_540, _T_542, _T_546) @[el2_lsu_dccm_ctl.scala 173:78]
node _T_548 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_549 = xor(UInt<8>("h0ff"), _T_548) @[Bitwise.scala 102:21]
node _T_550 = shr(_T_547, 4) @[Bitwise.scala 103:21]
node _T_551 = and(_T_550, _T_549) @[Bitwise.scala 103:31]
node _T_552 = bits(_T_547, 3, 0) @[Bitwise.scala 103:46]
node _T_553 = shl(_T_552, 4) @[Bitwise.scala 103:65]
node _T_554 = not(_T_549) @[Bitwise.scala 103:77]
node _T_555 = and(_T_553, _T_554) @[Bitwise.scala 103:75]
node _T_556 = or(_T_551, _T_555) @[Bitwise.scala 103:39]
node _T_557 = bits(_T_549, 5, 0) @[Bitwise.scala 102:28]
node _T_558 = shl(_T_557, 2) @[Bitwise.scala 102:47]
node _T_559 = xor(_T_549, _T_558) @[Bitwise.scala 102:21]
node _T_560 = shr(_T_556, 2) @[Bitwise.scala 103:21]
node _T_561 = and(_T_560, _T_559) @[Bitwise.scala 103:31]
node _T_562 = bits(_T_556, 5, 0) @[Bitwise.scala 103:46]
node _T_563 = shl(_T_562, 2) @[Bitwise.scala 103:65]
node _T_564 = not(_T_559) @[Bitwise.scala 103:77]
node _T_565 = and(_T_563, _T_564) @[Bitwise.scala 103:75]
node _T_566 = or(_T_561, _T_565) @[Bitwise.scala 103:39]
node _T_567 = bits(_T_559, 6, 0) @[Bitwise.scala 102:28]
node _T_568 = shl(_T_567, 1) @[Bitwise.scala 102:47]
node _T_569 = xor(_T_559, _T_568) @[Bitwise.scala 102:21]
node _T_570 = shr(_T_566, 1) @[Bitwise.scala 103:21]
node _T_571 = and(_T_570, _T_569) @[Bitwise.scala 103:31]
node _T_572 = bits(_T_566, 6, 0) @[Bitwise.scala 103:46]
node _T_573 = shl(_T_572, 1) @[Bitwise.scala 103:65]
node _T_574 = not(_T_569) @[Bitwise.scala 103:77]
node _T_575 = and(_T_573, _T_574) @[Bitwise.scala 103:75]
node _T_576 = or(_T_571, _T_575) @[Bitwise.scala 103:39]
node _T_577 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_578 = bits(_T_577, 5, 5) @[el2_lsu_dccm_ctl.scala 173:134]
node _T_579 = bits(_T_578, 0, 0) @[el2_lsu_dccm_ctl.scala 173:139]
node _T_580 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_581 = bits(_T_580, 47, 40) @[el2_lsu_dccm_ctl.scala 173:196]
node _T_582 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 173:231]
node _T_583 = bits(picm_rd_data_m, 47, 40) @[el2_lsu_dccm_ctl.scala 173:252]
node _T_584 = bits(dccm_rdata_m, 47, 40) @[el2_lsu_dccm_ctl.scala 173:278]
node _T_585 = mux(_T_582, _T_583, _T_584) @[el2_lsu_dccm_ctl.scala 173:213]
node _T_586 = mux(_T_579, _T_581, _T_585) @[el2_lsu_dccm_ctl.scala 173:78]
node _T_587 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_588 = xor(UInt<8>("h0ff"), _T_587) @[Bitwise.scala 102:21]
node _T_589 = shr(_T_586, 4) @[Bitwise.scala 103:21]
node _T_590 = and(_T_589, _T_588) @[Bitwise.scala 103:31]
node _T_591 = bits(_T_586, 3, 0) @[Bitwise.scala 103:46]
node _T_592 = shl(_T_591, 4) @[Bitwise.scala 103:65]
node _T_593 = not(_T_588) @[Bitwise.scala 103:77]
node _T_594 = and(_T_592, _T_593) @[Bitwise.scala 103:75]
node _T_595 = or(_T_590, _T_594) @[Bitwise.scala 103:39]
node _T_596 = bits(_T_588, 5, 0) @[Bitwise.scala 102:28]
node _T_597 = shl(_T_596, 2) @[Bitwise.scala 102:47]
node _T_598 = xor(_T_588, _T_597) @[Bitwise.scala 102:21]
node _T_599 = shr(_T_595, 2) @[Bitwise.scala 103:21]
node _T_600 = and(_T_599, _T_598) @[Bitwise.scala 103:31]
node _T_601 = bits(_T_595, 5, 0) @[Bitwise.scala 103:46]
node _T_602 = shl(_T_601, 2) @[Bitwise.scala 103:65]
node _T_603 = not(_T_598) @[Bitwise.scala 103:77]
node _T_604 = and(_T_602, _T_603) @[Bitwise.scala 103:75]
node _T_605 = or(_T_600, _T_604) @[Bitwise.scala 103:39]
node _T_606 = bits(_T_598, 6, 0) @[Bitwise.scala 102:28]
node _T_607 = shl(_T_606, 1) @[Bitwise.scala 102:47]
node _T_608 = xor(_T_598, _T_607) @[Bitwise.scala 102:21]
node _T_609 = shr(_T_605, 1) @[Bitwise.scala 103:21]
node _T_610 = and(_T_609, _T_608) @[Bitwise.scala 103:31]
node _T_611 = bits(_T_605, 6, 0) @[Bitwise.scala 103:46]
node _T_612 = shl(_T_611, 1) @[Bitwise.scala 103:65]
node _T_613 = not(_T_608) @[Bitwise.scala 103:77]
node _T_614 = and(_T_612, _T_613) @[Bitwise.scala 103:75]
node _T_615 = or(_T_610, _T_614) @[Bitwise.scala 103:39]
node _T_616 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_617 = bits(_T_616, 6, 6) @[el2_lsu_dccm_ctl.scala 173:134]
node _T_618 = bits(_T_617, 0, 0) @[el2_lsu_dccm_ctl.scala 173:139]
node _T_619 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_620 = bits(_T_619, 55, 48) @[el2_lsu_dccm_ctl.scala 173:196]
node _T_621 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 173:231]
node _T_622 = bits(picm_rd_data_m, 55, 48) @[el2_lsu_dccm_ctl.scala 173:252]
node _T_623 = bits(dccm_rdata_m, 55, 48) @[el2_lsu_dccm_ctl.scala 173:278]
node _T_624 = mux(_T_621, _T_622, _T_623) @[el2_lsu_dccm_ctl.scala 173:213]
node _T_625 = mux(_T_618, _T_620, _T_624) @[el2_lsu_dccm_ctl.scala 173:78]
node _T_626 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_627 = xor(UInt<8>("h0ff"), _T_626) @[Bitwise.scala 102:21]
node _T_628 = shr(_T_625, 4) @[Bitwise.scala 103:21]
node _T_629 = and(_T_628, _T_627) @[Bitwise.scala 103:31]
node _T_630 = bits(_T_625, 3, 0) @[Bitwise.scala 103:46]
node _T_631 = shl(_T_630, 4) @[Bitwise.scala 103:65]
node _T_632 = not(_T_627) @[Bitwise.scala 103:77]
node _T_633 = and(_T_631, _T_632) @[Bitwise.scala 103:75]
node _T_634 = or(_T_629, _T_633) @[Bitwise.scala 103:39]
node _T_635 = bits(_T_627, 5, 0) @[Bitwise.scala 102:28]
node _T_636 = shl(_T_635, 2) @[Bitwise.scala 102:47]
node _T_637 = xor(_T_627, _T_636) @[Bitwise.scala 102:21]
node _T_638 = shr(_T_634, 2) @[Bitwise.scala 103:21]
node _T_639 = and(_T_638, _T_637) @[Bitwise.scala 103:31]
node _T_640 = bits(_T_634, 5, 0) @[Bitwise.scala 103:46]
node _T_641 = shl(_T_640, 2) @[Bitwise.scala 103:65]
node _T_642 = not(_T_637) @[Bitwise.scala 103:77]
node _T_643 = and(_T_641, _T_642) @[Bitwise.scala 103:75]
node _T_644 = or(_T_639, _T_643) @[Bitwise.scala 103:39]
node _T_645 = bits(_T_637, 6, 0) @[Bitwise.scala 102:28]
node _T_646 = shl(_T_645, 1) @[Bitwise.scala 102:47]
node _T_647 = xor(_T_637, _T_646) @[Bitwise.scala 102:21]
node _T_648 = shr(_T_644, 1) @[Bitwise.scala 103:21]
node _T_649 = and(_T_648, _T_647) @[Bitwise.scala 103:31]
node _T_650 = bits(_T_644, 6, 0) @[Bitwise.scala 103:46]
node _T_651 = shl(_T_650, 1) @[Bitwise.scala 103:65]
node _T_652 = not(_T_647) @[Bitwise.scala 103:77]
node _T_653 = and(_T_651, _T_652) @[Bitwise.scala 103:75]
node _T_654 = or(_T_649, _T_653) @[Bitwise.scala 103:39]
node _T_655 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_656 = bits(_T_655, 7, 7) @[el2_lsu_dccm_ctl.scala 173:134]
node _T_657 = bits(_T_656, 0, 0) @[el2_lsu_dccm_ctl.scala 173:139]
node _T_658 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_659 = bits(_T_658, 63, 56) @[el2_lsu_dccm_ctl.scala 173:196]
node _T_660 = bits(io.addr_in_pic_m, 0, 0) @[el2_lsu_dccm_ctl.scala 173:231]
node _T_661 = bits(picm_rd_data_m, 63, 56) @[el2_lsu_dccm_ctl.scala 173:252]
node _T_662 = bits(dccm_rdata_m, 63, 56) @[el2_lsu_dccm_ctl.scala 173:278]
node _T_663 = mux(_T_660, _T_661, _T_662) @[el2_lsu_dccm_ctl.scala 173:213]
node _T_664 = mux(_T_657, _T_659, _T_663) @[el2_lsu_dccm_ctl.scala 173:78]
node _T_665 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_666 = xor(UInt<8>("h0ff"), _T_665) @[Bitwise.scala 102:21]
node _T_667 = shr(_T_664, 4) @[Bitwise.scala 103:21]
node _T_668 = and(_T_667, _T_666) @[Bitwise.scala 103:31]
node _T_669 = bits(_T_664, 3, 0) @[Bitwise.scala 103:46]
node _T_670 = shl(_T_669, 4) @[Bitwise.scala 103:65]
node _T_671 = not(_T_666) @[Bitwise.scala 103:77]
node _T_672 = and(_T_670, _T_671) @[Bitwise.scala 103:75]
node _T_673 = or(_T_668, _T_672) @[Bitwise.scala 103:39]
node _T_674 = bits(_T_666, 5, 0) @[Bitwise.scala 102:28]
node _T_675 = shl(_T_674, 2) @[Bitwise.scala 102:47]
node _T_676 = xor(_T_666, _T_675) @[Bitwise.scala 102:21]
node _T_677 = shr(_T_673, 2) @[Bitwise.scala 103:21]
node _T_678 = and(_T_677, _T_676) @[Bitwise.scala 103:31]
node _T_679 = bits(_T_673, 5, 0) @[Bitwise.scala 103:46]
node _T_680 = shl(_T_679, 2) @[Bitwise.scala 103:65]
node _T_681 = not(_T_676) @[Bitwise.scala 103:77]
node _T_682 = and(_T_680, _T_681) @[Bitwise.scala 103:75]
node _T_683 = or(_T_678, _T_682) @[Bitwise.scala 103:39]
node _T_684 = bits(_T_676, 6, 0) @[Bitwise.scala 102:28]
node _T_685 = shl(_T_684, 1) @[Bitwise.scala 102:47]
node _T_686 = xor(_T_676, _T_685) @[Bitwise.scala 102:21]
node _T_687 = shr(_T_683, 1) @[Bitwise.scala 103:21]
node _T_688 = and(_T_687, _T_686) @[Bitwise.scala 103:31]
node _T_689 = bits(_T_683, 6, 0) @[Bitwise.scala 103:46]
node _T_690 = shl(_T_689, 1) @[Bitwise.scala 103:65]
node _T_691 = not(_T_686) @[Bitwise.scala 103:77]
node _T_692 = and(_T_690, _T_691) @[Bitwise.scala 103:75]
node _T_693 = or(_T_688, _T_692) @[Bitwise.scala 103:39]
wire _T_694 : UInt<8>[8] @[el2_lsu_dccm_ctl.scala 173:62]
_T_694[0] <= _T_420 @[el2_lsu_dccm_ctl.scala 173:62]
_T_694[1] <= _T_459 @[el2_lsu_dccm_ctl.scala 173:62]
_T_694[2] <= _T_498 @[el2_lsu_dccm_ctl.scala 173:62]
_T_694[3] <= _T_537 @[el2_lsu_dccm_ctl.scala 173:62]
_T_694[4] <= _T_576 @[el2_lsu_dccm_ctl.scala 173:62]
_T_694[5] <= _T_615 @[el2_lsu_dccm_ctl.scala 173:62]
_T_694[6] <= _T_654 @[el2_lsu_dccm_ctl.scala 173:62]
_T_694[7] <= _T_693 @[el2_lsu_dccm_ctl.scala 173:62]
node _T_695 = cat(_T_694[6], _T_694[7]) @[Cat.scala 29:58]
node _T_696 = cat(_T_694[4], _T_694[5]) @[Cat.scala 29:58]
node _T_697 = cat(_T_696, _T_695) @[Cat.scala 29:58]
node _T_698 = cat(_T_694[2], _T_694[3]) @[Cat.scala 29:58]
node _T_699 = cat(_T_694[0], _T_694[1]) @[Cat.scala 29:58]
node _T_700 = cat(_T_699, _T_698) @[Cat.scala 29:58]
node _T_701 = cat(_T_700, _T_697) @[Cat.scala 29:58]
node _T_702 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47]
node _T_703 = xor(UInt<64>("h0ffffffffffffffff"), _T_702) @[Bitwise.scala 102:21]
node _T_704 = shr(_T_701, 32) @[Bitwise.scala 103:21]
node _T_705 = and(_T_704, _T_703) @[Bitwise.scala 103:31]
node _T_706 = bits(_T_701, 31, 0) @[Bitwise.scala 103:46]
node _T_707 = shl(_T_706, 32) @[Bitwise.scala 103:65]
node _T_708 = not(_T_703) @[Bitwise.scala 103:77]
node _T_709 = and(_T_707, _T_708) @[Bitwise.scala 103:75]
node _T_710 = or(_T_705, _T_709) @[Bitwise.scala 103:39]
node _T_711 = bits(_T_703, 47, 0) @[Bitwise.scala 102:28]
node _T_712 = shl(_T_711, 16) @[Bitwise.scala 102:47]
node _T_713 = xor(_T_703, _T_712) @[Bitwise.scala 102:21]
node _T_714 = shr(_T_710, 16) @[Bitwise.scala 103:21]
node _T_715 = and(_T_714, _T_713) @[Bitwise.scala 103:31]
node _T_716 = bits(_T_710, 47, 0) @[Bitwise.scala 103:46]
node _T_717 = shl(_T_716, 16) @[Bitwise.scala 103:65]
node _T_718 = not(_T_713) @[Bitwise.scala 103:77]
node _T_719 = and(_T_717, _T_718) @[Bitwise.scala 103:75]
node _T_720 = or(_T_715, _T_719) @[Bitwise.scala 103:39]
node _T_721 = bits(_T_713, 55, 0) @[Bitwise.scala 102:28]
node _T_722 = shl(_T_721, 8) @[Bitwise.scala 102:47]
node _T_723 = xor(_T_713, _T_722) @[Bitwise.scala 102:21]
node _T_724 = shr(_T_720, 8) @[Bitwise.scala 103:21]
node _T_725 = and(_T_724, _T_723) @[Bitwise.scala 103:31]
node _T_726 = bits(_T_720, 55, 0) @[Bitwise.scala 103:46]
node _T_727 = shl(_T_726, 8) @[Bitwise.scala 103:65]
node _T_728 = not(_T_723) @[Bitwise.scala 103:77]
node _T_729 = and(_T_727, _T_728) @[Bitwise.scala 103:75]
node _T_730 = or(_T_725, _T_729) @[Bitwise.scala 103:39]
node _T_731 = bits(_T_723, 59, 0) @[Bitwise.scala 102:28]
node _T_732 = shl(_T_731, 4) @[Bitwise.scala 102:47]
node _T_733 = xor(_T_723, _T_732) @[Bitwise.scala 102:21]
node _T_734 = shr(_T_730, 4) @[Bitwise.scala 103:21]
node _T_735 = and(_T_734, _T_733) @[Bitwise.scala 103:31]
node _T_736 = bits(_T_730, 59, 0) @[Bitwise.scala 103:46]
node _T_737 = shl(_T_736, 4) @[Bitwise.scala 103:65]
node _T_738 = not(_T_733) @[Bitwise.scala 103:77]
node _T_739 = and(_T_737, _T_738) @[Bitwise.scala 103:75]
node _T_740 = or(_T_735, _T_739) @[Bitwise.scala 103:39]
node _T_741 = bits(_T_733, 61, 0) @[Bitwise.scala 102:28]
node _T_742 = shl(_T_741, 2) @[Bitwise.scala 102:47]
node _T_743 = xor(_T_733, _T_742) @[Bitwise.scala 102:21]
node _T_744 = shr(_T_740, 2) @[Bitwise.scala 103:21]
node _T_745 = and(_T_744, _T_743) @[Bitwise.scala 103:31]
node _T_746 = bits(_T_740, 61, 0) @[Bitwise.scala 103:46]
node _T_747 = shl(_T_746, 2) @[Bitwise.scala 103:65]
node _T_748 = not(_T_743) @[Bitwise.scala 103:77]
node _T_749 = and(_T_747, _T_748) @[Bitwise.scala 103:75]
node _T_750 = or(_T_745, _T_749) @[Bitwise.scala 103:39]
node _T_751 = bits(_T_743, 62, 0) @[Bitwise.scala 102:28]
node _T_752 = shl(_T_751, 1) @[Bitwise.scala 102:47]
node _T_753 = xor(_T_743, _T_752) @[Bitwise.scala 102:21]
node _T_754 = shr(_T_750, 1) @[Bitwise.scala 103:21]
node _T_755 = and(_T_754, _T_753) @[Bitwise.scala 103:31]
node _T_756 = bits(_T_750, 62, 0) @[Bitwise.scala 103:46]
node _T_757 = shl(_T_756, 1) @[Bitwise.scala 103:65]
node _T_758 = not(_T_753) @[Bitwise.scala 103:77]
node _T_759 = and(_T_757, _T_758) @[Bitwise.scala 103:75]
node _T_760 = or(_T_755, _T_759) @[Bitwise.scala 103:39]
lsu_rdata_m <= _T_760 @[el2_lsu_dccm_ctl.scala 173:28]
node _T_761 = bits(io.lsu_addr_m, 1, 0) @[el2_lsu_dccm_ctl.scala 174:63]
node _T_762 = mul(UInt<4>("h08"), _T_761) @[el2_lsu_dccm_ctl.scala 174:49]
node _T_763 = dshr(lsu_rdata_m, _T_762) @[el2_lsu_dccm_ctl.scala 174:43]
io.lsu_ld_data_m <= _T_763 @[el2_lsu_dccm_ctl.scala 174:28]
node _T_764 = bits(io.lsu_addr_m, 1, 0) @[el2_lsu_dccm_ctl.scala 175:68]
node _T_765 = mul(UInt<4>("h08"), _T_764) @[el2_lsu_dccm_ctl.scala 175:54]
node _T_766 = dshr(lsu_rdata_corr_m, _T_765) @[el2_lsu_dccm_ctl.scala 175:48]
lsu_ld_data_corr_m <= _T_766 @[el2_lsu_dccm_ctl.scala 175:28]
node _T_767 = bits(io.lsu_addr_d, 15, 2) @[el2_lsu_dccm_ctl.scala 179:44]
node _T_768 = bits(io.lsu_addr_r, 15, 2) @[el2_lsu_dccm_ctl.scala 179:77]
node _T_769 = eq(_T_767, _T_768) @[el2_lsu_dccm_ctl.scala 179:60]
node _T_770 = bits(io.end_addr_d, 15, 2) @[el2_lsu_dccm_ctl.scala 179:117]
node _T_771 = bits(io.lsu_addr_r, 15, 2) @[el2_lsu_dccm_ctl.scala 179:150]
node _T_772 = eq(_T_770, _T_771) @[el2_lsu_dccm_ctl.scala 179:133]
node _T_773 = or(_T_769, _T_772) @[el2_lsu_dccm_ctl.scala 179:101]
node _T_774 = and(_T_773, io.lsu_pkt_d.valid) @[el2_lsu_dccm_ctl.scala 179:175]
node _T_775 = and(_T_774, io.lsu_pkt_d.bits.store) @[el2_lsu_dccm_ctl.scala 179:196]
node _T_776 = and(_T_775, io.lsu_pkt_d.bits.dma) @[el2_lsu_dccm_ctl.scala 179:222]
node _T_777 = and(_T_776, io.addr_in_dccm_d) @[el2_lsu_dccm_ctl.scala 179:246]
node _T_778 = bits(io.lsu_addr_m, 15, 2) @[el2_lsu_dccm_ctl.scala 180:21]
node _T_779 = bits(io.lsu_addr_r, 15, 2) @[el2_lsu_dccm_ctl.scala 180:54]
node _T_780 = eq(_T_778, _T_779) @[el2_lsu_dccm_ctl.scala 180:37]
node _T_781 = bits(io.end_addr_m, 15, 2) @[el2_lsu_dccm_ctl.scala 180:94]
node _T_782 = bits(io.lsu_addr_r, 15, 2) @[el2_lsu_dccm_ctl.scala 180:127]
node _T_783 = eq(_T_781, _T_782) @[el2_lsu_dccm_ctl.scala 180:110]
node _T_784 = or(_T_780, _T_783) @[el2_lsu_dccm_ctl.scala 180:78]
node _T_785 = and(_T_784, io.lsu_pkt_m.valid) @[el2_lsu_dccm_ctl.scala 180:152]
node _T_786 = and(_T_785, io.lsu_pkt_m.bits.store) @[el2_lsu_dccm_ctl.scala 180:173]
node _T_787 = and(_T_786, io.lsu_pkt_m.bits.dma) @[el2_lsu_dccm_ctl.scala 180:199]
node _T_788 = and(_T_787, io.addr_in_dccm_m) @[el2_lsu_dccm_ctl.scala 180:223]
node kill_ecc_corr_lo_r = or(_T_777, _T_788) @[el2_lsu_dccm_ctl.scala 179:267]
node _T_789 = bits(io.lsu_addr_d, 15, 2) @[el2_lsu_dccm_ctl.scala 182:44]
node _T_790 = bits(io.end_addr_r, 15, 2) @[el2_lsu_dccm_ctl.scala 182:77]
node _T_791 = eq(_T_789, _T_790) @[el2_lsu_dccm_ctl.scala 182:60]
node _T_792 = bits(io.end_addr_d, 15, 2) @[el2_lsu_dccm_ctl.scala 182:117]
node _T_793 = bits(io.end_addr_r, 15, 2) @[el2_lsu_dccm_ctl.scala 182:150]
node _T_794 = eq(_T_792, _T_793) @[el2_lsu_dccm_ctl.scala 182:133]
node _T_795 = or(_T_791, _T_794) @[el2_lsu_dccm_ctl.scala 182:101]
node _T_796 = and(_T_795, io.lsu_pkt_d.valid) @[el2_lsu_dccm_ctl.scala 182:175]
node _T_797 = and(_T_796, io.lsu_pkt_d.bits.store) @[el2_lsu_dccm_ctl.scala 182:196]
node _T_798 = and(_T_797, io.lsu_pkt_d.bits.dma) @[el2_lsu_dccm_ctl.scala 182:222]
node _T_799 = and(_T_798, io.addr_in_dccm_d) @[el2_lsu_dccm_ctl.scala 182:246]
node _T_800 = bits(io.lsu_addr_m, 15, 2) @[el2_lsu_dccm_ctl.scala 183:21]
node _T_801 = bits(io.end_addr_r, 15, 2) @[el2_lsu_dccm_ctl.scala 183:54]
node _T_802 = eq(_T_800, _T_801) @[el2_lsu_dccm_ctl.scala 183:37]
node _T_803 = bits(io.end_addr_m, 15, 2) @[el2_lsu_dccm_ctl.scala 183:94]
node _T_804 = bits(io.end_addr_r, 15, 2) @[el2_lsu_dccm_ctl.scala 183:127]
node _T_805 = eq(_T_803, _T_804) @[el2_lsu_dccm_ctl.scala 183:110]
node _T_806 = or(_T_802, _T_805) @[el2_lsu_dccm_ctl.scala 183:78]
node _T_807 = and(_T_806, io.lsu_pkt_m.valid) @[el2_lsu_dccm_ctl.scala 183:152]
node _T_808 = and(_T_807, io.lsu_pkt_m.bits.store) @[el2_lsu_dccm_ctl.scala 183:173]
node _T_809 = and(_T_808, io.lsu_pkt_m.bits.dma) @[el2_lsu_dccm_ctl.scala 183:199]
node _T_810 = and(_T_809, io.addr_in_dccm_m) @[el2_lsu_dccm_ctl.scala 183:223]
node kill_ecc_corr_hi_r = or(_T_799, _T_810) @[el2_lsu_dccm_ctl.scala 182:267]
node _T_811 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[el2_lsu_dccm_ctl.scala 185:60]
node _T_812 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 185:89]
node ld_single_ecc_error_lo_r = and(_T_811, _T_812) @[el2_lsu_dccm_ctl.scala 185:87]
node _T_813 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[el2_lsu_dccm_ctl.scala 186:60]
node _T_814 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 186:89]
node ld_single_ecc_error_hi_r = and(_T_813, _T_814) @[el2_lsu_dccm_ctl.scala 186:87]
node _T_815 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[el2_lsu_dccm_ctl.scala 187:63]
node _T_816 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 187:93]
node _T_817 = and(_T_815, _T_816) @[el2_lsu_dccm_ctl.scala 187:91]
io.ld_single_ecc_error_r <= _T_817 @[el2_lsu_dccm_ctl.scala 187:34]
node _T_818 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[el2_lsu_dccm_ctl.scala 188:81]
node _T_819 = and(ld_single_ecc_error_lo_r, _T_818) @[el2_lsu_dccm_ctl.scala 188:62]
node _T_820 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 188:108]
node ld_single_ecc_error_lo_r_ns = and(_T_819, _T_820) @[el2_lsu_dccm_ctl.scala 188:106]
node _T_821 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[el2_lsu_dccm_ctl.scala 189:81]
node _T_822 = and(ld_single_ecc_error_hi_r, _T_821) @[el2_lsu_dccm_ctl.scala 189:62]
node _T_823 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 189:108]
node ld_single_ecc_error_hi_r_ns = and(_T_822, _T_823) @[el2_lsu_dccm_ctl.scala 189:106]
reg lsu_double_ecc_error_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_dccm_ctl.scala 191:74]
lsu_double_ecc_error_r_ff <= io.lsu_double_ecc_error_r @[el2_lsu_dccm_ctl.scala 191:74]
reg ld_single_ecc_error_hi_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_dccm_ctl.scala 192:74]
ld_single_ecc_error_hi_r_ff <= ld_single_ecc_error_hi_r_ns @[el2_lsu_dccm_ctl.scala 192:74]
reg ld_single_ecc_error_lo_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_dccm_ctl.scala 193:74]
ld_single_ecc_error_lo_r_ff <= ld_single_ecc_error_lo_r_ns @[el2_lsu_dccm_ctl.scala 193:74]
node _T_824 = bits(io.end_addr_r, 15, 0) @[el2_lsu_dccm_ctl.scala 195:49]
node _T_825 = bits(io.ld_single_ecc_error_r, 0, 0) @[el2_lsu_dccm_ctl.scala 195:90]
node _T_826 = bits(io.scan_mode, 0, 0) @[el2_lsu_dccm_ctl.scala 195:116]
inst rvclkhdr of rvclkhdr @[el2_lib.scala 508:23]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18]
rvclkhdr.io.en <= _T_825 @[el2_lib.scala 511:17]
rvclkhdr.io.scan_mode <= _T_826 @[el2_lib.scala 512:24]
reg ld_sec_addr_hi_r_ff : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16]
ld_sec_addr_hi_r_ff <= _T_824 @[el2_lib.scala 514:16]
node _T_827 = bits(io.lsu_addr_r, 15, 0) @[el2_lsu_dccm_ctl.scala 196:49]
node _T_828 = bits(io.ld_single_ecc_error_r, 0, 0) @[el2_lsu_dccm_ctl.scala 196:90]
node _T_829 = bits(io.scan_mode, 0, 0) @[el2_lsu_dccm_ctl.scala 196:116]
inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 508:23]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18]
rvclkhdr_1.io.en <= _T_828 @[el2_lib.scala 511:17]
rvclkhdr_1.io.scan_mode <= _T_829 @[el2_lib.scala 512:24]
reg ld_sec_addr_lo_r_ff : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16]
ld_sec_addr_lo_r_ff <= _T_827 @[el2_lib.scala 514:16]
node _T_830 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[el2_lsu_dccm_ctl.scala 197:125]
node _T_831 = eq(_T_830, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 197:100]
node _T_832 = bits(io.lsu_addr_d, 1, 0) @[el2_lsu_dccm_ctl.scala 197:168]
node _T_833 = neq(_T_832, UInt<2>("h00")) @[el2_lsu_dccm_ctl.scala 197:174]
node _T_834 = or(_T_831, _T_833) @[el2_lsu_dccm_ctl.scala 197:152]
node _T_835 = and(io.lsu_pkt_d.bits.store, _T_834) @[el2_lsu_dccm_ctl.scala 197:97]
node _T_836 = or(io.lsu_pkt_d.bits.load, _T_835) @[el2_lsu_dccm_ctl.scala 197:70]
node _T_837 = and(io.lsu_pkt_d.valid, _T_836) @[el2_lsu_dccm_ctl.scala 197:44]
node lsu_dccm_rden_d = and(_T_837, io.addr_in_dccm_d) @[el2_lsu_dccm_ctl.scala 197:191]
node _T_838 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[el2_lsu_dccm_ctl.scala 200:63]
node _T_839 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 200:96]
node _T_840 = and(_T_838, _T_839) @[el2_lsu_dccm_ctl.scala 200:94]
io.ld_single_ecc_error_r_ff <= _T_840 @[el2_lsu_dccm_ctl.scala 200:31]
node _T_841 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[el2_lsu_dccm_ctl.scala 201:75]
node _T_842 = or(_T_841, io.ld_single_ecc_error_r_ff) @[el2_lsu_dccm_ctl.scala 201:93]
node _T_843 = eq(_T_842, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 201:57]
node _T_844 = bits(io.stbuf_addr_any, 3, 2) @[el2_lsu_dccm_ctl.scala 202:44]
node _T_845 = bits(io.lsu_addr_d, 3, 2) @[el2_lsu_dccm_ctl.scala 202:112]
node _T_846 = eq(_T_844, _T_845) @[el2_lsu_dccm_ctl.scala 202:95]
node _T_847 = bits(io.stbuf_addr_any, 3, 2) @[el2_lsu_dccm_ctl.scala 203:25]
node _T_848 = bits(io.end_addr_d, 3, 2) @[el2_lsu_dccm_ctl.scala 203:93]
node _T_849 = eq(_T_847, _T_848) @[el2_lsu_dccm_ctl.scala 203:76]
node _T_850 = or(_T_846, _T_849) @[el2_lsu_dccm_ctl.scala 202:171]
node _T_851 = eq(_T_850, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 202:24]
node _T_852 = and(lsu_dccm_rden_d, _T_851) @[el2_lsu_dccm_ctl.scala 202:22]
node _T_853 = or(_T_843, _T_852) @[el2_lsu_dccm_ctl.scala 201:124]
node _T_854 = and(io.stbuf_reqvld_any, _T_853) @[el2_lsu_dccm_ctl.scala 201:54]
io.lsu_stbuf_commit_any <= _T_854 @[el2_lsu_dccm_ctl.scala 201:31]
node _T_855 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[el2_lsu_dccm_ctl.scala 207:41]
node _T_856 = or(_T_855, io.ld_single_ecc_error_r_ff) @[el2_lsu_dccm_ctl.scala 207:67]
io.dccm_wren <= _T_856 @[el2_lsu_dccm_ctl.scala 207:22]
node _T_857 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[el2_lsu_dccm_ctl.scala 208:41]
io.dccm_rden <= _T_857 @[el2_lsu_dccm_ctl.scala 208:22]
node _T_858 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[el2_lsu_dccm_ctl.scala 210:57]
node _T_859 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[el2_lsu_dccm_ctl.scala 211:36]
node _T_860 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[el2_lsu_dccm_ctl.scala 211:62]
node _T_861 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[el2_lsu_dccm_ctl.scala 211:97]
node _T_862 = mux(_T_859, _T_860, _T_861) @[el2_lsu_dccm_ctl.scala 211:8]
node _T_863 = bits(io.dma_dccm_wen, 0, 0) @[el2_lsu_dccm_ctl.scala 212:25]
node _T_864 = bits(io.lsu_addr_d, 15, 0) @[el2_lsu_dccm_ctl.scala 212:45]
node _T_865 = bits(io.stbuf_addr_any, 15, 0) @[el2_lsu_dccm_ctl.scala 212:78]
node _T_866 = mux(_T_863, _T_864, _T_865) @[el2_lsu_dccm_ctl.scala 212:8]
node _T_867 = mux(_T_858, _T_862, _T_866) @[el2_lsu_dccm_ctl.scala 210:28]
io.dccm_wr_addr_lo <= _T_867 @[el2_lsu_dccm_ctl.scala 210:22]
node _T_868 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[el2_lsu_dccm_ctl.scala 214:57]
node _T_869 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[el2_lsu_dccm_ctl.scala 215:36]
node _T_870 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[el2_lsu_dccm_ctl.scala 215:63]
node _T_871 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[el2_lsu_dccm_ctl.scala 215:99]
node _T_872 = mux(_T_869, _T_870, _T_871) @[el2_lsu_dccm_ctl.scala 215:8]
node _T_873 = bits(io.dma_dccm_wen, 0, 0) @[el2_lsu_dccm_ctl.scala 216:25]
node _T_874 = bits(io.end_addr_d, 15, 0) @[el2_lsu_dccm_ctl.scala 216:46]
node _T_875 = bits(io.stbuf_addr_any, 15, 0) @[el2_lsu_dccm_ctl.scala 216:79]
node _T_876 = mux(_T_873, _T_874, _T_875) @[el2_lsu_dccm_ctl.scala 216:8]
node _T_877 = mux(_T_868, _T_872, _T_876) @[el2_lsu_dccm_ctl.scala 214:28]
io.dccm_wr_addr_hi <= _T_877 @[el2_lsu_dccm_ctl.scala 214:22]
node _T_878 = bits(io.lsu_addr_d, 15, 0) @[el2_lsu_dccm_ctl.scala 218:38]
io.dccm_rd_addr_lo <= _T_878 @[el2_lsu_dccm_ctl.scala 218:22]
node _T_879 = bits(io.end_addr_d, 15, 0) @[el2_lsu_dccm_ctl.scala 219:38]
io.dccm_rd_addr_hi <= _T_879 @[el2_lsu_dccm_ctl.scala 219:22]
node _T_880 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[el2_lsu_dccm_ctl.scala 221:57]
node _T_881 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[el2_lsu_dccm_ctl.scala 222:36]
node _T_882 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[el2_lsu_dccm_ctl.scala 222:70]
node _T_883 = bits(io.sec_data_lo_r_ff, 31, 0) @[el2_lsu_dccm_ctl.scala 222:110]
node _T_884 = cat(_T_882, _T_883) @[Cat.scala 29:58]
node _T_885 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[el2_lsu_dccm_ctl.scala 223:34]
node _T_886 = bits(io.sec_data_hi_r_ff, 31, 0) @[el2_lsu_dccm_ctl.scala 223:74]
node _T_887 = cat(_T_885, _T_886) @[Cat.scala 29:58]
node _T_888 = mux(_T_881, _T_884, _T_887) @[el2_lsu_dccm_ctl.scala 222:8]
node _T_889 = bits(io.dma_dccm_wen, 0, 0) @[el2_lsu_dccm_ctl.scala 224:25]
node _T_890 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[el2_lsu_dccm_ctl.scala 224:60]
node _T_891 = bits(io.dma_dccm_wdata_lo, 31, 0) @[el2_lsu_dccm_ctl.scala 224:101]
node _T_892 = cat(_T_890, _T_891) @[Cat.scala 29:58]
node _T_893 = bits(io.stbuf_ecc_any, 6, 0) @[el2_lsu_dccm_ctl.scala 225:27]
node _T_894 = bits(io.stbuf_data_any, 31, 0) @[el2_lsu_dccm_ctl.scala 225:65]
node _T_895 = cat(_T_893, _T_894) @[Cat.scala 29:58]
node _T_896 = mux(_T_889, _T_892, _T_895) @[el2_lsu_dccm_ctl.scala 224:8]
node _T_897 = mux(_T_880, _T_888, _T_896) @[el2_lsu_dccm_ctl.scala 221:28]
io.dccm_wr_data_lo <= _T_897 @[el2_lsu_dccm_ctl.scala 221:22]
node _T_898 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[el2_lsu_dccm_ctl.scala 227:57]
node _T_899 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[el2_lsu_dccm_ctl.scala 228:36]
node _T_900 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[el2_lsu_dccm_ctl.scala 228:71]
node _T_901 = bits(io.sec_data_hi_r_ff, 31, 0) @[el2_lsu_dccm_ctl.scala 228:111]
node _T_902 = cat(_T_900, _T_901) @[Cat.scala 29:58]
node _T_903 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[el2_lsu_dccm_ctl.scala 229:34]
node _T_904 = bits(io.sec_data_lo_r_ff, 31, 0) @[el2_lsu_dccm_ctl.scala 229:74]
node _T_905 = cat(_T_903, _T_904) @[Cat.scala 29:58]
node _T_906 = mux(_T_899, _T_902, _T_905) @[el2_lsu_dccm_ctl.scala 228:8]
node _T_907 = bits(io.dma_dccm_wen, 0, 0) @[el2_lsu_dccm_ctl.scala 230:25]
node _T_908 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[el2_lsu_dccm_ctl.scala 230:61]
node _T_909 = bits(io.dma_dccm_wdata_hi, 31, 0) @[el2_lsu_dccm_ctl.scala 230:102]
node _T_910 = cat(_T_908, _T_909) @[Cat.scala 29:58]
node _T_911 = bits(io.stbuf_ecc_any, 6, 0) @[el2_lsu_dccm_ctl.scala 231:27]
node _T_912 = bits(io.stbuf_data_any, 31, 0) @[el2_lsu_dccm_ctl.scala 231:65]
node _T_913 = cat(_T_911, _T_912) @[Cat.scala 29:58]
node _T_914 = mux(_T_907, _T_910, _T_913) @[el2_lsu_dccm_ctl.scala 230:8]
node _T_915 = mux(_T_898, _T_906, _T_914) @[el2_lsu_dccm_ctl.scala 227:28]
io.dccm_wr_data_hi <= _T_915 @[el2_lsu_dccm_ctl.scala 227:22]
node _T_916 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_917 = mux(_T_916, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_918 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15]
node _T_919 = mux(_T_918, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_920 = and(_T_919, UInt<4>("h01")) @[el2_lsu_dccm_ctl.scala 234:94]
node _T_921 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_922 = mux(_T_921, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_923 = and(_T_922, UInt<4>("h03")) @[el2_lsu_dccm_ctl.scala 235:38]
node _T_924 = or(_T_920, _T_923) @[el2_lsu_dccm_ctl.scala 234:107]
node _T_925 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_926 = mux(_T_925, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_927 = and(_T_926, UInt<4>("h0f")) @[el2_lsu_dccm_ctl.scala 236:38]
node _T_928 = or(_T_924, _T_927) @[el2_lsu_dccm_ctl.scala 235:51]
node store_byteen_m = and(_T_917, _T_928) @[el2_lsu_dccm_ctl.scala 234:58]
node _T_929 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_930 = mux(_T_929, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_931 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15]
node _T_932 = mux(_T_931, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_933 = and(_T_932, UInt<4>("h01")) @[el2_lsu_dccm_ctl.scala 238:94]
node _T_934 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_935 = mux(_T_934, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_936 = and(_T_935, UInt<4>("h03")) @[el2_lsu_dccm_ctl.scala 239:38]
node _T_937 = or(_T_933, _T_936) @[el2_lsu_dccm_ctl.scala 238:107]
node _T_938 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_939 = mux(_T_938, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_940 = and(_T_939, UInt<4>("h0f")) @[el2_lsu_dccm_ctl.scala 240:38]
node _T_941 = or(_T_937, _T_940) @[el2_lsu_dccm_ctl.scala 239:51]
node store_byteen_r = and(_T_930, _T_941) @[el2_lsu_dccm_ctl.scala 238:58]
wire store_byteen_ext_m : UInt<8>
store_byteen_ext_m <= UInt<1>("h00")
node _T_942 = bits(store_byteen_m, 3, 0) @[el2_lsu_dccm_ctl.scala 242:39]
node _T_943 = bits(io.lsu_addr_m, 1, 0) @[el2_lsu_dccm_ctl.scala 242:61]
node _T_944 = dshl(_T_942, _T_943) @[el2_lsu_dccm_ctl.scala 242:45]
store_byteen_ext_m <= _T_944 @[el2_lsu_dccm_ctl.scala 242:22]
wire store_byteen_ext_r : UInt<8>
store_byteen_ext_r <= UInt<1>("h00")
node _T_945 = bits(store_byteen_r, 3, 0) @[el2_lsu_dccm_ctl.scala 244:39]
node _T_946 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_dccm_ctl.scala 244:61]
node _T_947 = dshl(_T_945, _T_946) @[el2_lsu_dccm_ctl.scala 244:45]
store_byteen_ext_r <= _T_947 @[el2_lsu_dccm_ctl.scala 244:22]
node _T_948 = bits(io.stbuf_addr_any, 15, 2) @[el2_lsu_dccm_ctl.scala 247:51]
node _T_949 = bits(io.lsu_addr_m, 15, 2) @[el2_lsu_dccm_ctl.scala 247:84]
node _T_950 = eq(_T_948, _T_949) @[el2_lsu_dccm_ctl.scala 247:67]
node dccm_wr_bypass_d_m_lo = and(_T_950, io.addr_in_dccm_m) @[el2_lsu_dccm_ctl.scala 247:101]
node _T_951 = bits(io.stbuf_addr_any, 15, 2) @[el2_lsu_dccm_ctl.scala 248:51]
node _T_952 = bits(io.end_addr_m, 15, 2) @[el2_lsu_dccm_ctl.scala 248:84]
node _T_953 = eq(_T_951, _T_952) @[el2_lsu_dccm_ctl.scala 248:67]
node dccm_wr_bypass_d_m_hi = and(_T_953, io.addr_in_dccm_m) @[el2_lsu_dccm_ctl.scala 248:101]
node _T_954 = bits(io.stbuf_addr_any, 15, 2) @[el2_lsu_dccm_ctl.scala 250:51]
node _T_955 = bits(io.lsu_addr_r, 15, 2) @[el2_lsu_dccm_ctl.scala 250:84]
node _T_956 = eq(_T_954, _T_955) @[el2_lsu_dccm_ctl.scala 250:67]
node dccm_wr_bypass_d_r_lo = and(_T_956, io.addr_in_dccm_r) @[el2_lsu_dccm_ctl.scala 250:101]
node _T_957 = bits(io.stbuf_addr_any, 15, 2) @[el2_lsu_dccm_ctl.scala 251:51]
node _T_958 = bits(io.end_addr_r, 15, 2) @[el2_lsu_dccm_ctl.scala 251:84]
node _T_959 = eq(_T_957, _T_958) @[el2_lsu_dccm_ctl.scala 251:67]
node dccm_wr_bypass_d_r_hi = and(_T_959, io.addr_in_dccm_r) @[el2_lsu_dccm_ctl.scala 251:101]
wire dccm_wr_bypass_d_m_hi_Q : UInt<1>
dccm_wr_bypass_d_m_hi_Q <= UInt<1>("h00")
wire dccm_wr_bypass_d_m_lo_Q : UInt<1>
dccm_wr_bypass_d_m_lo_Q <= UInt<1>("h00")
wire dccm_wren_Q : UInt<1>
dccm_wren_Q <= UInt<1>("h00")
wire dccm_wr_data_Q : UInt<32>
dccm_wr_data_Q <= UInt<32>("h00")
wire store_data_pre_r : UInt<64>
store_data_pre_r <= UInt<64>("h00")
wire store_data_pre_hi_r : UInt<32>
store_data_pre_hi_r <= UInt<32>("h00")
wire store_data_pre_lo_r : UInt<32>
store_data_pre_lo_r <= UInt<32>("h00")
wire store_data_pre_m : UInt<64>
store_data_pre_m <= UInt<64>("h00")
wire store_data_hi_m : UInt<32>
store_data_hi_m <= UInt<32>("h00")
wire store_data_lo_m : UInt<32>
store_data_lo_m <= UInt<32>("h00")
node _T_960 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_961 = bits(io.store_data_m, 31, 0) @[el2_lsu_dccm_ctl.scala 280:64]
node _T_962 = cat(_T_960, _T_961) @[Cat.scala 29:58]
node _T_963 = bits(io.lsu_addr_m, 1, 0) @[el2_lsu_dccm_ctl.scala 280:92]
node _T_964 = mul(UInt<4>("h08"), _T_963) @[el2_lsu_dccm_ctl.scala 280:78]
node _T_965 = dshl(_T_962, _T_964) @[el2_lsu_dccm_ctl.scala 280:72]
store_data_pre_m <= _T_965 @[el2_lsu_dccm_ctl.scala 280:29]
node _T_966 = bits(store_data_pre_m, 63, 32) @[el2_lsu_dccm_ctl.scala 281:48]
store_data_hi_m <= _T_966 @[el2_lsu_dccm_ctl.scala 281:29]
node _T_967 = bits(store_data_pre_m, 31, 0) @[el2_lsu_dccm_ctl.scala 282:48]
store_data_lo_m <= _T_967 @[el2_lsu_dccm_ctl.scala 282:29]
node _T_968 = bits(store_byteen_ext_m, 0, 0) @[el2_lsu_dccm_ctl.scala 283:139]
node _T_969 = bits(_T_968, 0, 0) @[el2_lsu_dccm_ctl.scala 283:143]
node _T_970 = bits(store_data_lo_m, 7, 0) @[el2_lsu_dccm_ctl.scala 283:167]
node _T_971 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[el2_lsu_dccm_ctl.scala 283:211]
node _T_972 = bits(_T_971, 0, 0) @[el2_lsu_dccm_ctl.scala 283:237]
node _T_973 = bits(io.stbuf_data_any, 7, 0) @[el2_lsu_dccm_ctl.scala 283:262]
node _T_974 = bits(io.sec_data_lo_m, 7, 0) @[el2_lsu_dccm_ctl.scala 283:292]
node _T_975 = mux(_T_972, _T_973, _T_974) @[el2_lsu_dccm_ctl.scala 283:185]
node _T_976 = mux(_T_969, _T_970, _T_975) @[el2_lsu_dccm_ctl.scala 283:120]
node _T_977 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_978 = xor(UInt<8>("h0ff"), _T_977) @[Bitwise.scala 102:21]
node _T_979 = shr(_T_976, 4) @[Bitwise.scala 103:21]
node _T_980 = and(_T_979, _T_978) @[Bitwise.scala 103:31]
node _T_981 = bits(_T_976, 3, 0) @[Bitwise.scala 103:46]
node _T_982 = shl(_T_981, 4) @[Bitwise.scala 103:65]
node _T_983 = not(_T_978) @[Bitwise.scala 103:77]
node _T_984 = and(_T_982, _T_983) @[Bitwise.scala 103:75]
node _T_985 = or(_T_980, _T_984) @[Bitwise.scala 103:39]
node _T_986 = bits(_T_978, 5, 0) @[Bitwise.scala 102:28]
node _T_987 = shl(_T_986, 2) @[Bitwise.scala 102:47]
node _T_988 = xor(_T_978, _T_987) @[Bitwise.scala 102:21]
node _T_989 = shr(_T_985, 2) @[Bitwise.scala 103:21]
node _T_990 = and(_T_989, _T_988) @[Bitwise.scala 103:31]
node _T_991 = bits(_T_985, 5, 0) @[Bitwise.scala 103:46]
node _T_992 = shl(_T_991, 2) @[Bitwise.scala 103:65]
node _T_993 = not(_T_988) @[Bitwise.scala 103:77]
node _T_994 = and(_T_992, _T_993) @[Bitwise.scala 103:75]
node _T_995 = or(_T_990, _T_994) @[Bitwise.scala 103:39]
node _T_996 = bits(_T_988, 6, 0) @[Bitwise.scala 102:28]
node _T_997 = shl(_T_996, 1) @[Bitwise.scala 102:47]
node _T_998 = xor(_T_988, _T_997) @[Bitwise.scala 102:21]
node _T_999 = shr(_T_995, 1) @[Bitwise.scala 103:21]
node _T_1000 = and(_T_999, _T_998) @[Bitwise.scala 103:31]
node _T_1001 = bits(_T_995, 6, 0) @[Bitwise.scala 103:46]
node _T_1002 = shl(_T_1001, 1) @[Bitwise.scala 103:65]
node _T_1003 = not(_T_998) @[Bitwise.scala 103:77]
node _T_1004 = and(_T_1002, _T_1003) @[Bitwise.scala 103:75]
node _T_1005 = or(_T_1000, _T_1004) @[Bitwise.scala 103:39]
node _T_1006 = bits(store_byteen_ext_m, 1, 1) @[el2_lsu_dccm_ctl.scala 283:139]
node _T_1007 = bits(_T_1006, 0, 0) @[el2_lsu_dccm_ctl.scala 283:143]
node _T_1008 = bits(store_data_lo_m, 15, 8) @[el2_lsu_dccm_ctl.scala 283:167]
node _T_1009 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[el2_lsu_dccm_ctl.scala 283:211]
node _T_1010 = bits(_T_1009, 0, 0) @[el2_lsu_dccm_ctl.scala 283:237]
node _T_1011 = bits(io.stbuf_data_any, 15, 8) @[el2_lsu_dccm_ctl.scala 283:262]
node _T_1012 = bits(io.sec_data_lo_m, 15, 8) @[el2_lsu_dccm_ctl.scala 283:292]
node _T_1013 = mux(_T_1010, _T_1011, _T_1012) @[el2_lsu_dccm_ctl.scala 283:185]
node _T_1014 = mux(_T_1007, _T_1008, _T_1013) @[el2_lsu_dccm_ctl.scala 283:120]
node _T_1015 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1016 = xor(UInt<8>("h0ff"), _T_1015) @[Bitwise.scala 102:21]
node _T_1017 = shr(_T_1014, 4) @[Bitwise.scala 103:21]
node _T_1018 = and(_T_1017, _T_1016) @[Bitwise.scala 103:31]
node _T_1019 = bits(_T_1014, 3, 0) @[Bitwise.scala 103:46]
node _T_1020 = shl(_T_1019, 4) @[Bitwise.scala 103:65]
node _T_1021 = not(_T_1016) @[Bitwise.scala 103:77]
node _T_1022 = and(_T_1020, _T_1021) @[Bitwise.scala 103:75]
node _T_1023 = or(_T_1018, _T_1022) @[Bitwise.scala 103:39]
node _T_1024 = bits(_T_1016, 5, 0) @[Bitwise.scala 102:28]
node _T_1025 = shl(_T_1024, 2) @[Bitwise.scala 102:47]
node _T_1026 = xor(_T_1016, _T_1025) @[Bitwise.scala 102:21]
node _T_1027 = shr(_T_1023, 2) @[Bitwise.scala 103:21]
node _T_1028 = and(_T_1027, _T_1026) @[Bitwise.scala 103:31]
node _T_1029 = bits(_T_1023, 5, 0) @[Bitwise.scala 103:46]
node _T_1030 = shl(_T_1029, 2) @[Bitwise.scala 103:65]
node _T_1031 = not(_T_1026) @[Bitwise.scala 103:77]
node _T_1032 = and(_T_1030, _T_1031) @[Bitwise.scala 103:75]
node _T_1033 = or(_T_1028, _T_1032) @[Bitwise.scala 103:39]
node _T_1034 = bits(_T_1026, 6, 0) @[Bitwise.scala 102:28]
node _T_1035 = shl(_T_1034, 1) @[Bitwise.scala 102:47]
node _T_1036 = xor(_T_1026, _T_1035) @[Bitwise.scala 102:21]
node _T_1037 = shr(_T_1033, 1) @[Bitwise.scala 103:21]
node _T_1038 = and(_T_1037, _T_1036) @[Bitwise.scala 103:31]
node _T_1039 = bits(_T_1033, 6, 0) @[Bitwise.scala 103:46]
node _T_1040 = shl(_T_1039, 1) @[Bitwise.scala 103:65]
node _T_1041 = not(_T_1036) @[Bitwise.scala 103:77]
node _T_1042 = and(_T_1040, _T_1041) @[Bitwise.scala 103:75]
node _T_1043 = or(_T_1038, _T_1042) @[Bitwise.scala 103:39]
node _T_1044 = bits(store_byteen_ext_m, 2, 2) @[el2_lsu_dccm_ctl.scala 283:139]
node _T_1045 = bits(_T_1044, 0, 0) @[el2_lsu_dccm_ctl.scala 283:143]
node _T_1046 = bits(store_data_lo_m, 23, 16) @[el2_lsu_dccm_ctl.scala 283:167]
node _T_1047 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[el2_lsu_dccm_ctl.scala 283:211]
node _T_1048 = bits(_T_1047, 0, 0) @[el2_lsu_dccm_ctl.scala 283:237]
node _T_1049 = bits(io.stbuf_data_any, 23, 16) @[el2_lsu_dccm_ctl.scala 283:262]
node _T_1050 = bits(io.sec_data_lo_m, 23, 16) @[el2_lsu_dccm_ctl.scala 283:292]
node _T_1051 = mux(_T_1048, _T_1049, _T_1050) @[el2_lsu_dccm_ctl.scala 283:185]
node _T_1052 = mux(_T_1045, _T_1046, _T_1051) @[el2_lsu_dccm_ctl.scala 283:120]
node _T_1053 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1054 = xor(UInt<8>("h0ff"), _T_1053) @[Bitwise.scala 102:21]
node _T_1055 = shr(_T_1052, 4) @[Bitwise.scala 103:21]
node _T_1056 = and(_T_1055, _T_1054) @[Bitwise.scala 103:31]
node _T_1057 = bits(_T_1052, 3, 0) @[Bitwise.scala 103:46]
node _T_1058 = shl(_T_1057, 4) @[Bitwise.scala 103:65]
node _T_1059 = not(_T_1054) @[Bitwise.scala 103:77]
node _T_1060 = and(_T_1058, _T_1059) @[Bitwise.scala 103:75]
node _T_1061 = or(_T_1056, _T_1060) @[Bitwise.scala 103:39]
node _T_1062 = bits(_T_1054, 5, 0) @[Bitwise.scala 102:28]
node _T_1063 = shl(_T_1062, 2) @[Bitwise.scala 102:47]
node _T_1064 = xor(_T_1054, _T_1063) @[Bitwise.scala 102:21]
node _T_1065 = shr(_T_1061, 2) @[Bitwise.scala 103:21]
node _T_1066 = and(_T_1065, _T_1064) @[Bitwise.scala 103:31]
node _T_1067 = bits(_T_1061, 5, 0) @[Bitwise.scala 103:46]
node _T_1068 = shl(_T_1067, 2) @[Bitwise.scala 103:65]
node _T_1069 = not(_T_1064) @[Bitwise.scala 103:77]
node _T_1070 = and(_T_1068, _T_1069) @[Bitwise.scala 103:75]
node _T_1071 = or(_T_1066, _T_1070) @[Bitwise.scala 103:39]
node _T_1072 = bits(_T_1064, 6, 0) @[Bitwise.scala 102:28]
node _T_1073 = shl(_T_1072, 1) @[Bitwise.scala 102:47]
node _T_1074 = xor(_T_1064, _T_1073) @[Bitwise.scala 102:21]
node _T_1075 = shr(_T_1071, 1) @[Bitwise.scala 103:21]
node _T_1076 = and(_T_1075, _T_1074) @[Bitwise.scala 103:31]
node _T_1077 = bits(_T_1071, 6, 0) @[Bitwise.scala 103:46]
node _T_1078 = shl(_T_1077, 1) @[Bitwise.scala 103:65]
node _T_1079 = not(_T_1074) @[Bitwise.scala 103:77]
node _T_1080 = and(_T_1078, _T_1079) @[Bitwise.scala 103:75]
node _T_1081 = or(_T_1076, _T_1080) @[Bitwise.scala 103:39]
node _T_1082 = bits(store_byteen_ext_m, 3, 3) @[el2_lsu_dccm_ctl.scala 283:139]
node _T_1083 = bits(_T_1082, 0, 0) @[el2_lsu_dccm_ctl.scala 283:143]
node _T_1084 = bits(store_data_lo_m, 31, 24) @[el2_lsu_dccm_ctl.scala 283:167]
node _T_1085 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[el2_lsu_dccm_ctl.scala 283:211]
node _T_1086 = bits(_T_1085, 0, 0) @[el2_lsu_dccm_ctl.scala 283:237]
node _T_1087 = bits(io.stbuf_data_any, 31, 24) @[el2_lsu_dccm_ctl.scala 283:262]
node _T_1088 = bits(io.sec_data_lo_m, 31, 24) @[el2_lsu_dccm_ctl.scala 283:292]
node _T_1089 = mux(_T_1086, _T_1087, _T_1088) @[el2_lsu_dccm_ctl.scala 283:185]
node _T_1090 = mux(_T_1083, _T_1084, _T_1089) @[el2_lsu_dccm_ctl.scala 283:120]
node _T_1091 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1092 = xor(UInt<8>("h0ff"), _T_1091) @[Bitwise.scala 102:21]
node _T_1093 = shr(_T_1090, 4) @[Bitwise.scala 103:21]
node _T_1094 = and(_T_1093, _T_1092) @[Bitwise.scala 103:31]
node _T_1095 = bits(_T_1090, 3, 0) @[Bitwise.scala 103:46]
node _T_1096 = shl(_T_1095, 4) @[Bitwise.scala 103:65]
node _T_1097 = not(_T_1092) @[Bitwise.scala 103:77]
node _T_1098 = and(_T_1096, _T_1097) @[Bitwise.scala 103:75]
node _T_1099 = or(_T_1094, _T_1098) @[Bitwise.scala 103:39]
node _T_1100 = bits(_T_1092, 5, 0) @[Bitwise.scala 102:28]
node _T_1101 = shl(_T_1100, 2) @[Bitwise.scala 102:47]
node _T_1102 = xor(_T_1092, _T_1101) @[Bitwise.scala 102:21]
node _T_1103 = shr(_T_1099, 2) @[Bitwise.scala 103:21]
node _T_1104 = and(_T_1103, _T_1102) @[Bitwise.scala 103:31]
node _T_1105 = bits(_T_1099, 5, 0) @[Bitwise.scala 103:46]
node _T_1106 = shl(_T_1105, 2) @[Bitwise.scala 103:65]
node _T_1107 = not(_T_1102) @[Bitwise.scala 103:77]
node _T_1108 = and(_T_1106, _T_1107) @[Bitwise.scala 103:75]
node _T_1109 = or(_T_1104, _T_1108) @[Bitwise.scala 103:39]
node _T_1110 = bits(_T_1102, 6, 0) @[Bitwise.scala 102:28]
node _T_1111 = shl(_T_1110, 1) @[Bitwise.scala 102:47]
node _T_1112 = xor(_T_1102, _T_1111) @[Bitwise.scala 102:21]
node _T_1113 = shr(_T_1109, 1) @[Bitwise.scala 103:21]
node _T_1114 = and(_T_1113, _T_1112) @[Bitwise.scala 103:31]
node _T_1115 = bits(_T_1109, 6, 0) @[Bitwise.scala 103:46]
node _T_1116 = shl(_T_1115, 1) @[Bitwise.scala 103:65]
node _T_1117 = not(_T_1112) @[Bitwise.scala 103:77]
node _T_1118 = and(_T_1116, _T_1117) @[Bitwise.scala 103:75]
node _T_1119 = or(_T_1114, _T_1118) @[Bitwise.scala 103:39]
wire _T_1120 : UInt<8>[4] @[el2_lsu_dccm_ctl.scala 283:104]
_T_1120[0] <= _T_1005 @[el2_lsu_dccm_ctl.scala 283:104]
_T_1120[1] <= _T_1043 @[el2_lsu_dccm_ctl.scala 283:104]
_T_1120[2] <= _T_1081 @[el2_lsu_dccm_ctl.scala 283:104]
_T_1120[3] <= _T_1119 @[el2_lsu_dccm_ctl.scala 283:104]
node _T_1121 = cat(_T_1120[2], _T_1120[3]) @[Cat.scala 29:58]
node _T_1122 = cat(_T_1120[0], _T_1120[1]) @[Cat.scala 29:58]
node _T_1123 = cat(_T_1122, _T_1121) @[Cat.scala 29:58]
node _T_1124 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1125 = xor(UInt<32>("h0ffffffff"), _T_1124) @[Bitwise.scala 102:21]
node _T_1126 = shr(_T_1123, 16) @[Bitwise.scala 103:21]
node _T_1127 = and(_T_1126, _T_1125) @[Bitwise.scala 103:31]
node _T_1128 = bits(_T_1123, 15, 0) @[Bitwise.scala 103:46]
node _T_1129 = shl(_T_1128, 16) @[Bitwise.scala 103:65]
node _T_1130 = not(_T_1125) @[Bitwise.scala 103:77]
node _T_1131 = and(_T_1129, _T_1130) @[Bitwise.scala 103:75]
node _T_1132 = or(_T_1127, _T_1131) @[Bitwise.scala 103:39]
node _T_1133 = bits(_T_1125, 23, 0) @[Bitwise.scala 102:28]
node _T_1134 = shl(_T_1133, 8) @[Bitwise.scala 102:47]
node _T_1135 = xor(_T_1125, _T_1134) @[Bitwise.scala 102:21]
node _T_1136 = shr(_T_1132, 8) @[Bitwise.scala 103:21]
node _T_1137 = and(_T_1136, _T_1135) @[Bitwise.scala 103:31]
node _T_1138 = bits(_T_1132, 23, 0) @[Bitwise.scala 103:46]
node _T_1139 = shl(_T_1138, 8) @[Bitwise.scala 103:65]
node _T_1140 = not(_T_1135) @[Bitwise.scala 103:77]
node _T_1141 = and(_T_1139, _T_1140) @[Bitwise.scala 103:75]
node _T_1142 = or(_T_1137, _T_1141) @[Bitwise.scala 103:39]
node _T_1143 = bits(_T_1135, 27, 0) @[Bitwise.scala 102:28]
node _T_1144 = shl(_T_1143, 4) @[Bitwise.scala 102:47]
node _T_1145 = xor(_T_1135, _T_1144) @[Bitwise.scala 102:21]
node _T_1146 = shr(_T_1142, 4) @[Bitwise.scala 103:21]
node _T_1147 = and(_T_1146, _T_1145) @[Bitwise.scala 103:31]
node _T_1148 = bits(_T_1142, 27, 0) @[Bitwise.scala 103:46]
node _T_1149 = shl(_T_1148, 4) @[Bitwise.scala 103:65]
node _T_1150 = not(_T_1145) @[Bitwise.scala 103:77]
node _T_1151 = and(_T_1149, _T_1150) @[Bitwise.scala 103:75]
node _T_1152 = or(_T_1147, _T_1151) @[Bitwise.scala 103:39]
node _T_1153 = bits(_T_1145, 29, 0) @[Bitwise.scala 102:28]
node _T_1154 = shl(_T_1153, 2) @[Bitwise.scala 102:47]
node _T_1155 = xor(_T_1145, _T_1154) @[Bitwise.scala 102:21]
node _T_1156 = shr(_T_1152, 2) @[Bitwise.scala 103:21]
node _T_1157 = and(_T_1156, _T_1155) @[Bitwise.scala 103:31]
node _T_1158 = bits(_T_1152, 29, 0) @[Bitwise.scala 103:46]
node _T_1159 = shl(_T_1158, 2) @[Bitwise.scala 103:65]
node _T_1160 = not(_T_1155) @[Bitwise.scala 103:77]
node _T_1161 = and(_T_1159, _T_1160) @[Bitwise.scala 103:75]
node _T_1162 = or(_T_1157, _T_1161) @[Bitwise.scala 103:39]
node _T_1163 = bits(_T_1155, 30, 0) @[Bitwise.scala 102:28]
node _T_1164 = shl(_T_1163, 1) @[Bitwise.scala 102:47]
node _T_1165 = xor(_T_1155, _T_1164) @[Bitwise.scala 102:21]
node _T_1166 = shr(_T_1162, 1) @[Bitwise.scala 103:21]
node _T_1167 = and(_T_1166, _T_1165) @[Bitwise.scala 103:31]
node _T_1168 = bits(_T_1162, 30, 0) @[Bitwise.scala 103:46]
node _T_1169 = shl(_T_1168, 1) @[Bitwise.scala 103:65]
node _T_1170 = not(_T_1165) @[Bitwise.scala 103:77]
node _T_1171 = and(_T_1169, _T_1170) @[Bitwise.scala 103:75]
node _T_1172 = or(_T_1167, _T_1171) @[Bitwise.scala 103:39]
reg _T_1173 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_dccm_ctl.scala 283:72]
_T_1173 <= _T_1172 @[el2_lsu_dccm_ctl.scala 283:72]
io.store_data_lo_r <= _T_1173 @[el2_lsu_dccm_ctl.scala 283:29]
node _T_1174 = bits(store_byteen_ext_m, 4, 4) @[el2_lsu_dccm_ctl.scala 284:139]
node _T_1175 = bits(_T_1174, 0, 0) @[el2_lsu_dccm_ctl.scala 284:145]
node _T_1176 = bits(store_data_hi_m, 7, 0) @[el2_lsu_dccm_ctl.scala 284:167]
node _T_1177 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[el2_lsu_dccm_ctl.scala 284:211]
node _T_1178 = bits(_T_1177, 0, 0) @[el2_lsu_dccm_ctl.scala 284:237]
node _T_1179 = bits(io.stbuf_data_any, 7, 0) @[el2_lsu_dccm_ctl.scala 284:262]
node _T_1180 = bits(io.sec_data_hi_m, 7, 0) @[el2_lsu_dccm_ctl.scala 284:292]
node _T_1181 = mux(_T_1178, _T_1179, _T_1180) @[el2_lsu_dccm_ctl.scala 284:185]
node _T_1182 = mux(_T_1175, _T_1176, _T_1181) @[el2_lsu_dccm_ctl.scala 284:120]
node _T_1183 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1184 = xor(UInt<8>("h0ff"), _T_1183) @[Bitwise.scala 102:21]
node _T_1185 = shr(_T_1182, 4) @[Bitwise.scala 103:21]
node _T_1186 = and(_T_1185, _T_1184) @[Bitwise.scala 103:31]
node _T_1187 = bits(_T_1182, 3, 0) @[Bitwise.scala 103:46]
node _T_1188 = shl(_T_1187, 4) @[Bitwise.scala 103:65]
node _T_1189 = not(_T_1184) @[Bitwise.scala 103:77]
node _T_1190 = and(_T_1188, _T_1189) @[Bitwise.scala 103:75]
node _T_1191 = or(_T_1186, _T_1190) @[Bitwise.scala 103:39]
node _T_1192 = bits(_T_1184, 5, 0) @[Bitwise.scala 102:28]
node _T_1193 = shl(_T_1192, 2) @[Bitwise.scala 102:47]
node _T_1194 = xor(_T_1184, _T_1193) @[Bitwise.scala 102:21]
node _T_1195 = shr(_T_1191, 2) @[Bitwise.scala 103:21]
node _T_1196 = and(_T_1195, _T_1194) @[Bitwise.scala 103:31]
node _T_1197 = bits(_T_1191, 5, 0) @[Bitwise.scala 103:46]
node _T_1198 = shl(_T_1197, 2) @[Bitwise.scala 103:65]
node _T_1199 = not(_T_1194) @[Bitwise.scala 103:77]
node _T_1200 = and(_T_1198, _T_1199) @[Bitwise.scala 103:75]
node _T_1201 = or(_T_1196, _T_1200) @[Bitwise.scala 103:39]
node _T_1202 = bits(_T_1194, 6, 0) @[Bitwise.scala 102:28]
node _T_1203 = shl(_T_1202, 1) @[Bitwise.scala 102:47]
node _T_1204 = xor(_T_1194, _T_1203) @[Bitwise.scala 102:21]
node _T_1205 = shr(_T_1201, 1) @[Bitwise.scala 103:21]
node _T_1206 = and(_T_1205, _T_1204) @[Bitwise.scala 103:31]
node _T_1207 = bits(_T_1201, 6, 0) @[Bitwise.scala 103:46]
node _T_1208 = shl(_T_1207, 1) @[Bitwise.scala 103:65]
node _T_1209 = not(_T_1204) @[Bitwise.scala 103:77]
node _T_1210 = and(_T_1208, _T_1209) @[Bitwise.scala 103:75]
node _T_1211 = or(_T_1206, _T_1210) @[Bitwise.scala 103:39]
node _T_1212 = bits(store_byteen_ext_m, 5, 5) @[el2_lsu_dccm_ctl.scala 284:139]
node _T_1213 = bits(_T_1212, 0, 0) @[el2_lsu_dccm_ctl.scala 284:145]
node _T_1214 = bits(store_data_hi_m, 15, 8) @[el2_lsu_dccm_ctl.scala 284:167]
node _T_1215 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[el2_lsu_dccm_ctl.scala 284:211]
node _T_1216 = bits(_T_1215, 0, 0) @[el2_lsu_dccm_ctl.scala 284:237]
node _T_1217 = bits(io.stbuf_data_any, 15, 8) @[el2_lsu_dccm_ctl.scala 284:262]
node _T_1218 = bits(io.sec_data_hi_m, 15, 8) @[el2_lsu_dccm_ctl.scala 284:292]
node _T_1219 = mux(_T_1216, _T_1217, _T_1218) @[el2_lsu_dccm_ctl.scala 284:185]
node _T_1220 = mux(_T_1213, _T_1214, _T_1219) @[el2_lsu_dccm_ctl.scala 284:120]
node _T_1221 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1222 = xor(UInt<8>("h0ff"), _T_1221) @[Bitwise.scala 102:21]
node _T_1223 = shr(_T_1220, 4) @[Bitwise.scala 103:21]
node _T_1224 = and(_T_1223, _T_1222) @[Bitwise.scala 103:31]
node _T_1225 = bits(_T_1220, 3, 0) @[Bitwise.scala 103:46]
node _T_1226 = shl(_T_1225, 4) @[Bitwise.scala 103:65]
node _T_1227 = not(_T_1222) @[Bitwise.scala 103:77]
node _T_1228 = and(_T_1226, _T_1227) @[Bitwise.scala 103:75]
node _T_1229 = or(_T_1224, _T_1228) @[Bitwise.scala 103:39]
node _T_1230 = bits(_T_1222, 5, 0) @[Bitwise.scala 102:28]
node _T_1231 = shl(_T_1230, 2) @[Bitwise.scala 102:47]
node _T_1232 = xor(_T_1222, _T_1231) @[Bitwise.scala 102:21]
node _T_1233 = shr(_T_1229, 2) @[Bitwise.scala 103:21]
node _T_1234 = and(_T_1233, _T_1232) @[Bitwise.scala 103:31]
node _T_1235 = bits(_T_1229, 5, 0) @[Bitwise.scala 103:46]
node _T_1236 = shl(_T_1235, 2) @[Bitwise.scala 103:65]
node _T_1237 = not(_T_1232) @[Bitwise.scala 103:77]
node _T_1238 = and(_T_1236, _T_1237) @[Bitwise.scala 103:75]
node _T_1239 = or(_T_1234, _T_1238) @[Bitwise.scala 103:39]
node _T_1240 = bits(_T_1232, 6, 0) @[Bitwise.scala 102:28]
node _T_1241 = shl(_T_1240, 1) @[Bitwise.scala 102:47]
node _T_1242 = xor(_T_1232, _T_1241) @[Bitwise.scala 102:21]
node _T_1243 = shr(_T_1239, 1) @[Bitwise.scala 103:21]
node _T_1244 = and(_T_1243, _T_1242) @[Bitwise.scala 103:31]
node _T_1245 = bits(_T_1239, 6, 0) @[Bitwise.scala 103:46]
node _T_1246 = shl(_T_1245, 1) @[Bitwise.scala 103:65]
node _T_1247 = not(_T_1242) @[Bitwise.scala 103:77]
node _T_1248 = and(_T_1246, _T_1247) @[Bitwise.scala 103:75]
node _T_1249 = or(_T_1244, _T_1248) @[Bitwise.scala 103:39]
node _T_1250 = bits(store_byteen_ext_m, 6, 6) @[el2_lsu_dccm_ctl.scala 284:139]
node _T_1251 = bits(_T_1250, 0, 0) @[el2_lsu_dccm_ctl.scala 284:145]
node _T_1252 = bits(store_data_hi_m, 23, 16) @[el2_lsu_dccm_ctl.scala 284:167]
node _T_1253 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[el2_lsu_dccm_ctl.scala 284:211]
node _T_1254 = bits(_T_1253, 0, 0) @[el2_lsu_dccm_ctl.scala 284:237]
node _T_1255 = bits(io.stbuf_data_any, 23, 16) @[el2_lsu_dccm_ctl.scala 284:262]
node _T_1256 = bits(io.sec_data_hi_m, 23, 16) @[el2_lsu_dccm_ctl.scala 284:292]
node _T_1257 = mux(_T_1254, _T_1255, _T_1256) @[el2_lsu_dccm_ctl.scala 284:185]
node _T_1258 = mux(_T_1251, _T_1252, _T_1257) @[el2_lsu_dccm_ctl.scala 284:120]
node _T_1259 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1260 = xor(UInt<8>("h0ff"), _T_1259) @[Bitwise.scala 102:21]
node _T_1261 = shr(_T_1258, 4) @[Bitwise.scala 103:21]
node _T_1262 = and(_T_1261, _T_1260) @[Bitwise.scala 103:31]
node _T_1263 = bits(_T_1258, 3, 0) @[Bitwise.scala 103:46]
node _T_1264 = shl(_T_1263, 4) @[Bitwise.scala 103:65]
node _T_1265 = not(_T_1260) @[Bitwise.scala 103:77]
node _T_1266 = and(_T_1264, _T_1265) @[Bitwise.scala 103:75]
node _T_1267 = or(_T_1262, _T_1266) @[Bitwise.scala 103:39]
node _T_1268 = bits(_T_1260, 5, 0) @[Bitwise.scala 102:28]
node _T_1269 = shl(_T_1268, 2) @[Bitwise.scala 102:47]
node _T_1270 = xor(_T_1260, _T_1269) @[Bitwise.scala 102:21]
node _T_1271 = shr(_T_1267, 2) @[Bitwise.scala 103:21]
node _T_1272 = and(_T_1271, _T_1270) @[Bitwise.scala 103:31]
node _T_1273 = bits(_T_1267, 5, 0) @[Bitwise.scala 103:46]
node _T_1274 = shl(_T_1273, 2) @[Bitwise.scala 103:65]
node _T_1275 = not(_T_1270) @[Bitwise.scala 103:77]
node _T_1276 = and(_T_1274, _T_1275) @[Bitwise.scala 103:75]
node _T_1277 = or(_T_1272, _T_1276) @[Bitwise.scala 103:39]
node _T_1278 = bits(_T_1270, 6, 0) @[Bitwise.scala 102:28]
node _T_1279 = shl(_T_1278, 1) @[Bitwise.scala 102:47]
node _T_1280 = xor(_T_1270, _T_1279) @[Bitwise.scala 102:21]
node _T_1281 = shr(_T_1277, 1) @[Bitwise.scala 103:21]
node _T_1282 = and(_T_1281, _T_1280) @[Bitwise.scala 103:31]
node _T_1283 = bits(_T_1277, 6, 0) @[Bitwise.scala 103:46]
node _T_1284 = shl(_T_1283, 1) @[Bitwise.scala 103:65]
node _T_1285 = not(_T_1280) @[Bitwise.scala 103:77]
node _T_1286 = and(_T_1284, _T_1285) @[Bitwise.scala 103:75]
node _T_1287 = or(_T_1282, _T_1286) @[Bitwise.scala 103:39]
node _T_1288 = bits(store_byteen_ext_m, 7, 7) @[el2_lsu_dccm_ctl.scala 284:139]
node _T_1289 = bits(_T_1288, 0, 0) @[el2_lsu_dccm_ctl.scala 284:145]
node _T_1290 = bits(store_data_hi_m, 31, 24) @[el2_lsu_dccm_ctl.scala 284:167]
node _T_1291 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[el2_lsu_dccm_ctl.scala 284:211]
node _T_1292 = bits(_T_1291, 0, 0) @[el2_lsu_dccm_ctl.scala 284:237]
node _T_1293 = bits(io.stbuf_data_any, 31, 24) @[el2_lsu_dccm_ctl.scala 284:262]
node _T_1294 = bits(io.sec_data_hi_m, 31, 24) @[el2_lsu_dccm_ctl.scala 284:292]
node _T_1295 = mux(_T_1292, _T_1293, _T_1294) @[el2_lsu_dccm_ctl.scala 284:185]
node _T_1296 = mux(_T_1289, _T_1290, _T_1295) @[el2_lsu_dccm_ctl.scala 284:120]
node _T_1297 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1298 = xor(UInt<8>("h0ff"), _T_1297) @[Bitwise.scala 102:21]
node _T_1299 = shr(_T_1296, 4) @[Bitwise.scala 103:21]
node _T_1300 = and(_T_1299, _T_1298) @[Bitwise.scala 103:31]
node _T_1301 = bits(_T_1296, 3, 0) @[Bitwise.scala 103:46]
node _T_1302 = shl(_T_1301, 4) @[Bitwise.scala 103:65]
node _T_1303 = not(_T_1298) @[Bitwise.scala 103:77]
node _T_1304 = and(_T_1302, _T_1303) @[Bitwise.scala 103:75]
node _T_1305 = or(_T_1300, _T_1304) @[Bitwise.scala 103:39]
node _T_1306 = bits(_T_1298, 5, 0) @[Bitwise.scala 102:28]
node _T_1307 = shl(_T_1306, 2) @[Bitwise.scala 102:47]
node _T_1308 = xor(_T_1298, _T_1307) @[Bitwise.scala 102:21]
node _T_1309 = shr(_T_1305, 2) @[Bitwise.scala 103:21]
node _T_1310 = and(_T_1309, _T_1308) @[Bitwise.scala 103:31]
node _T_1311 = bits(_T_1305, 5, 0) @[Bitwise.scala 103:46]
node _T_1312 = shl(_T_1311, 2) @[Bitwise.scala 103:65]
node _T_1313 = not(_T_1308) @[Bitwise.scala 103:77]
node _T_1314 = and(_T_1312, _T_1313) @[Bitwise.scala 103:75]
node _T_1315 = or(_T_1310, _T_1314) @[Bitwise.scala 103:39]
node _T_1316 = bits(_T_1308, 6, 0) @[Bitwise.scala 102:28]
node _T_1317 = shl(_T_1316, 1) @[Bitwise.scala 102:47]
node _T_1318 = xor(_T_1308, _T_1317) @[Bitwise.scala 102:21]
node _T_1319 = shr(_T_1315, 1) @[Bitwise.scala 103:21]
node _T_1320 = and(_T_1319, _T_1318) @[Bitwise.scala 103:31]
node _T_1321 = bits(_T_1315, 6, 0) @[Bitwise.scala 103:46]
node _T_1322 = shl(_T_1321, 1) @[Bitwise.scala 103:65]
node _T_1323 = not(_T_1318) @[Bitwise.scala 103:77]
node _T_1324 = and(_T_1322, _T_1323) @[Bitwise.scala 103:75]
node _T_1325 = or(_T_1320, _T_1324) @[Bitwise.scala 103:39]
wire _T_1326 : UInt<8>[4] @[el2_lsu_dccm_ctl.scala 284:104]
_T_1326[0] <= _T_1211 @[el2_lsu_dccm_ctl.scala 284:104]
_T_1326[1] <= _T_1249 @[el2_lsu_dccm_ctl.scala 284:104]
_T_1326[2] <= _T_1287 @[el2_lsu_dccm_ctl.scala 284:104]
_T_1326[3] <= _T_1325 @[el2_lsu_dccm_ctl.scala 284:104]
node _T_1327 = cat(_T_1326[2], _T_1326[3]) @[Cat.scala 29:58]
node _T_1328 = cat(_T_1326[0], _T_1326[1]) @[Cat.scala 29:58]
node _T_1329 = cat(_T_1328, _T_1327) @[Cat.scala 29:58]
node _T_1330 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1331 = xor(UInt<32>("h0ffffffff"), _T_1330) @[Bitwise.scala 102:21]
node _T_1332 = shr(_T_1329, 16) @[Bitwise.scala 103:21]
node _T_1333 = and(_T_1332, _T_1331) @[Bitwise.scala 103:31]
node _T_1334 = bits(_T_1329, 15, 0) @[Bitwise.scala 103:46]
node _T_1335 = shl(_T_1334, 16) @[Bitwise.scala 103:65]
node _T_1336 = not(_T_1331) @[Bitwise.scala 103:77]
node _T_1337 = and(_T_1335, _T_1336) @[Bitwise.scala 103:75]
node _T_1338 = or(_T_1333, _T_1337) @[Bitwise.scala 103:39]
node _T_1339 = bits(_T_1331, 23, 0) @[Bitwise.scala 102:28]
node _T_1340 = shl(_T_1339, 8) @[Bitwise.scala 102:47]
node _T_1341 = xor(_T_1331, _T_1340) @[Bitwise.scala 102:21]
node _T_1342 = shr(_T_1338, 8) @[Bitwise.scala 103:21]
node _T_1343 = and(_T_1342, _T_1341) @[Bitwise.scala 103:31]
node _T_1344 = bits(_T_1338, 23, 0) @[Bitwise.scala 103:46]
node _T_1345 = shl(_T_1344, 8) @[Bitwise.scala 103:65]
node _T_1346 = not(_T_1341) @[Bitwise.scala 103:77]
node _T_1347 = and(_T_1345, _T_1346) @[Bitwise.scala 103:75]
node _T_1348 = or(_T_1343, _T_1347) @[Bitwise.scala 103:39]
node _T_1349 = bits(_T_1341, 27, 0) @[Bitwise.scala 102:28]
node _T_1350 = shl(_T_1349, 4) @[Bitwise.scala 102:47]
node _T_1351 = xor(_T_1341, _T_1350) @[Bitwise.scala 102:21]
node _T_1352 = shr(_T_1348, 4) @[Bitwise.scala 103:21]
node _T_1353 = and(_T_1352, _T_1351) @[Bitwise.scala 103:31]
node _T_1354 = bits(_T_1348, 27, 0) @[Bitwise.scala 103:46]
node _T_1355 = shl(_T_1354, 4) @[Bitwise.scala 103:65]
node _T_1356 = not(_T_1351) @[Bitwise.scala 103:77]
node _T_1357 = and(_T_1355, _T_1356) @[Bitwise.scala 103:75]
node _T_1358 = or(_T_1353, _T_1357) @[Bitwise.scala 103:39]
node _T_1359 = bits(_T_1351, 29, 0) @[Bitwise.scala 102:28]
node _T_1360 = shl(_T_1359, 2) @[Bitwise.scala 102:47]
node _T_1361 = xor(_T_1351, _T_1360) @[Bitwise.scala 102:21]
node _T_1362 = shr(_T_1358, 2) @[Bitwise.scala 103:21]
node _T_1363 = and(_T_1362, _T_1361) @[Bitwise.scala 103:31]
node _T_1364 = bits(_T_1358, 29, 0) @[Bitwise.scala 103:46]
node _T_1365 = shl(_T_1364, 2) @[Bitwise.scala 103:65]
node _T_1366 = not(_T_1361) @[Bitwise.scala 103:77]
node _T_1367 = and(_T_1365, _T_1366) @[Bitwise.scala 103:75]
node _T_1368 = or(_T_1363, _T_1367) @[Bitwise.scala 103:39]
node _T_1369 = bits(_T_1361, 30, 0) @[Bitwise.scala 102:28]
node _T_1370 = shl(_T_1369, 1) @[Bitwise.scala 102:47]
node _T_1371 = xor(_T_1361, _T_1370) @[Bitwise.scala 102:21]
node _T_1372 = shr(_T_1368, 1) @[Bitwise.scala 103:21]
node _T_1373 = and(_T_1372, _T_1371) @[Bitwise.scala 103:31]
node _T_1374 = bits(_T_1368, 30, 0) @[Bitwise.scala 103:46]
node _T_1375 = shl(_T_1374, 1) @[Bitwise.scala 103:65]
node _T_1376 = not(_T_1371) @[Bitwise.scala 103:77]
node _T_1377 = and(_T_1375, _T_1376) @[Bitwise.scala 103:75]
node _T_1378 = or(_T_1373, _T_1377) @[Bitwise.scala 103:39]
reg _T_1379 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_dccm_ctl.scala 284:72]
_T_1379 <= _T_1378 @[el2_lsu_dccm_ctl.scala 284:72]
io.store_data_hi_r <= _T_1379 @[el2_lsu_dccm_ctl.scala 284:29]
node _T_1380 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[el2_lsu_dccm_ctl.scala 285:105]
node _T_1381 = bits(store_byteen_ext_r, 0, 0) @[el2_lsu_dccm_ctl.scala 285:150]
node _T_1382 = eq(_T_1381, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 285:131]
node _T_1383 = and(_T_1380, _T_1382) @[el2_lsu_dccm_ctl.scala 285:129]
node _T_1384 = bits(_T_1383, 0, 0) @[el2_lsu_dccm_ctl.scala 285:155]
node _T_1385 = bits(io.stbuf_data_any, 7, 0) @[el2_lsu_dccm_ctl.scala 285:179]
node _T_1386 = bits(io.store_data_lo_r, 7, 0) @[el2_lsu_dccm_ctl.scala 285:211]
node _T_1387 = mux(_T_1384, _T_1385, _T_1386) @[el2_lsu_dccm_ctl.scala 285:79]
node _T_1388 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1389 = xor(UInt<8>("h0ff"), _T_1388) @[Bitwise.scala 102:21]
node _T_1390 = shr(_T_1387, 4) @[Bitwise.scala 103:21]
node _T_1391 = and(_T_1390, _T_1389) @[Bitwise.scala 103:31]
node _T_1392 = bits(_T_1387, 3, 0) @[Bitwise.scala 103:46]
node _T_1393 = shl(_T_1392, 4) @[Bitwise.scala 103:65]
node _T_1394 = not(_T_1389) @[Bitwise.scala 103:77]
node _T_1395 = and(_T_1393, _T_1394) @[Bitwise.scala 103:75]
node _T_1396 = or(_T_1391, _T_1395) @[Bitwise.scala 103:39]
node _T_1397 = bits(_T_1389, 5, 0) @[Bitwise.scala 102:28]
node _T_1398 = shl(_T_1397, 2) @[Bitwise.scala 102:47]
node _T_1399 = xor(_T_1389, _T_1398) @[Bitwise.scala 102:21]
node _T_1400 = shr(_T_1396, 2) @[Bitwise.scala 103:21]
node _T_1401 = and(_T_1400, _T_1399) @[Bitwise.scala 103:31]
node _T_1402 = bits(_T_1396, 5, 0) @[Bitwise.scala 103:46]
node _T_1403 = shl(_T_1402, 2) @[Bitwise.scala 103:65]
node _T_1404 = not(_T_1399) @[Bitwise.scala 103:77]
node _T_1405 = and(_T_1403, _T_1404) @[Bitwise.scala 103:75]
node _T_1406 = or(_T_1401, _T_1405) @[Bitwise.scala 103:39]
node _T_1407 = bits(_T_1399, 6, 0) @[Bitwise.scala 102:28]
node _T_1408 = shl(_T_1407, 1) @[Bitwise.scala 102:47]
node _T_1409 = xor(_T_1399, _T_1408) @[Bitwise.scala 102:21]
node _T_1410 = shr(_T_1406, 1) @[Bitwise.scala 103:21]
node _T_1411 = and(_T_1410, _T_1409) @[Bitwise.scala 103:31]
node _T_1412 = bits(_T_1406, 6, 0) @[Bitwise.scala 103:46]
node _T_1413 = shl(_T_1412, 1) @[Bitwise.scala 103:65]
node _T_1414 = not(_T_1409) @[Bitwise.scala 103:77]
node _T_1415 = and(_T_1413, _T_1414) @[Bitwise.scala 103:75]
node _T_1416 = or(_T_1411, _T_1415) @[Bitwise.scala 103:39]
node _T_1417 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[el2_lsu_dccm_ctl.scala 285:105]
node _T_1418 = bits(store_byteen_ext_r, 1, 1) @[el2_lsu_dccm_ctl.scala 285:150]
node _T_1419 = eq(_T_1418, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 285:131]
node _T_1420 = and(_T_1417, _T_1419) @[el2_lsu_dccm_ctl.scala 285:129]
node _T_1421 = bits(_T_1420, 0, 0) @[el2_lsu_dccm_ctl.scala 285:155]
node _T_1422 = bits(io.stbuf_data_any, 15, 8) @[el2_lsu_dccm_ctl.scala 285:179]
node _T_1423 = bits(io.store_data_lo_r, 15, 8) @[el2_lsu_dccm_ctl.scala 285:211]
node _T_1424 = mux(_T_1421, _T_1422, _T_1423) @[el2_lsu_dccm_ctl.scala 285:79]
node _T_1425 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1426 = xor(UInt<8>("h0ff"), _T_1425) @[Bitwise.scala 102:21]
node _T_1427 = shr(_T_1424, 4) @[Bitwise.scala 103:21]
node _T_1428 = and(_T_1427, _T_1426) @[Bitwise.scala 103:31]
node _T_1429 = bits(_T_1424, 3, 0) @[Bitwise.scala 103:46]
node _T_1430 = shl(_T_1429, 4) @[Bitwise.scala 103:65]
node _T_1431 = not(_T_1426) @[Bitwise.scala 103:77]
node _T_1432 = and(_T_1430, _T_1431) @[Bitwise.scala 103:75]
node _T_1433 = or(_T_1428, _T_1432) @[Bitwise.scala 103:39]
node _T_1434 = bits(_T_1426, 5, 0) @[Bitwise.scala 102:28]
node _T_1435 = shl(_T_1434, 2) @[Bitwise.scala 102:47]
node _T_1436 = xor(_T_1426, _T_1435) @[Bitwise.scala 102:21]
node _T_1437 = shr(_T_1433, 2) @[Bitwise.scala 103:21]
node _T_1438 = and(_T_1437, _T_1436) @[Bitwise.scala 103:31]
node _T_1439 = bits(_T_1433, 5, 0) @[Bitwise.scala 103:46]
node _T_1440 = shl(_T_1439, 2) @[Bitwise.scala 103:65]
node _T_1441 = not(_T_1436) @[Bitwise.scala 103:77]
node _T_1442 = and(_T_1440, _T_1441) @[Bitwise.scala 103:75]
node _T_1443 = or(_T_1438, _T_1442) @[Bitwise.scala 103:39]
node _T_1444 = bits(_T_1436, 6, 0) @[Bitwise.scala 102:28]
node _T_1445 = shl(_T_1444, 1) @[Bitwise.scala 102:47]
node _T_1446 = xor(_T_1436, _T_1445) @[Bitwise.scala 102:21]
node _T_1447 = shr(_T_1443, 1) @[Bitwise.scala 103:21]
node _T_1448 = and(_T_1447, _T_1446) @[Bitwise.scala 103:31]
node _T_1449 = bits(_T_1443, 6, 0) @[Bitwise.scala 103:46]
node _T_1450 = shl(_T_1449, 1) @[Bitwise.scala 103:65]
node _T_1451 = not(_T_1446) @[Bitwise.scala 103:77]
node _T_1452 = and(_T_1450, _T_1451) @[Bitwise.scala 103:75]
node _T_1453 = or(_T_1448, _T_1452) @[Bitwise.scala 103:39]
node _T_1454 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[el2_lsu_dccm_ctl.scala 285:105]
node _T_1455 = bits(store_byteen_ext_r, 2, 2) @[el2_lsu_dccm_ctl.scala 285:150]
node _T_1456 = eq(_T_1455, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 285:131]
node _T_1457 = and(_T_1454, _T_1456) @[el2_lsu_dccm_ctl.scala 285:129]
node _T_1458 = bits(_T_1457, 0, 0) @[el2_lsu_dccm_ctl.scala 285:155]
node _T_1459 = bits(io.stbuf_data_any, 23, 16) @[el2_lsu_dccm_ctl.scala 285:179]
node _T_1460 = bits(io.store_data_lo_r, 23, 16) @[el2_lsu_dccm_ctl.scala 285:211]
node _T_1461 = mux(_T_1458, _T_1459, _T_1460) @[el2_lsu_dccm_ctl.scala 285:79]
node _T_1462 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1463 = xor(UInt<8>("h0ff"), _T_1462) @[Bitwise.scala 102:21]
node _T_1464 = shr(_T_1461, 4) @[Bitwise.scala 103:21]
node _T_1465 = and(_T_1464, _T_1463) @[Bitwise.scala 103:31]
node _T_1466 = bits(_T_1461, 3, 0) @[Bitwise.scala 103:46]
node _T_1467 = shl(_T_1466, 4) @[Bitwise.scala 103:65]
node _T_1468 = not(_T_1463) @[Bitwise.scala 103:77]
node _T_1469 = and(_T_1467, _T_1468) @[Bitwise.scala 103:75]
node _T_1470 = or(_T_1465, _T_1469) @[Bitwise.scala 103:39]
node _T_1471 = bits(_T_1463, 5, 0) @[Bitwise.scala 102:28]
node _T_1472 = shl(_T_1471, 2) @[Bitwise.scala 102:47]
node _T_1473 = xor(_T_1463, _T_1472) @[Bitwise.scala 102:21]
node _T_1474 = shr(_T_1470, 2) @[Bitwise.scala 103:21]
node _T_1475 = and(_T_1474, _T_1473) @[Bitwise.scala 103:31]
node _T_1476 = bits(_T_1470, 5, 0) @[Bitwise.scala 103:46]
node _T_1477 = shl(_T_1476, 2) @[Bitwise.scala 103:65]
node _T_1478 = not(_T_1473) @[Bitwise.scala 103:77]
node _T_1479 = and(_T_1477, _T_1478) @[Bitwise.scala 103:75]
node _T_1480 = or(_T_1475, _T_1479) @[Bitwise.scala 103:39]
node _T_1481 = bits(_T_1473, 6, 0) @[Bitwise.scala 102:28]
node _T_1482 = shl(_T_1481, 1) @[Bitwise.scala 102:47]
node _T_1483 = xor(_T_1473, _T_1482) @[Bitwise.scala 102:21]
node _T_1484 = shr(_T_1480, 1) @[Bitwise.scala 103:21]
node _T_1485 = and(_T_1484, _T_1483) @[Bitwise.scala 103:31]
node _T_1486 = bits(_T_1480, 6, 0) @[Bitwise.scala 103:46]
node _T_1487 = shl(_T_1486, 1) @[Bitwise.scala 103:65]
node _T_1488 = not(_T_1483) @[Bitwise.scala 103:77]
node _T_1489 = and(_T_1487, _T_1488) @[Bitwise.scala 103:75]
node _T_1490 = or(_T_1485, _T_1489) @[Bitwise.scala 103:39]
node _T_1491 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[el2_lsu_dccm_ctl.scala 285:105]
node _T_1492 = bits(store_byteen_ext_r, 3, 3) @[el2_lsu_dccm_ctl.scala 285:150]
node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 285:131]
node _T_1494 = and(_T_1491, _T_1493) @[el2_lsu_dccm_ctl.scala 285:129]
node _T_1495 = bits(_T_1494, 0, 0) @[el2_lsu_dccm_ctl.scala 285:155]
node _T_1496 = bits(io.stbuf_data_any, 31, 24) @[el2_lsu_dccm_ctl.scala 285:179]
node _T_1497 = bits(io.store_data_lo_r, 31, 24) @[el2_lsu_dccm_ctl.scala 285:211]
node _T_1498 = mux(_T_1495, _T_1496, _T_1497) @[el2_lsu_dccm_ctl.scala 285:79]
node _T_1499 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1500 = xor(UInt<8>("h0ff"), _T_1499) @[Bitwise.scala 102:21]
node _T_1501 = shr(_T_1498, 4) @[Bitwise.scala 103:21]
node _T_1502 = and(_T_1501, _T_1500) @[Bitwise.scala 103:31]
node _T_1503 = bits(_T_1498, 3, 0) @[Bitwise.scala 103:46]
node _T_1504 = shl(_T_1503, 4) @[Bitwise.scala 103:65]
node _T_1505 = not(_T_1500) @[Bitwise.scala 103:77]
node _T_1506 = and(_T_1504, _T_1505) @[Bitwise.scala 103:75]
node _T_1507 = or(_T_1502, _T_1506) @[Bitwise.scala 103:39]
node _T_1508 = bits(_T_1500, 5, 0) @[Bitwise.scala 102:28]
node _T_1509 = shl(_T_1508, 2) @[Bitwise.scala 102:47]
node _T_1510 = xor(_T_1500, _T_1509) @[Bitwise.scala 102:21]
node _T_1511 = shr(_T_1507, 2) @[Bitwise.scala 103:21]
node _T_1512 = and(_T_1511, _T_1510) @[Bitwise.scala 103:31]
node _T_1513 = bits(_T_1507, 5, 0) @[Bitwise.scala 103:46]
node _T_1514 = shl(_T_1513, 2) @[Bitwise.scala 103:65]
node _T_1515 = not(_T_1510) @[Bitwise.scala 103:77]
node _T_1516 = and(_T_1514, _T_1515) @[Bitwise.scala 103:75]
node _T_1517 = or(_T_1512, _T_1516) @[Bitwise.scala 103:39]
node _T_1518 = bits(_T_1510, 6, 0) @[Bitwise.scala 102:28]
node _T_1519 = shl(_T_1518, 1) @[Bitwise.scala 102:47]
node _T_1520 = xor(_T_1510, _T_1519) @[Bitwise.scala 102:21]
node _T_1521 = shr(_T_1517, 1) @[Bitwise.scala 103:21]
node _T_1522 = and(_T_1521, _T_1520) @[Bitwise.scala 103:31]
node _T_1523 = bits(_T_1517, 6, 0) @[Bitwise.scala 103:46]
node _T_1524 = shl(_T_1523, 1) @[Bitwise.scala 103:65]
node _T_1525 = not(_T_1520) @[Bitwise.scala 103:77]
node _T_1526 = and(_T_1524, _T_1525) @[Bitwise.scala 103:75]
node _T_1527 = or(_T_1522, _T_1526) @[Bitwise.scala 103:39]
wire _T_1528 : UInt<8>[4] @[el2_lsu_dccm_ctl.scala 285:63]
_T_1528[0] <= _T_1416 @[el2_lsu_dccm_ctl.scala 285:63]
_T_1528[1] <= _T_1453 @[el2_lsu_dccm_ctl.scala 285:63]
_T_1528[2] <= _T_1490 @[el2_lsu_dccm_ctl.scala 285:63]
_T_1528[3] <= _T_1527 @[el2_lsu_dccm_ctl.scala 285:63]
node _T_1529 = cat(_T_1528[2], _T_1528[3]) @[Cat.scala 29:58]
node _T_1530 = cat(_T_1528[0], _T_1528[1]) @[Cat.scala 29:58]
node _T_1531 = cat(_T_1530, _T_1529) @[Cat.scala 29:58]
node _T_1532 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1533 = xor(UInt<32>("h0ffffffff"), _T_1532) @[Bitwise.scala 102:21]
node _T_1534 = shr(_T_1531, 16) @[Bitwise.scala 103:21]
node _T_1535 = and(_T_1534, _T_1533) @[Bitwise.scala 103:31]
node _T_1536 = bits(_T_1531, 15, 0) @[Bitwise.scala 103:46]
node _T_1537 = shl(_T_1536, 16) @[Bitwise.scala 103:65]
node _T_1538 = not(_T_1533) @[Bitwise.scala 103:77]
node _T_1539 = and(_T_1537, _T_1538) @[Bitwise.scala 103:75]
node _T_1540 = or(_T_1535, _T_1539) @[Bitwise.scala 103:39]
node _T_1541 = bits(_T_1533, 23, 0) @[Bitwise.scala 102:28]
node _T_1542 = shl(_T_1541, 8) @[Bitwise.scala 102:47]
node _T_1543 = xor(_T_1533, _T_1542) @[Bitwise.scala 102:21]
node _T_1544 = shr(_T_1540, 8) @[Bitwise.scala 103:21]
node _T_1545 = and(_T_1544, _T_1543) @[Bitwise.scala 103:31]
node _T_1546 = bits(_T_1540, 23, 0) @[Bitwise.scala 103:46]
node _T_1547 = shl(_T_1546, 8) @[Bitwise.scala 103:65]
node _T_1548 = not(_T_1543) @[Bitwise.scala 103:77]
node _T_1549 = and(_T_1547, _T_1548) @[Bitwise.scala 103:75]
node _T_1550 = or(_T_1545, _T_1549) @[Bitwise.scala 103:39]
node _T_1551 = bits(_T_1543, 27, 0) @[Bitwise.scala 102:28]
node _T_1552 = shl(_T_1551, 4) @[Bitwise.scala 102:47]
node _T_1553 = xor(_T_1543, _T_1552) @[Bitwise.scala 102:21]
node _T_1554 = shr(_T_1550, 4) @[Bitwise.scala 103:21]
node _T_1555 = and(_T_1554, _T_1553) @[Bitwise.scala 103:31]
node _T_1556 = bits(_T_1550, 27, 0) @[Bitwise.scala 103:46]
node _T_1557 = shl(_T_1556, 4) @[Bitwise.scala 103:65]
node _T_1558 = not(_T_1553) @[Bitwise.scala 103:77]
node _T_1559 = and(_T_1557, _T_1558) @[Bitwise.scala 103:75]
node _T_1560 = or(_T_1555, _T_1559) @[Bitwise.scala 103:39]
node _T_1561 = bits(_T_1553, 29, 0) @[Bitwise.scala 102:28]
node _T_1562 = shl(_T_1561, 2) @[Bitwise.scala 102:47]
node _T_1563 = xor(_T_1553, _T_1562) @[Bitwise.scala 102:21]
node _T_1564 = shr(_T_1560, 2) @[Bitwise.scala 103:21]
node _T_1565 = and(_T_1564, _T_1563) @[Bitwise.scala 103:31]
node _T_1566 = bits(_T_1560, 29, 0) @[Bitwise.scala 103:46]
node _T_1567 = shl(_T_1566, 2) @[Bitwise.scala 103:65]
node _T_1568 = not(_T_1563) @[Bitwise.scala 103:77]
node _T_1569 = and(_T_1567, _T_1568) @[Bitwise.scala 103:75]
node _T_1570 = or(_T_1565, _T_1569) @[Bitwise.scala 103:39]
node _T_1571 = bits(_T_1563, 30, 0) @[Bitwise.scala 102:28]
node _T_1572 = shl(_T_1571, 1) @[Bitwise.scala 102:47]
node _T_1573 = xor(_T_1563, _T_1572) @[Bitwise.scala 102:21]
node _T_1574 = shr(_T_1570, 1) @[Bitwise.scala 103:21]
node _T_1575 = and(_T_1574, _T_1573) @[Bitwise.scala 103:31]
node _T_1576 = bits(_T_1570, 30, 0) @[Bitwise.scala 103:46]
node _T_1577 = shl(_T_1576, 1) @[Bitwise.scala 103:65]
node _T_1578 = not(_T_1573) @[Bitwise.scala 103:77]
node _T_1579 = and(_T_1577, _T_1578) @[Bitwise.scala 103:75]
node _T_1580 = or(_T_1575, _T_1579) @[Bitwise.scala 103:39]
io.store_datafn_lo_r <= _T_1580 @[el2_lsu_dccm_ctl.scala 285:29]
node _T_1581 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[el2_lsu_dccm_ctl.scala 286:105]
node _T_1582 = bits(store_byteen_ext_r, 4, 4) @[el2_lsu_dccm_ctl.scala 286:150]
node _T_1583 = eq(_T_1582, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 286:131]
node _T_1584 = and(_T_1581, _T_1583) @[el2_lsu_dccm_ctl.scala 286:129]
node _T_1585 = bits(_T_1584, 0, 0) @[el2_lsu_dccm_ctl.scala 286:157]
node _T_1586 = bits(io.stbuf_data_any, 7, 0) @[el2_lsu_dccm_ctl.scala 286:181]
node _T_1587 = bits(io.store_data_hi_r, 7, 0) @[el2_lsu_dccm_ctl.scala 286:213]
node _T_1588 = mux(_T_1585, _T_1586, _T_1587) @[el2_lsu_dccm_ctl.scala 286:79]
node _T_1589 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1590 = xor(UInt<8>("h0ff"), _T_1589) @[Bitwise.scala 102:21]
node _T_1591 = shr(_T_1588, 4) @[Bitwise.scala 103:21]
node _T_1592 = and(_T_1591, _T_1590) @[Bitwise.scala 103:31]
node _T_1593 = bits(_T_1588, 3, 0) @[Bitwise.scala 103:46]
node _T_1594 = shl(_T_1593, 4) @[Bitwise.scala 103:65]
node _T_1595 = not(_T_1590) @[Bitwise.scala 103:77]
node _T_1596 = and(_T_1594, _T_1595) @[Bitwise.scala 103:75]
node _T_1597 = or(_T_1592, _T_1596) @[Bitwise.scala 103:39]
node _T_1598 = bits(_T_1590, 5, 0) @[Bitwise.scala 102:28]
node _T_1599 = shl(_T_1598, 2) @[Bitwise.scala 102:47]
node _T_1600 = xor(_T_1590, _T_1599) @[Bitwise.scala 102:21]
node _T_1601 = shr(_T_1597, 2) @[Bitwise.scala 103:21]
node _T_1602 = and(_T_1601, _T_1600) @[Bitwise.scala 103:31]
node _T_1603 = bits(_T_1597, 5, 0) @[Bitwise.scala 103:46]
node _T_1604 = shl(_T_1603, 2) @[Bitwise.scala 103:65]
node _T_1605 = not(_T_1600) @[Bitwise.scala 103:77]
node _T_1606 = and(_T_1604, _T_1605) @[Bitwise.scala 103:75]
node _T_1607 = or(_T_1602, _T_1606) @[Bitwise.scala 103:39]
node _T_1608 = bits(_T_1600, 6, 0) @[Bitwise.scala 102:28]
node _T_1609 = shl(_T_1608, 1) @[Bitwise.scala 102:47]
node _T_1610 = xor(_T_1600, _T_1609) @[Bitwise.scala 102:21]
node _T_1611 = shr(_T_1607, 1) @[Bitwise.scala 103:21]
node _T_1612 = and(_T_1611, _T_1610) @[Bitwise.scala 103:31]
node _T_1613 = bits(_T_1607, 6, 0) @[Bitwise.scala 103:46]
node _T_1614 = shl(_T_1613, 1) @[Bitwise.scala 103:65]
node _T_1615 = not(_T_1610) @[Bitwise.scala 103:77]
node _T_1616 = and(_T_1614, _T_1615) @[Bitwise.scala 103:75]
node _T_1617 = or(_T_1612, _T_1616) @[Bitwise.scala 103:39]
node _T_1618 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[el2_lsu_dccm_ctl.scala 286:105]
node _T_1619 = bits(store_byteen_ext_r, 5, 5) @[el2_lsu_dccm_ctl.scala 286:150]
node _T_1620 = eq(_T_1619, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 286:131]
node _T_1621 = and(_T_1618, _T_1620) @[el2_lsu_dccm_ctl.scala 286:129]
node _T_1622 = bits(_T_1621, 0, 0) @[el2_lsu_dccm_ctl.scala 286:157]
node _T_1623 = bits(io.stbuf_data_any, 15, 8) @[el2_lsu_dccm_ctl.scala 286:181]
node _T_1624 = bits(io.store_data_hi_r, 15, 8) @[el2_lsu_dccm_ctl.scala 286:213]
node _T_1625 = mux(_T_1622, _T_1623, _T_1624) @[el2_lsu_dccm_ctl.scala 286:79]
node _T_1626 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1627 = xor(UInt<8>("h0ff"), _T_1626) @[Bitwise.scala 102:21]
node _T_1628 = shr(_T_1625, 4) @[Bitwise.scala 103:21]
node _T_1629 = and(_T_1628, _T_1627) @[Bitwise.scala 103:31]
node _T_1630 = bits(_T_1625, 3, 0) @[Bitwise.scala 103:46]
node _T_1631 = shl(_T_1630, 4) @[Bitwise.scala 103:65]
node _T_1632 = not(_T_1627) @[Bitwise.scala 103:77]
node _T_1633 = and(_T_1631, _T_1632) @[Bitwise.scala 103:75]
node _T_1634 = or(_T_1629, _T_1633) @[Bitwise.scala 103:39]
node _T_1635 = bits(_T_1627, 5, 0) @[Bitwise.scala 102:28]
node _T_1636 = shl(_T_1635, 2) @[Bitwise.scala 102:47]
node _T_1637 = xor(_T_1627, _T_1636) @[Bitwise.scala 102:21]
node _T_1638 = shr(_T_1634, 2) @[Bitwise.scala 103:21]
node _T_1639 = and(_T_1638, _T_1637) @[Bitwise.scala 103:31]
node _T_1640 = bits(_T_1634, 5, 0) @[Bitwise.scala 103:46]
node _T_1641 = shl(_T_1640, 2) @[Bitwise.scala 103:65]
node _T_1642 = not(_T_1637) @[Bitwise.scala 103:77]
node _T_1643 = and(_T_1641, _T_1642) @[Bitwise.scala 103:75]
node _T_1644 = or(_T_1639, _T_1643) @[Bitwise.scala 103:39]
node _T_1645 = bits(_T_1637, 6, 0) @[Bitwise.scala 102:28]
node _T_1646 = shl(_T_1645, 1) @[Bitwise.scala 102:47]
node _T_1647 = xor(_T_1637, _T_1646) @[Bitwise.scala 102:21]
node _T_1648 = shr(_T_1644, 1) @[Bitwise.scala 103:21]
node _T_1649 = and(_T_1648, _T_1647) @[Bitwise.scala 103:31]
node _T_1650 = bits(_T_1644, 6, 0) @[Bitwise.scala 103:46]
node _T_1651 = shl(_T_1650, 1) @[Bitwise.scala 103:65]
node _T_1652 = not(_T_1647) @[Bitwise.scala 103:77]
node _T_1653 = and(_T_1651, _T_1652) @[Bitwise.scala 103:75]
node _T_1654 = or(_T_1649, _T_1653) @[Bitwise.scala 103:39]
node _T_1655 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[el2_lsu_dccm_ctl.scala 286:105]
node _T_1656 = bits(store_byteen_ext_r, 6, 6) @[el2_lsu_dccm_ctl.scala 286:150]
node _T_1657 = eq(_T_1656, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 286:131]
node _T_1658 = and(_T_1655, _T_1657) @[el2_lsu_dccm_ctl.scala 286:129]
node _T_1659 = bits(_T_1658, 0, 0) @[el2_lsu_dccm_ctl.scala 286:157]
node _T_1660 = bits(io.stbuf_data_any, 23, 16) @[el2_lsu_dccm_ctl.scala 286:181]
node _T_1661 = bits(io.store_data_hi_r, 23, 16) @[el2_lsu_dccm_ctl.scala 286:213]
node _T_1662 = mux(_T_1659, _T_1660, _T_1661) @[el2_lsu_dccm_ctl.scala 286:79]
node _T_1663 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1664 = xor(UInt<8>("h0ff"), _T_1663) @[Bitwise.scala 102:21]
node _T_1665 = shr(_T_1662, 4) @[Bitwise.scala 103:21]
node _T_1666 = and(_T_1665, _T_1664) @[Bitwise.scala 103:31]
node _T_1667 = bits(_T_1662, 3, 0) @[Bitwise.scala 103:46]
node _T_1668 = shl(_T_1667, 4) @[Bitwise.scala 103:65]
node _T_1669 = not(_T_1664) @[Bitwise.scala 103:77]
node _T_1670 = and(_T_1668, _T_1669) @[Bitwise.scala 103:75]
node _T_1671 = or(_T_1666, _T_1670) @[Bitwise.scala 103:39]
node _T_1672 = bits(_T_1664, 5, 0) @[Bitwise.scala 102:28]
node _T_1673 = shl(_T_1672, 2) @[Bitwise.scala 102:47]
node _T_1674 = xor(_T_1664, _T_1673) @[Bitwise.scala 102:21]
node _T_1675 = shr(_T_1671, 2) @[Bitwise.scala 103:21]
node _T_1676 = and(_T_1675, _T_1674) @[Bitwise.scala 103:31]
node _T_1677 = bits(_T_1671, 5, 0) @[Bitwise.scala 103:46]
node _T_1678 = shl(_T_1677, 2) @[Bitwise.scala 103:65]
node _T_1679 = not(_T_1674) @[Bitwise.scala 103:77]
node _T_1680 = and(_T_1678, _T_1679) @[Bitwise.scala 103:75]
node _T_1681 = or(_T_1676, _T_1680) @[Bitwise.scala 103:39]
node _T_1682 = bits(_T_1674, 6, 0) @[Bitwise.scala 102:28]
node _T_1683 = shl(_T_1682, 1) @[Bitwise.scala 102:47]
node _T_1684 = xor(_T_1674, _T_1683) @[Bitwise.scala 102:21]
node _T_1685 = shr(_T_1681, 1) @[Bitwise.scala 103:21]
node _T_1686 = and(_T_1685, _T_1684) @[Bitwise.scala 103:31]
node _T_1687 = bits(_T_1681, 6, 0) @[Bitwise.scala 103:46]
node _T_1688 = shl(_T_1687, 1) @[Bitwise.scala 103:65]
node _T_1689 = not(_T_1684) @[Bitwise.scala 103:77]
node _T_1690 = and(_T_1688, _T_1689) @[Bitwise.scala 103:75]
node _T_1691 = or(_T_1686, _T_1690) @[Bitwise.scala 103:39]
node _T_1692 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[el2_lsu_dccm_ctl.scala 286:105]
node _T_1693 = bits(store_byteen_ext_r, 7, 7) @[el2_lsu_dccm_ctl.scala 286:150]
node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[el2_lsu_dccm_ctl.scala 286:131]
node _T_1695 = and(_T_1692, _T_1694) @[el2_lsu_dccm_ctl.scala 286:129]
node _T_1696 = bits(_T_1695, 0, 0) @[el2_lsu_dccm_ctl.scala 286:157]
node _T_1697 = bits(io.stbuf_data_any, 31, 24) @[el2_lsu_dccm_ctl.scala 286:181]
node _T_1698 = bits(io.store_data_hi_r, 31, 24) @[el2_lsu_dccm_ctl.scala 286:213]
node _T_1699 = mux(_T_1696, _T_1697, _T_1698) @[el2_lsu_dccm_ctl.scala 286:79]
node _T_1700 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1701 = xor(UInt<8>("h0ff"), _T_1700) @[Bitwise.scala 102:21]
node _T_1702 = shr(_T_1699, 4) @[Bitwise.scala 103:21]
node _T_1703 = and(_T_1702, _T_1701) @[Bitwise.scala 103:31]
node _T_1704 = bits(_T_1699, 3, 0) @[Bitwise.scala 103:46]
node _T_1705 = shl(_T_1704, 4) @[Bitwise.scala 103:65]
node _T_1706 = not(_T_1701) @[Bitwise.scala 103:77]
node _T_1707 = and(_T_1705, _T_1706) @[Bitwise.scala 103:75]
node _T_1708 = or(_T_1703, _T_1707) @[Bitwise.scala 103:39]
node _T_1709 = bits(_T_1701, 5, 0) @[Bitwise.scala 102:28]
node _T_1710 = shl(_T_1709, 2) @[Bitwise.scala 102:47]
node _T_1711 = xor(_T_1701, _T_1710) @[Bitwise.scala 102:21]
node _T_1712 = shr(_T_1708, 2) @[Bitwise.scala 103:21]
node _T_1713 = and(_T_1712, _T_1711) @[Bitwise.scala 103:31]
node _T_1714 = bits(_T_1708, 5, 0) @[Bitwise.scala 103:46]
node _T_1715 = shl(_T_1714, 2) @[Bitwise.scala 103:65]
node _T_1716 = not(_T_1711) @[Bitwise.scala 103:77]
node _T_1717 = and(_T_1715, _T_1716) @[Bitwise.scala 103:75]
node _T_1718 = or(_T_1713, _T_1717) @[Bitwise.scala 103:39]
node _T_1719 = bits(_T_1711, 6, 0) @[Bitwise.scala 102:28]
node _T_1720 = shl(_T_1719, 1) @[Bitwise.scala 102:47]
node _T_1721 = xor(_T_1711, _T_1720) @[Bitwise.scala 102:21]
node _T_1722 = shr(_T_1718, 1) @[Bitwise.scala 103:21]
node _T_1723 = and(_T_1722, _T_1721) @[Bitwise.scala 103:31]
node _T_1724 = bits(_T_1718, 6, 0) @[Bitwise.scala 103:46]
node _T_1725 = shl(_T_1724, 1) @[Bitwise.scala 103:65]
node _T_1726 = not(_T_1721) @[Bitwise.scala 103:77]
node _T_1727 = and(_T_1725, _T_1726) @[Bitwise.scala 103:75]
node _T_1728 = or(_T_1723, _T_1727) @[Bitwise.scala 103:39]
wire _T_1729 : UInt<8>[4] @[el2_lsu_dccm_ctl.scala 286:63]
_T_1729[0] <= _T_1617 @[el2_lsu_dccm_ctl.scala 286:63]
_T_1729[1] <= _T_1654 @[el2_lsu_dccm_ctl.scala 286:63]
_T_1729[2] <= _T_1691 @[el2_lsu_dccm_ctl.scala 286:63]
_T_1729[3] <= _T_1728 @[el2_lsu_dccm_ctl.scala 286:63]
node _T_1730 = cat(_T_1729[2], _T_1729[3]) @[Cat.scala 29:58]
node _T_1731 = cat(_T_1729[0], _T_1729[1]) @[Cat.scala 29:58]
node _T_1732 = cat(_T_1731, _T_1730) @[Cat.scala 29:58]
node _T_1733 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1734 = xor(UInt<32>("h0ffffffff"), _T_1733) @[Bitwise.scala 102:21]
node _T_1735 = shr(_T_1732, 16) @[Bitwise.scala 103:21]
node _T_1736 = and(_T_1735, _T_1734) @[Bitwise.scala 103:31]
node _T_1737 = bits(_T_1732, 15, 0) @[Bitwise.scala 103:46]
node _T_1738 = shl(_T_1737, 16) @[Bitwise.scala 103:65]
node _T_1739 = not(_T_1734) @[Bitwise.scala 103:77]
node _T_1740 = and(_T_1738, _T_1739) @[Bitwise.scala 103:75]
node _T_1741 = or(_T_1736, _T_1740) @[Bitwise.scala 103:39]
node _T_1742 = bits(_T_1734, 23, 0) @[Bitwise.scala 102:28]
node _T_1743 = shl(_T_1742, 8) @[Bitwise.scala 102:47]
node _T_1744 = xor(_T_1734, _T_1743) @[Bitwise.scala 102:21]
node _T_1745 = shr(_T_1741, 8) @[Bitwise.scala 103:21]
node _T_1746 = and(_T_1745, _T_1744) @[Bitwise.scala 103:31]
node _T_1747 = bits(_T_1741, 23, 0) @[Bitwise.scala 103:46]
node _T_1748 = shl(_T_1747, 8) @[Bitwise.scala 103:65]
node _T_1749 = not(_T_1744) @[Bitwise.scala 103:77]
node _T_1750 = and(_T_1748, _T_1749) @[Bitwise.scala 103:75]
node _T_1751 = or(_T_1746, _T_1750) @[Bitwise.scala 103:39]
node _T_1752 = bits(_T_1744, 27, 0) @[Bitwise.scala 102:28]
node _T_1753 = shl(_T_1752, 4) @[Bitwise.scala 102:47]
node _T_1754 = xor(_T_1744, _T_1753) @[Bitwise.scala 102:21]
node _T_1755 = shr(_T_1751, 4) @[Bitwise.scala 103:21]
node _T_1756 = and(_T_1755, _T_1754) @[Bitwise.scala 103:31]
node _T_1757 = bits(_T_1751, 27, 0) @[Bitwise.scala 103:46]
node _T_1758 = shl(_T_1757, 4) @[Bitwise.scala 103:65]
node _T_1759 = not(_T_1754) @[Bitwise.scala 103:77]
node _T_1760 = and(_T_1758, _T_1759) @[Bitwise.scala 103:75]
node _T_1761 = or(_T_1756, _T_1760) @[Bitwise.scala 103:39]
node _T_1762 = bits(_T_1754, 29, 0) @[Bitwise.scala 102:28]
node _T_1763 = shl(_T_1762, 2) @[Bitwise.scala 102:47]
node _T_1764 = xor(_T_1754, _T_1763) @[Bitwise.scala 102:21]
node _T_1765 = shr(_T_1761, 2) @[Bitwise.scala 103:21]
node _T_1766 = and(_T_1765, _T_1764) @[Bitwise.scala 103:31]
node _T_1767 = bits(_T_1761, 29, 0) @[Bitwise.scala 103:46]
node _T_1768 = shl(_T_1767, 2) @[Bitwise.scala 103:65]
node _T_1769 = not(_T_1764) @[Bitwise.scala 103:77]
node _T_1770 = and(_T_1768, _T_1769) @[Bitwise.scala 103:75]
node _T_1771 = or(_T_1766, _T_1770) @[Bitwise.scala 103:39]
node _T_1772 = bits(_T_1764, 30, 0) @[Bitwise.scala 102:28]
node _T_1773 = shl(_T_1772, 1) @[Bitwise.scala 102:47]
node _T_1774 = xor(_T_1764, _T_1773) @[Bitwise.scala 102:21]
node _T_1775 = shr(_T_1771, 1) @[Bitwise.scala 103:21]
node _T_1776 = and(_T_1775, _T_1774) @[Bitwise.scala 103:31]
node _T_1777 = bits(_T_1771, 30, 0) @[Bitwise.scala 103:46]
node _T_1778 = shl(_T_1777, 1) @[Bitwise.scala 103:65]
node _T_1779 = not(_T_1774) @[Bitwise.scala 103:77]
node _T_1780 = and(_T_1778, _T_1779) @[Bitwise.scala 103:75]
node _T_1781 = or(_T_1776, _T_1780) @[Bitwise.scala 103:39]
io.store_datafn_hi_r <= _T_1781 @[el2_lsu_dccm_ctl.scala 286:29]
node _T_1782 = bits(io.store_data_hi_r, 31, 0) @[el2_lsu_dccm_ctl.scala 287:55]
node _T_1783 = bits(io.store_data_lo_r, 31, 0) @[el2_lsu_dccm_ctl.scala 287:80]
node _T_1784 = cat(_T_1782, _T_1783) @[Cat.scala 29:58]
node _T_1785 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_dccm_ctl.scala 287:108]
node _T_1786 = mul(UInt<4>("h08"), _T_1785) @[el2_lsu_dccm_ctl.scala 287:94]
node _T_1787 = dshr(_T_1784, _T_1786) @[el2_lsu_dccm_ctl.scala 287:88]
node _T_1788 = bits(store_byteen_r, 0, 0) @[el2_lsu_dccm_ctl.scala 287:174]
node _T_1789 = bits(_T_1788, 0, 0) @[Bitwise.scala 72:15]
node _T_1790 = mux(_T_1789, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1791 = bits(store_byteen_r, 1, 1) @[el2_lsu_dccm_ctl.scala 287:174]
node _T_1792 = bits(_T_1791, 0, 0) @[Bitwise.scala 72:15]
node _T_1793 = mux(_T_1792, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1794 = bits(store_byteen_r, 2, 2) @[el2_lsu_dccm_ctl.scala 287:174]
node _T_1795 = bits(_T_1794, 0, 0) @[Bitwise.scala 72:15]
node _T_1796 = mux(_T_1795, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1797 = bits(store_byteen_r, 3, 3) @[el2_lsu_dccm_ctl.scala 287:174]
node _T_1798 = bits(_T_1797, 0, 0) @[Bitwise.scala 72:15]
node _T_1799 = mux(_T_1798, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
wire _T_1800 : UInt<8>[4] @[el2_lsu_dccm_ctl.scala 287:148]
_T_1800[0] <= _T_1790 @[el2_lsu_dccm_ctl.scala 287:148]
_T_1800[1] <= _T_1793 @[el2_lsu_dccm_ctl.scala 287:148]
_T_1800[2] <= _T_1796 @[el2_lsu_dccm_ctl.scala 287:148]
_T_1800[3] <= _T_1799 @[el2_lsu_dccm_ctl.scala 287:148]
node _T_1801 = cat(_T_1800[2], _T_1800[3]) @[Cat.scala 29:58]
node _T_1802 = cat(_T_1800[0], _T_1800[1]) @[Cat.scala 29:58]
node _T_1803 = cat(_T_1802, _T_1801) @[Cat.scala 29:58]
node _T_1804 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1805 = xor(UInt<32>("h0ffffffff"), _T_1804) @[Bitwise.scala 102:21]
node _T_1806 = shr(_T_1803, 16) @[Bitwise.scala 103:21]
node _T_1807 = and(_T_1806, _T_1805) @[Bitwise.scala 103:31]
node _T_1808 = bits(_T_1803, 15, 0) @[Bitwise.scala 103:46]
node _T_1809 = shl(_T_1808, 16) @[Bitwise.scala 103:65]
node _T_1810 = not(_T_1805) @[Bitwise.scala 103:77]
node _T_1811 = and(_T_1809, _T_1810) @[Bitwise.scala 103:75]
node _T_1812 = or(_T_1807, _T_1811) @[Bitwise.scala 103:39]
node _T_1813 = bits(_T_1805, 23, 0) @[Bitwise.scala 102:28]
node _T_1814 = shl(_T_1813, 8) @[Bitwise.scala 102:47]
node _T_1815 = xor(_T_1805, _T_1814) @[Bitwise.scala 102:21]
node _T_1816 = shr(_T_1812, 8) @[Bitwise.scala 103:21]
node _T_1817 = and(_T_1816, _T_1815) @[Bitwise.scala 103:31]
node _T_1818 = bits(_T_1812, 23, 0) @[Bitwise.scala 103:46]
node _T_1819 = shl(_T_1818, 8) @[Bitwise.scala 103:65]
node _T_1820 = not(_T_1815) @[Bitwise.scala 103:77]
node _T_1821 = and(_T_1819, _T_1820) @[Bitwise.scala 103:75]
node _T_1822 = or(_T_1817, _T_1821) @[Bitwise.scala 103:39]
node _T_1823 = bits(_T_1815, 27, 0) @[Bitwise.scala 102:28]
node _T_1824 = shl(_T_1823, 4) @[Bitwise.scala 102:47]
node _T_1825 = xor(_T_1815, _T_1824) @[Bitwise.scala 102:21]
node _T_1826 = shr(_T_1822, 4) @[Bitwise.scala 103:21]
node _T_1827 = and(_T_1826, _T_1825) @[Bitwise.scala 103:31]
node _T_1828 = bits(_T_1822, 27, 0) @[Bitwise.scala 103:46]
node _T_1829 = shl(_T_1828, 4) @[Bitwise.scala 103:65]
node _T_1830 = not(_T_1825) @[Bitwise.scala 103:77]
node _T_1831 = and(_T_1829, _T_1830) @[Bitwise.scala 103:75]
node _T_1832 = or(_T_1827, _T_1831) @[Bitwise.scala 103:39]
node _T_1833 = bits(_T_1825, 29, 0) @[Bitwise.scala 102:28]
node _T_1834 = shl(_T_1833, 2) @[Bitwise.scala 102:47]
node _T_1835 = xor(_T_1825, _T_1834) @[Bitwise.scala 102:21]
node _T_1836 = shr(_T_1832, 2) @[Bitwise.scala 103:21]
node _T_1837 = and(_T_1836, _T_1835) @[Bitwise.scala 103:31]
node _T_1838 = bits(_T_1832, 29, 0) @[Bitwise.scala 103:46]
node _T_1839 = shl(_T_1838, 2) @[Bitwise.scala 103:65]
node _T_1840 = not(_T_1835) @[Bitwise.scala 103:77]
node _T_1841 = and(_T_1839, _T_1840) @[Bitwise.scala 103:75]
node _T_1842 = or(_T_1837, _T_1841) @[Bitwise.scala 103:39]
node _T_1843 = bits(_T_1835, 30, 0) @[Bitwise.scala 102:28]
node _T_1844 = shl(_T_1843, 1) @[Bitwise.scala 102:47]
node _T_1845 = xor(_T_1835, _T_1844) @[Bitwise.scala 102:21]
node _T_1846 = shr(_T_1842, 1) @[Bitwise.scala 103:21]
node _T_1847 = and(_T_1846, _T_1845) @[Bitwise.scala 103:31]
node _T_1848 = bits(_T_1842, 30, 0) @[Bitwise.scala 103:46]
node _T_1849 = shl(_T_1848, 1) @[Bitwise.scala 103:65]
node _T_1850 = not(_T_1845) @[Bitwise.scala 103:77]
node _T_1851 = and(_T_1849, _T_1850) @[Bitwise.scala 103:75]
node _T_1852 = or(_T_1847, _T_1851) @[Bitwise.scala 103:39]
node _T_1853 = and(_T_1787, _T_1852) @[el2_lsu_dccm_ctl.scala 287:115]
io.store_data_r <= _T_1853 @[el2_lsu_dccm_ctl.scala 287:29]
node _T_1854 = bits(io.dccm_rd_data_lo, 31, 0) @[el2_lsu_dccm_ctl.scala 289:48]
io.dccm_rdata_lo_m <= _T_1854 @[el2_lsu_dccm_ctl.scala 289:27]
node _T_1855 = bits(io.dccm_rd_data_hi, 31, 0) @[el2_lsu_dccm_ctl.scala 290:48]
io.dccm_rdata_hi_m <= _T_1855 @[el2_lsu_dccm_ctl.scala 290:27]
node _T_1856 = bits(io.dccm_rd_data_lo, 38, 32) @[el2_lsu_dccm_ctl.scala 291:48]
io.dccm_data_ecc_lo_m <= _T_1856 @[el2_lsu_dccm_ctl.scala 291:27]
node _T_1857 = bits(io.dccm_rd_data_hi, 38, 32) @[el2_lsu_dccm_ctl.scala 292:48]
io.dccm_data_ecc_hi_m <= _T_1857 @[el2_lsu_dccm_ctl.scala 292:27]
node _T_1858 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[el2_lsu_dccm_ctl.scala 294:50]
node _T_1859 = and(_T_1858, io.addr_in_pic_r) @[el2_lsu_dccm_ctl.scala 294:76]
node _T_1860 = and(_T_1859, io.lsu_commit_r) @[el2_lsu_dccm_ctl.scala 294:95]
node _T_1861 = or(_T_1860, io.dma_pic_wen) @[el2_lsu_dccm_ctl.scala 294:114]
io.picm_wren <= _T_1861 @[el2_lsu_dccm_ctl.scala 294:27]
node _T_1862 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[el2_lsu_dccm_ctl.scala 295:50]
node _T_1863 = and(_T_1862, io.addr_in_pic_d) @[el2_lsu_dccm_ctl.scala 295:76]
io.picm_rden <= _T_1863 @[el2_lsu_dccm_ctl.scala 295:27]
node _T_1864 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[el2_lsu_dccm_ctl.scala 296:50]
node _T_1865 = and(_T_1864, io.addr_in_pic_d) @[el2_lsu_dccm_ctl.scala 296:76]
io.picm_mken <= _T_1865 @[el2_lsu_dccm_ctl.scala 296:27]
node _T_1866 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_1867 = bits(io.lsu_addr_d, 14, 0) @[el2_lsu_dccm_ctl.scala 297:95]
node _T_1868 = cat(_T_1866, _T_1867) @[Cat.scala 29:58]
node _T_1869 = or(UInt<32>("h0f00c0000"), _T_1868) @[el2_lsu_dccm_ctl.scala 297:54]
io.picm_rdaddr <= _T_1869 @[el2_lsu_dccm_ctl.scala 297:27]
node _T_1870 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_1871 = bits(io.dma_pic_wen, 0, 0) @[el2_lsu_dccm_ctl.scala 298:101]
node _T_1872 = bits(io.dma_mem_addr, 14, 0) @[el2_lsu_dccm_ctl.scala 298:123]
node _T_1873 = bits(io.lsu_addr_r, 14, 0) @[el2_lsu_dccm_ctl.scala 298:151]
node _T_1874 = mux(_T_1871, _T_1872, _T_1873) @[el2_lsu_dccm_ctl.scala 298:85]
node _T_1875 = cat(_T_1870, _T_1874) @[Cat.scala 29:58]
node _T_1876 = or(UInt<32>("h0f00c0000"), _T_1875) @[el2_lsu_dccm_ctl.scala 298:54]
io.picm_wraddr <= _T_1876 @[el2_lsu_dccm_ctl.scala 298:27]
node _T_1877 = bits(picm_rd_data_m, 31, 0) @[el2_lsu_dccm_ctl.scala 299:44]
io.picm_mask_data_m <= _T_1877 @[el2_lsu_dccm_ctl.scala 299:27]
node _T_1878 = bits(io.dma_pic_wen, 0, 0) @[el2_lsu_dccm_ctl.scala 300:49]
node _T_1879 = bits(io.dma_mem_wdata, 31, 0) @[el2_lsu_dccm_ctl.scala 300:72]
node _T_1880 = bits(io.store_datafn_lo_r, 31, 0) @[el2_lsu_dccm_ctl.scala 300:99]
node _T_1881 = mux(_T_1878, _T_1879, _T_1880) @[el2_lsu_dccm_ctl.scala 300:33]
io.picm_wr_data <= _T_1881 @[el2_lsu_dccm_ctl.scala 300:27]
reg _T_1882 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_dccm_ctl.scala 303:61]
_T_1882 <= lsu_dccm_rden_d @[el2_lsu_dccm_ctl.scala 303:61]
io.lsu_dccm_rden_m <= _T_1882 @[el2_lsu_dccm_ctl.scala 303:24]
reg _T_1883 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_dccm_ctl.scala 304:61]
_T_1883 <= io.lsu_dccm_rden_m @[el2_lsu_dccm_ctl.scala 304:61]
io.lsu_dccm_rden_r <= _T_1883 @[el2_lsu_dccm_ctl.scala 304:24]