quasar/exu_div_new_3bit_fullshortq...

2431 lines
146 KiB
Plaintext

;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10
circuit exu_div_new_3bit_fullshortq :
module exu_div_cls :
input clock : Clock
input reset : Reset
output io : {flip operand : UInt<33>, cls : UInt<5>}
wire cls_zeros : UInt<5>
cls_zeros <= UInt<5>("h00")
wire cls_ones : UInt<5>
cls_ones <= UInt<5>("h00")
node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 819:54]
node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 819:54]
node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 819:54]
node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 819:54]
node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 819:54]
node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 819:54]
node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 819:54]
node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 819:54]
node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 819:54]
node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 819:54]
node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 819:54]
node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 819:54]
node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 819:54]
node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 819:54]
node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 819:54]
node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 819:54]
node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 819:54]
node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 819:54]
node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 819:54]
node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 819:54]
node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 819:54]
node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 819:54]
node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 819:54]
node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 819:54]
node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 819:54]
node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 819:54]
node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 819:54]
node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 819:54]
node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 819:54]
node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 819:54]
node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 819:54]
node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 819:54]
node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_67 = mux(_T_7, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_68 = mux(_T_9, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_69 = mux(_T_11, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_70 = mux(_T_13, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_71 = mux(_T_15, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_72 = mux(_T_17, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_73 = mux(_T_19, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_74 = mux(_T_21, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_75 = mux(_T_23, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_76 = mux(_T_25, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_77 = mux(_T_27, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_78 = mux(_T_29, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_79 = mux(_T_31, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_80 = mux(_T_33, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_81 = mux(_T_35, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_82 = mux(_T_37, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_83 = mux(_T_39, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_84 = mux(_T_41, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_85 = mux(_T_43, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_86 = mux(_T_45, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_87 = mux(_T_47, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_88 = mux(_T_49, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_89 = mux(_T_51, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_90 = mux(_T_53, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_91 = mux(_T_55, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_92 = mux(_T_57, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_93 = mux(_T_59, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_94 = mux(_T_61, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_95 = mux(_T_63, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_96 = or(_T_64, _T_65) @[Mux.scala 27:72]
node _T_97 = or(_T_96, _T_66) @[Mux.scala 27:72]
node _T_98 = or(_T_97, _T_67) @[Mux.scala 27:72]
node _T_99 = or(_T_98, _T_68) @[Mux.scala 27:72]
node _T_100 = or(_T_99, _T_69) @[Mux.scala 27:72]
node _T_101 = or(_T_100, _T_70) @[Mux.scala 27:72]
node _T_102 = or(_T_101, _T_71) @[Mux.scala 27:72]
node _T_103 = or(_T_102, _T_72) @[Mux.scala 27:72]
node _T_104 = or(_T_103, _T_73) @[Mux.scala 27:72]
node _T_105 = or(_T_104, _T_74) @[Mux.scala 27:72]
node _T_106 = or(_T_105, _T_75) @[Mux.scala 27:72]
node _T_107 = or(_T_106, _T_76) @[Mux.scala 27:72]
node _T_108 = or(_T_107, _T_77) @[Mux.scala 27:72]
node _T_109 = or(_T_108, _T_78) @[Mux.scala 27:72]
node _T_110 = or(_T_109, _T_79) @[Mux.scala 27:72]
node _T_111 = or(_T_110, _T_80) @[Mux.scala 27:72]
node _T_112 = or(_T_111, _T_81) @[Mux.scala 27:72]
node _T_113 = or(_T_112, _T_82) @[Mux.scala 27:72]
node _T_114 = or(_T_113, _T_83) @[Mux.scala 27:72]
node _T_115 = or(_T_114, _T_84) @[Mux.scala 27:72]
node _T_116 = or(_T_115, _T_85) @[Mux.scala 27:72]
node _T_117 = or(_T_116, _T_86) @[Mux.scala 27:72]
node _T_118 = or(_T_117, _T_87) @[Mux.scala 27:72]
node _T_119 = or(_T_118, _T_88) @[Mux.scala 27:72]
node _T_120 = or(_T_119, _T_89) @[Mux.scala 27:72]
node _T_121 = or(_T_120, _T_90) @[Mux.scala 27:72]
node _T_122 = or(_T_121, _T_91) @[Mux.scala 27:72]
node _T_123 = or(_T_122, _T_92) @[Mux.scala 27:72]
node _T_124 = or(_T_123, _T_93) @[Mux.scala 27:72]
node _T_125 = or(_T_124, _T_94) @[Mux.scala 27:72]
node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72]
wire _T_127 : UInt<5> @[Mux.scala 27:72]
_T_127 <= _T_126 @[Mux.scala 27:72]
cls_zeros <= _T_127 @[exu_div_ctl.scala 819:13]
node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 821:18]
node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 821:25]
when _T_129 : @[exu_div_ctl.scala 821:44]
cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 821:55]
skip @[exu_div_ctl.scala 821:44]
else : @[exu_div_ctl.scala 822:15]
node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 822:66]
node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58]
node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 822:76]
node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 822:66]
node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 822:76]
node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 822:66]
node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12]
node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 822:76]
node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 822:66]
node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 822:76]
node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 822:66]
node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12]
node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 822:76]
node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 822:66]
node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12]
node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 822:76]
node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 822:66]
node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12]
node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 822:76]
node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 822:66]
node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 822:76]
node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 822:66]
node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12]
node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 822:76]
node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 822:66]
node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12]
node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 822:76]
node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 822:66]
node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12]
node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 822:76]
node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 822:66]
node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12]
node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 822:76]
node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 822:66]
node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12]
node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 822:76]
node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 822:66]
node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12]
node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 822:76]
node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 822:66]
node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12]
node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 822:76]
node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 822:66]
node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12]
node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 822:76]
node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 822:66]
node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 822:76]
node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 822:66]
node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12]
node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 822:76]
node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 822:66]
node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12]
node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 822:76]
node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 822:66]
node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12]
node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 822:76]
node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 822:66]
node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12]
node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 822:76]
node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 822:66]
node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12]
node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 822:76]
node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 822:66]
node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12]
node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 822:76]
node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 822:66]
node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12]
node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 822:76]
node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 822:66]
node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12]
node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 822:76]
node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 822:66]
node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12]
node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 822:76]
node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 822:66]
node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12]
node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 822:76]
node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 822:66]
node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12]
node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 822:76]
node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 822:66]
node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12]
node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 822:76]
node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 822:66]
node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12]
node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 822:76]
node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 822:66]
node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12]
node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 822:76]
node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_287 = mux(_T_148, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_288 = mux(_T_153, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_289 = mux(_T_158, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_290 = mux(_T_163, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_291 = mux(_T_168, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_292 = mux(_T_173, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_293 = mux(_T_178, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_294 = mux(_T_183, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_295 = mux(_T_188, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_296 = mux(_T_193, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_297 = mux(_T_198, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_298 = mux(_T_203, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_299 = mux(_T_208, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_300 = mux(_T_213, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_301 = mux(_T_218, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_302 = mux(_T_223, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_303 = mux(_T_228, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_304 = mux(_T_233, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_305 = mux(_T_238, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_306 = mux(_T_243, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_307 = mux(_T_248, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_308 = mux(_T_253, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_309 = mux(_T_258, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_310 = mux(_T_263, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_311 = mux(_T_268, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_312 = mux(_T_273, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_313 = mux(_T_278, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_314 = mux(_T_283, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_315 = or(_T_284, _T_285) @[Mux.scala 27:72]
node _T_316 = or(_T_315, _T_286) @[Mux.scala 27:72]
node _T_317 = or(_T_316, _T_287) @[Mux.scala 27:72]
node _T_318 = or(_T_317, _T_288) @[Mux.scala 27:72]
node _T_319 = or(_T_318, _T_289) @[Mux.scala 27:72]
node _T_320 = or(_T_319, _T_290) @[Mux.scala 27:72]
node _T_321 = or(_T_320, _T_291) @[Mux.scala 27:72]
node _T_322 = or(_T_321, _T_292) @[Mux.scala 27:72]
node _T_323 = or(_T_322, _T_293) @[Mux.scala 27:72]
node _T_324 = or(_T_323, _T_294) @[Mux.scala 27:72]
node _T_325 = or(_T_324, _T_295) @[Mux.scala 27:72]
node _T_326 = or(_T_325, _T_296) @[Mux.scala 27:72]
node _T_327 = or(_T_326, _T_297) @[Mux.scala 27:72]
node _T_328 = or(_T_327, _T_298) @[Mux.scala 27:72]
node _T_329 = or(_T_328, _T_299) @[Mux.scala 27:72]
node _T_330 = or(_T_329, _T_300) @[Mux.scala 27:72]
node _T_331 = or(_T_330, _T_301) @[Mux.scala 27:72]
node _T_332 = or(_T_331, _T_302) @[Mux.scala 27:72]
node _T_333 = or(_T_332, _T_303) @[Mux.scala 27:72]
node _T_334 = or(_T_333, _T_304) @[Mux.scala 27:72]
node _T_335 = or(_T_334, _T_305) @[Mux.scala 27:72]
node _T_336 = or(_T_335, _T_306) @[Mux.scala 27:72]
node _T_337 = or(_T_336, _T_307) @[Mux.scala 27:72]
node _T_338 = or(_T_337, _T_308) @[Mux.scala 27:72]
node _T_339 = or(_T_338, _T_309) @[Mux.scala 27:72]
node _T_340 = or(_T_339, _T_310) @[Mux.scala 27:72]
node _T_341 = or(_T_340, _T_311) @[Mux.scala 27:72]
node _T_342 = or(_T_341, _T_312) @[Mux.scala 27:72]
node _T_343 = or(_T_342, _T_313) @[Mux.scala 27:72]
node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72]
wire _T_345 : UInt<5> @[Mux.scala 27:72]
_T_345 <= _T_344 @[Mux.scala 27:72]
cls_ones <= _T_345 @[exu_div_ctl.scala 822:25]
skip @[exu_div_ctl.scala 822:15]
node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 823:27]
node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 823:16]
io.cls <= _T_347 @[exu_div_ctl.scala 823:10]
module exu_div_cls_1 :
input clock : Clock
input reset : Reset
output io : {flip operand : UInt<33>, cls : UInt<5>}
wire cls_zeros : UInt<5>
cls_zeros <= UInt<5>("h00")
wire cls_ones : UInt<5>
cls_ones <= UInt<5>("h00")
node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 819:54]
node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 819:54]
node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 819:54]
node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 819:54]
node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 819:54]
node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 819:54]
node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 819:54]
node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 819:54]
node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 819:54]
node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 819:54]
node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 819:54]
node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 819:54]
node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 819:54]
node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 819:54]
node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 819:54]
node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 819:54]
node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 819:54]
node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 819:54]
node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 819:54]
node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 819:54]
node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 819:54]
node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 819:54]
node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 819:54]
node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 819:54]
node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 819:54]
node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 819:54]
node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 819:54]
node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 819:54]
node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 819:54]
node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 819:54]
node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 819:54]
node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 819:54]
node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 819:63]
node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_67 = mux(_T_7, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_68 = mux(_T_9, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_69 = mux(_T_11, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_70 = mux(_T_13, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_71 = mux(_T_15, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_72 = mux(_T_17, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_73 = mux(_T_19, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_74 = mux(_T_21, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_75 = mux(_T_23, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_76 = mux(_T_25, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_77 = mux(_T_27, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_78 = mux(_T_29, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_79 = mux(_T_31, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_80 = mux(_T_33, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_81 = mux(_T_35, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_82 = mux(_T_37, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_83 = mux(_T_39, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_84 = mux(_T_41, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_85 = mux(_T_43, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_86 = mux(_T_45, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_87 = mux(_T_47, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_88 = mux(_T_49, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_89 = mux(_T_51, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_90 = mux(_T_53, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_91 = mux(_T_55, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_92 = mux(_T_57, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_93 = mux(_T_59, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_94 = mux(_T_61, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_95 = mux(_T_63, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_96 = or(_T_64, _T_65) @[Mux.scala 27:72]
node _T_97 = or(_T_96, _T_66) @[Mux.scala 27:72]
node _T_98 = or(_T_97, _T_67) @[Mux.scala 27:72]
node _T_99 = or(_T_98, _T_68) @[Mux.scala 27:72]
node _T_100 = or(_T_99, _T_69) @[Mux.scala 27:72]
node _T_101 = or(_T_100, _T_70) @[Mux.scala 27:72]
node _T_102 = or(_T_101, _T_71) @[Mux.scala 27:72]
node _T_103 = or(_T_102, _T_72) @[Mux.scala 27:72]
node _T_104 = or(_T_103, _T_73) @[Mux.scala 27:72]
node _T_105 = or(_T_104, _T_74) @[Mux.scala 27:72]
node _T_106 = or(_T_105, _T_75) @[Mux.scala 27:72]
node _T_107 = or(_T_106, _T_76) @[Mux.scala 27:72]
node _T_108 = or(_T_107, _T_77) @[Mux.scala 27:72]
node _T_109 = or(_T_108, _T_78) @[Mux.scala 27:72]
node _T_110 = or(_T_109, _T_79) @[Mux.scala 27:72]
node _T_111 = or(_T_110, _T_80) @[Mux.scala 27:72]
node _T_112 = or(_T_111, _T_81) @[Mux.scala 27:72]
node _T_113 = or(_T_112, _T_82) @[Mux.scala 27:72]
node _T_114 = or(_T_113, _T_83) @[Mux.scala 27:72]
node _T_115 = or(_T_114, _T_84) @[Mux.scala 27:72]
node _T_116 = or(_T_115, _T_85) @[Mux.scala 27:72]
node _T_117 = or(_T_116, _T_86) @[Mux.scala 27:72]
node _T_118 = or(_T_117, _T_87) @[Mux.scala 27:72]
node _T_119 = or(_T_118, _T_88) @[Mux.scala 27:72]
node _T_120 = or(_T_119, _T_89) @[Mux.scala 27:72]
node _T_121 = or(_T_120, _T_90) @[Mux.scala 27:72]
node _T_122 = or(_T_121, _T_91) @[Mux.scala 27:72]
node _T_123 = or(_T_122, _T_92) @[Mux.scala 27:72]
node _T_124 = or(_T_123, _T_93) @[Mux.scala 27:72]
node _T_125 = or(_T_124, _T_94) @[Mux.scala 27:72]
node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72]
wire _T_127 : UInt<5> @[Mux.scala 27:72]
_T_127 <= _T_126 @[Mux.scala 27:72]
cls_zeros <= _T_127 @[exu_div_ctl.scala 819:13]
node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 821:18]
node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 821:25]
when _T_129 : @[exu_div_ctl.scala 821:44]
cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 821:55]
skip @[exu_div_ctl.scala 821:44]
else : @[exu_div_ctl.scala 822:15]
node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 822:66]
node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58]
node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 822:76]
node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 822:66]
node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 822:76]
node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 822:66]
node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12]
node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 822:76]
node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 822:66]
node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 822:76]
node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 822:66]
node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12]
node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 822:76]
node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 822:66]
node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12]
node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 822:76]
node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 822:66]
node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12]
node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 822:76]
node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 822:66]
node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 822:76]
node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 822:66]
node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12]
node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 822:76]
node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 822:66]
node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12]
node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 822:76]
node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 822:66]
node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12]
node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 822:76]
node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 822:66]
node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12]
node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 822:76]
node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 822:66]
node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12]
node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 822:76]
node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 822:66]
node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12]
node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 822:76]
node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 822:66]
node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12]
node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 822:76]
node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 822:66]
node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12]
node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 822:76]
node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 822:66]
node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 822:76]
node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 822:66]
node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12]
node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 822:76]
node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 822:66]
node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12]
node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 822:76]
node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 822:66]
node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12]
node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 822:76]
node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 822:66]
node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12]
node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 822:76]
node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 822:66]
node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12]
node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 822:76]
node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 822:66]
node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12]
node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 822:76]
node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 822:66]
node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12]
node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 822:76]
node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 822:66]
node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12]
node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 822:76]
node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 822:66]
node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12]
node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 822:76]
node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 822:66]
node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12]
node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 822:76]
node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 822:66]
node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12]
node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 822:76]
node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 822:66]
node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12]
node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 822:76]
node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 822:66]
node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12]
node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 822:76]
node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 822:66]
node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12]
node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 822:76]
node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 822:102]
node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_287 = mux(_T_148, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_288 = mux(_T_153, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_289 = mux(_T_158, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_290 = mux(_T_163, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_291 = mux(_T_168, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_292 = mux(_T_173, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_293 = mux(_T_178, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_294 = mux(_T_183, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_295 = mux(_T_188, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_296 = mux(_T_193, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_297 = mux(_T_198, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_298 = mux(_T_203, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_299 = mux(_T_208, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_300 = mux(_T_213, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_301 = mux(_T_218, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_302 = mux(_T_223, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_303 = mux(_T_228, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_304 = mux(_T_233, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_305 = mux(_T_238, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_306 = mux(_T_243, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_307 = mux(_T_248, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_308 = mux(_T_253, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_309 = mux(_T_258, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_310 = mux(_T_263, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_311 = mux(_T_268, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_312 = mux(_T_273, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_313 = mux(_T_278, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_314 = mux(_T_283, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_315 = or(_T_284, _T_285) @[Mux.scala 27:72]
node _T_316 = or(_T_315, _T_286) @[Mux.scala 27:72]
node _T_317 = or(_T_316, _T_287) @[Mux.scala 27:72]
node _T_318 = or(_T_317, _T_288) @[Mux.scala 27:72]
node _T_319 = or(_T_318, _T_289) @[Mux.scala 27:72]
node _T_320 = or(_T_319, _T_290) @[Mux.scala 27:72]
node _T_321 = or(_T_320, _T_291) @[Mux.scala 27:72]
node _T_322 = or(_T_321, _T_292) @[Mux.scala 27:72]
node _T_323 = or(_T_322, _T_293) @[Mux.scala 27:72]
node _T_324 = or(_T_323, _T_294) @[Mux.scala 27:72]
node _T_325 = or(_T_324, _T_295) @[Mux.scala 27:72]
node _T_326 = or(_T_325, _T_296) @[Mux.scala 27:72]
node _T_327 = or(_T_326, _T_297) @[Mux.scala 27:72]
node _T_328 = or(_T_327, _T_298) @[Mux.scala 27:72]
node _T_329 = or(_T_328, _T_299) @[Mux.scala 27:72]
node _T_330 = or(_T_329, _T_300) @[Mux.scala 27:72]
node _T_331 = or(_T_330, _T_301) @[Mux.scala 27:72]
node _T_332 = or(_T_331, _T_302) @[Mux.scala 27:72]
node _T_333 = or(_T_332, _T_303) @[Mux.scala 27:72]
node _T_334 = or(_T_333, _T_304) @[Mux.scala 27:72]
node _T_335 = or(_T_334, _T_305) @[Mux.scala 27:72]
node _T_336 = or(_T_335, _T_306) @[Mux.scala 27:72]
node _T_337 = or(_T_336, _T_307) @[Mux.scala 27:72]
node _T_338 = or(_T_337, _T_308) @[Mux.scala 27:72]
node _T_339 = or(_T_338, _T_309) @[Mux.scala 27:72]
node _T_340 = or(_T_339, _T_310) @[Mux.scala 27:72]
node _T_341 = or(_T_340, _T_311) @[Mux.scala 27:72]
node _T_342 = or(_T_341, _T_312) @[Mux.scala 27:72]
node _T_343 = or(_T_342, _T_313) @[Mux.scala 27:72]
node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72]
wire _T_345 : UInt<5> @[Mux.scala 27:72]
_T_345 <= _T_344 @[Mux.scala 27:72]
cls_ones <= _T_345 @[exu_div_ctl.scala 822:25]
skip @[exu_div_ctl.scala 822:15]
node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 823:27]
node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 823:16]
io.cls <= _T_347 @[exu_div_ctl.scala 823:10]
extmodule gated_latch :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_1 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_1 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_1 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_2 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_2 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_2 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_3 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_3 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_3 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_4 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_4 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_4 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_5 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_5 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_5 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_6 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_6 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_6 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_7 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_7 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_7 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_8 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_8 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_8 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_9 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_9 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_9 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_10 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_10 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_10 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
module exu_div_new_3bit_fullshortq :
input clock : Clock
input reset : AsyncReset
output io : {flip scan_mode : UInt<1>, flip cancel : UInt<1>, flip valid_in : UInt<1>, flip signed_in : UInt<1>, flip rem_in : UInt<1>, flip dividend_in : UInt<32>, flip divisor_in : UInt<32>, data_out : UInt<32>, valid_out : UInt<1>}
wire valid_ff : UInt<1>
valid_ff <= UInt<1>("h00")
wire finish_ff : UInt<1>
finish_ff <= UInt<1>("h00")
wire control_ff : UInt<3>
control_ff <= UInt<3>("h00")
wire count_ff : UInt<7>
count_ff <= UInt<7>("h00")
wire smallnum : UInt<4>
smallnum <= UInt<4>("h00")
wire a_ff : UInt<33>
a_ff <= UInt<33>("h00")
wire b_ff1 : UInt<33>
b_ff1 <= UInt<33>("h00")
wire b_ff : UInt<37>
b_ff <= UInt<37>("h00")
wire q_ff : UInt<32>
q_ff <= UInt<32>("h00")
wire r_ff : UInt<33>
r_ff <= UInt<33>("h00")
wire quotient_raw : UInt<8>
quotient_raw <= UInt<8>("h00")
wire quotient_new : UInt<3>
quotient_new <= UInt<3>("h00")
wire shortq_enable : UInt<1>
shortq_enable <= UInt<1>("h00")
wire shortq_enable_ff : UInt<1>
shortq_enable_ff <= UInt<1>("h00")
wire by_zero_case_ff : UInt<1>
by_zero_case_ff <= UInt<1>("h00")
wire ar_shifted : UInt<66>
ar_shifted <= UInt<66>("h00")
wire shortq_decode : UInt<5>
shortq_decode <= UInt<5>("h00")
wire shortq_shift_ff : UInt<5>
shortq_shift_ff <= UInt<5>("h00")
node _T = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 649:35]
node valid_ff_in = and(io.valid_in, _T) @[exu_div_ctl.scala 649:33]
node _T_1 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 650:35]
node _T_2 = bits(control_ff, 2, 2) @[exu_div_ctl.scala 650:60]
node _T_3 = and(_T_1, _T_2) @[exu_div_ctl.scala 650:48]
node _T_4 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 650:80]
node _T_5 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 650:112]
node _T_6 = and(_T_4, _T_5) @[exu_div_ctl.scala 650:96]
node _T_7 = or(_T_3, _T_6) @[exu_div_ctl.scala 650:65]
node _T_8 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 650:120]
node _T_9 = bits(control_ff, 1, 1) @[exu_div_ctl.scala 650:145]
node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 650:133]
node _T_11 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 650:165]
node _T_12 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 650:197]
node _T_13 = and(_T_11, _T_12) @[exu_div_ctl.scala 650:181]
node _T_14 = or(_T_10, _T_13) @[exu_div_ctl.scala 650:150]
node _T_15 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 650:205]
node _T_16 = bits(control_ff, 0, 0) @[exu_div_ctl.scala 650:230]
node _T_17 = and(_T_15, _T_16) @[exu_div_ctl.scala 650:218]
node _T_18 = and(io.valid_in, io.rem_in) @[exu_div_ctl.scala 650:250]
node _T_19 = or(_T_17, _T_18) @[exu_div_ctl.scala 650:235]
node _T_20 = cat(_T_7, _T_14) @[Cat.scala 29:58]
node control_in = cat(_T_20, _T_19) @[Cat.scala 29:58]
node dividend_sign_ff = bits(control_ff, 2, 2) @[exu_div_ctl.scala 651:40]
node divisor_sign_ff = bits(control_ff, 1, 1) @[exu_div_ctl.scala 652:40]
node rem_ff = bits(control_ff, 0, 0) @[exu_div_ctl.scala 653:40]
node _T_21 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 654:47]
node _T_22 = eq(_T_21, UInt<1>("h00")) @[exu_div_ctl.scala 654:54]
node by_zero_case = and(valid_ff, _T_22) @[exu_div_ctl.scala 654:40]
node _T_23 = bits(a_ff, 31, 4) @[exu_div_ctl.scala 656:30]
node _T_24 = eq(_T_23, UInt<1>("h00")) @[exu_div_ctl.scala 656:37]
node _T_25 = bits(b_ff, 31, 4) @[exu_div_ctl.scala 656:53]
node _T_26 = eq(_T_25, UInt<1>("h00")) @[exu_div_ctl.scala 656:60]
node _T_27 = and(_T_24, _T_26) @[exu_div_ctl.scala 656:46]
node _T_28 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 656:71]
node _T_29 = and(_T_27, _T_28) @[exu_div_ctl.scala 656:69]
node _T_30 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 656:87]
node _T_31 = and(_T_29, _T_30) @[exu_div_ctl.scala 656:85]
node _T_32 = and(_T_31, valid_ff) @[exu_div_ctl.scala 656:95]
node _T_33 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 656:108]
node _T_34 = and(_T_32, _T_33) @[exu_div_ctl.scala 656:106]
node _T_35 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 657:11]
node _T_36 = eq(_T_35, UInt<1>("h00")) @[exu_div_ctl.scala 657:18]
node _T_37 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 657:29]
node _T_38 = and(_T_36, _T_37) @[exu_div_ctl.scala 657:27]
node _T_39 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 657:45]
node _T_40 = and(_T_38, _T_39) @[exu_div_ctl.scala 657:43]
node _T_41 = and(_T_40, valid_ff) @[exu_div_ctl.scala 657:53]
node _T_42 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 657:66]
node _T_43 = and(_T_41, _T_42) @[exu_div_ctl.scala 657:64]
node smallnum_case = or(_T_34, _T_43) @[exu_div_ctl.scala 656:120]
node _T_44 = orr(count_ff) @[exu_div_ctl.scala 658:42]
node running_state = or(_T_44, shortq_enable_ff) @[exu_div_ctl.scala 658:45]
node _T_45 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 659:43]
node _T_46 = or(_T_45, io.cancel) @[exu_div_ctl.scala 659:54]
node _T_47 = or(_T_46, running_state) @[exu_div_ctl.scala 659:66]
node misc_enable = or(_T_47, finish_ff) @[exu_div_ctl.scala 659:82]
node _T_48 = or(smallnum_case, by_zero_case) @[exu_div_ctl.scala 660:45]
node _T_49 = eq(count_ff, UInt<6>("h021")) @[exu_div_ctl.scala 660:72]
node finish_raw = or(_T_48, _T_49) @[exu_div_ctl.scala 660:60]
node _T_50 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 661:43]
node finish = and(finish_raw, _T_50) @[exu_div_ctl.scala 661:41]
node _T_51 = or(valid_ff, running_state) @[exu_div_ctl.scala 662:40]
node _T_52 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 662:59]
node _T_53 = and(_T_51, _T_52) @[exu_div_ctl.scala 662:57]
node _T_54 = eq(finish_ff, UInt<1>("h00")) @[exu_div_ctl.scala 662:69]
node _T_55 = and(_T_53, _T_54) @[exu_div_ctl.scala 662:67]
node _T_56 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 662:82]
node _T_57 = and(_T_55, _T_56) @[exu_div_ctl.scala 662:80]
node _T_58 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 662:95]
node count_enable = and(_T_57, _T_58) @[exu_div_ctl.scala 662:93]
node _T_59 = bits(count_enable, 0, 0) @[Bitwise.scala 72:15]
node _T_60 = mux(_T_59, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12]
node _T_61 = cat(UInt<5>("h00"), UInt<2>("h03")) @[Cat.scala 29:58]
node _T_62 = add(count_ff, _T_61) @[exu_div_ctl.scala 663:63]
node _T_63 = tail(_T_62, 1) @[exu_div_ctl.scala 663:63]
node _T_64 = cat(UInt<2>("h00"), shortq_shift_ff) @[Cat.scala 29:58]
node _T_65 = add(_T_63, _T_64) @[exu_div_ctl.scala 663:83]
node _T_66 = tail(_T_65, 1) @[exu_div_ctl.scala 663:83]
node count_in = and(_T_60, _T_66) @[exu_div_ctl.scala 663:51]
node a_enable = or(io.valid_in, running_state) @[exu_div_ctl.scala 664:43]
node _T_67 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 665:47]
node a_shift = and(running_state, _T_67) @[exu_div_ctl.scala 665:45]
node _T_68 = bits(dividend_sign_ff, 0, 0) @[Bitwise.scala 72:15]
node _T_69 = mux(_T_68, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12]
node _T_70 = cat(_T_69, a_ff) @[Cat.scala 29:58]
node _T_71 = dshl(_T_70, shortq_shift_ff) @[exu_div_ctl.scala 666:68]
ar_shifted <= _T_71 @[exu_div_ctl.scala 666:28]
node _T_72 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 667:61]
node _T_73 = eq(_T_72, UInt<1>("h00")) @[exu_div_ctl.scala 667:42]
node b_twos_comp = and(valid_ff, _T_73) @[exu_div_ctl.scala 667:40]
node _T_74 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 668:62]
node _T_75 = eq(_T_74, UInt<1>("h00")) @[exu_div_ctl.scala 668:43]
node twos_comp_b_sel = and(valid_ff, _T_75) @[exu_div_ctl.scala 668:41]
node _T_76 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 669:30]
node _T_77 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 669:42]
node _T_78 = and(_T_76, _T_77) @[exu_div_ctl.scala 669:40]
node _T_79 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 669:71]
node _T_80 = and(_T_78, _T_79) @[exu_div_ctl.scala 669:50]
node _T_81 = eq(by_zero_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 669:92]
node twos_comp_q_sel = and(_T_80, _T_81) @[exu_div_ctl.scala 669:90]
node b_enable = or(io.valid_in, b_twos_comp) @[exu_div_ctl.scala 670:43]
node _T_82 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 671:43]
node rq_enable = or(_T_82, running_state) @[exu_div_ctl.scala 671:54]
node _T_83 = and(valid_ff, dividend_sign_ff) @[exu_div_ctl.scala 672:40]
node _T_84 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 672:61]
node r_sign_sel = and(_T_83, _T_84) @[exu_div_ctl.scala 672:59]
node _T_85 = eq(quotient_new, UInt<1>("h00")) @[exu_div_ctl.scala 673:61]
node _T_86 = and(running_state, _T_85) @[exu_div_ctl.scala 673:45]
node _T_87 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 673:72]
node r_restore_sel = and(_T_86, _T_87) @[exu_div_ctl.scala 673:70]
node _T_88 = eq(quotient_new, UInt<1>("h01")) @[exu_div_ctl.scala 674:61]
node _T_89 = and(running_state, _T_88) @[exu_div_ctl.scala 674:45]
node _T_90 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 674:72]
node r_adder1_sel = and(_T_89, _T_90) @[exu_div_ctl.scala 674:70]
node _T_91 = eq(quotient_new, UInt<2>("h02")) @[exu_div_ctl.scala 675:61]
node _T_92 = and(running_state, _T_91) @[exu_div_ctl.scala 675:45]
node _T_93 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 675:72]
node r_adder2_sel = and(_T_92, _T_93) @[exu_div_ctl.scala 675:70]
node _T_94 = eq(quotient_new, UInt<2>("h03")) @[exu_div_ctl.scala 676:61]
node _T_95 = and(running_state, _T_94) @[exu_div_ctl.scala 676:45]
node _T_96 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 676:72]
node r_adder3_sel = and(_T_95, _T_96) @[exu_div_ctl.scala 676:70]
node _T_97 = eq(quotient_new, UInt<3>("h04")) @[exu_div_ctl.scala 677:61]
node _T_98 = and(running_state, _T_97) @[exu_div_ctl.scala 677:45]
node _T_99 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 677:72]
node r_adder4_sel = and(_T_98, _T_99) @[exu_div_ctl.scala 677:70]
node _T_100 = eq(quotient_new, UInt<3>("h05")) @[exu_div_ctl.scala 678:61]
node _T_101 = and(running_state, _T_100) @[exu_div_ctl.scala 678:45]
node _T_102 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 678:72]
node r_adder5_sel = and(_T_101, _T_102) @[exu_div_ctl.scala 678:70]
node _T_103 = eq(quotient_new, UInt<3>("h06")) @[exu_div_ctl.scala 679:61]
node _T_104 = and(running_state, _T_103) @[exu_div_ctl.scala 679:45]
node _T_105 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 679:72]
node r_adder6_sel = and(_T_104, _T_105) @[exu_div_ctl.scala 679:70]
node _T_106 = eq(quotient_new, UInt<3>("h06")) @[exu_div_ctl.scala 680:61]
node _T_107 = and(running_state, _T_106) @[exu_div_ctl.scala 680:45]
node _T_108 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 680:72]
node r_adder7_sel = and(_T_107, _T_108) @[exu_div_ctl.scala 680:70]
node _T_109 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 681:28]
node _T_110 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 681:39]
node _T_111 = cat(_T_109, _T_110) @[Cat.scala 29:58]
node _T_112 = bits(b_ff, 33, 0) @[exu_div_ctl.scala 681:54]
node _T_113 = add(_T_111, _T_112) @[exu_div_ctl.scala 681:48]
node adder1_out = tail(_T_113, 1) @[exu_div_ctl.scala 681:48]
node _T_114 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 682:28]
node _T_115 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 682:39]
node _T_116 = cat(_T_114, _T_115) @[Cat.scala 29:58]
node _T_117 = bits(b_ff, 33, 0) @[exu_div_ctl.scala 682:58]
node _T_118 = cat(_T_117, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_119 = add(_T_116, _T_118) @[exu_div_ctl.scala 682:48]
node adder2_out = tail(_T_119, 1) @[exu_div_ctl.scala 682:48]
node _T_120 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 683:28]
node _T_121 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 683:39]
node _T_122 = cat(_T_120, _T_121) @[Cat.scala 29:58]
node _T_123 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 683:58]
node _T_124 = cat(_T_123, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_125 = add(_T_122, _T_124) @[exu_div_ctl.scala 683:48]
node _T_126 = tail(_T_125, 1) @[exu_div_ctl.scala 683:48]
node _T_127 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 683:76]
node _T_128 = add(_T_126, _T_127) @[exu_div_ctl.scala 683:70]
node adder3_out = tail(_T_128, 1) @[exu_div_ctl.scala 683:70]
node _T_129 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 684:28]
node _T_130 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 684:37]
node _T_131 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 684:48]
node _T_132 = cat(_T_129, _T_130) @[Cat.scala 29:58]
node _T_133 = cat(_T_132, _T_131) @[Cat.scala 29:58]
node _T_134 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 684:67]
node _T_135 = cat(_T_134, UInt<2>("h00")) @[Cat.scala 29:58]
node _T_136 = add(_T_133, _T_135) @[exu_div_ctl.scala 684:57]
node adder4_out = tail(_T_136, 1) @[exu_div_ctl.scala 684:57]
node _T_137 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 685:28]
node _T_138 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 685:37]
node _T_139 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 685:48]
node _T_140 = cat(_T_137, _T_138) @[Cat.scala 29:58]
node _T_141 = cat(_T_140, _T_139) @[Cat.scala 29:58]
node _T_142 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 685:67]
node _T_143 = cat(_T_142, UInt<2>("h00")) @[Cat.scala 29:58]
node _T_144 = add(_T_141, _T_143) @[exu_div_ctl.scala 685:57]
node _T_145 = tail(_T_144, 1) @[exu_div_ctl.scala 685:57]
node _T_146 = add(_T_145, b_ff) @[exu_div_ctl.scala 685:84]
node adder5_out = tail(_T_146, 1) @[exu_div_ctl.scala 685:84]
node _T_147 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 686:28]
node _T_148 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 686:37]
node _T_149 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 686:48]
node _T_150 = cat(_T_147, _T_148) @[Cat.scala 29:58]
node _T_151 = cat(_T_150, _T_149) @[Cat.scala 29:58]
node _T_152 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 686:67]
node _T_153 = cat(_T_152, UInt<2>("h00")) @[Cat.scala 29:58]
node _T_154 = add(_T_151, _T_153) @[exu_div_ctl.scala 686:57]
node _T_155 = tail(_T_154, 1) @[exu_div_ctl.scala 686:57]
node _T_156 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 686:94]
node _T_157 = cat(_T_156, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_158 = add(_T_155, _T_157) @[exu_div_ctl.scala 686:84]
node adder6_out = tail(_T_158, 1) @[exu_div_ctl.scala 686:84]
node _T_159 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 687:28]
node _T_160 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 687:37]
node _T_161 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 687:48]
node _T_162 = cat(_T_159, _T_160) @[Cat.scala 29:58]
node _T_163 = cat(_T_162, _T_161) @[Cat.scala 29:58]
node _T_164 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 687:67]
node _T_165 = cat(_T_164, UInt<2>("h00")) @[Cat.scala 29:58]
node _T_166 = add(_T_163, _T_165) @[exu_div_ctl.scala 687:57]
node _T_167 = tail(_T_166, 1) @[exu_div_ctl.scala 687:57]
node _T_168 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 687:94]
node _T_169 = cat(_T_168, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_170 = add(_T_167, _T_169) @[exu_div_ctl.scala 687:84]
node _T_171 = tail(_T_170, 1) @[exu_div_ctl.scala 687:84]
node _T_172 = add(_T_171, b_ff) @[exu_div_ctl.scala 687:106]
node adder7_out = tail(_T_172, 1) @[exu_div_ctl.scala 687:106]
node _T_173 = bits(adder7_out, 36, 36) @[exu_div_ctl.scala 688:35]
node _T_174 = eq(_T_173, UInt<1>("h00")) @[exu_div_ctl.scala 688:24]
node _T_175 = xor(_T_174, dividend_sign_ff) @[exu_div_ctl.scala 688:40]
node _T_176 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 688:68]
node _T_177 = eq(_T_176, UInt<1>("h00")) @[exu_div_ctl.scala 688:75]
node _T_178 = eq(adder7_out, UInt<1>("h00")) @[exu_div_ctl.scala 688:98]
node _T_179 = and(_T_177, _T_178) @[exu_div_ctl.scala 688:84]
node _T_180 = or(_T_175, _T_179) @[exu_div_ctl.scala 688:60]
node _T_181 = bits(adder6_out, 36, 36) @[exu_div_ctl.scala 689:34]
node _T_182 = eq(_T_181, UInt<1>("h00")) @[exu_div_ctl.scala 689:23]
node _T_183 = xor(_T_182, dividend_sign_ff) @[exu_div_ctl.scala 689:39]
node _T_184 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 689:67]
node _T_185 = eq(_T_184, UInt<1>("h00")) @[exu_div_ctl.scala 689:74]
node _T_186 = eq(adder6_out, UInt<1>("h00")) @[exu_div_ctl.scala 689:97]
node _T_187 = and(_T_185, _T_186) @[exu_div_ctl.scala 689:83]
node _T_188 = or(_T_183, _T_187) @[exu_div_ctl.scala 689:59]
node _T_189 = bits(adder5_out, 36, 36) @[exu_div_ctl.scala 690:34]
node _T_190 = eq(_T_189, UInt<1>("h00")) @[exu_div_ctl.scala 690:23]
node _T_191 = xor(_T_190, dividend_sign_ff) @[exu_div_ctl.scala 690:39]
node _T_192 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 690:67]
node _T_193 = eq(_T_192, UInt<1>("h00")) @[exu_div_ctl.scala 690:74]
node _T_194 = eq(adder5_out, UInt<1>("h00")) @[exu_div_ctl.scala 690:97]
node _T_195 = and(_T_193, _T_194) @[exu_div_ctl.scala 690:83]
node _T_196 = or(_T_191, _T_195) @[exu_div_ctl.scala 690:59]
node _T_197 = bits(adder4_out, 36, 36) @[exu_div_ctl.scala 691:34]
node _T_198 = eq(_T_197, UInt<1>("h00")) @[exu_div_ctl.scala 691:23]
node _T_199 = xor(_T_198, dividend_sign_ff) @[exu_div_ctl.scala 691:39]
node _T_200 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 691:67]
node _T_201 = eq(_T_200, UInt<1>("h00")) @[exu_div_ctl.scala 691:74]
node _T_202 = eq(adder4_out, UInt<1>("h00")) @[exu_div_ctl.scala 691:97]
node _T_203 = and(_T_201, _T_202) @[exu_div_ctl.scala 691:83]
node _T_204 = or(_T_199, _T_203) @[exu_div_ctl.scala 691:59]
node _T_205 = bits(adder3_out, 35, 35) @[exu_div_ctl.scala 692:34]
node _T_206 = eq(_T_205, UInt<1>("h00")) @[exu_div_ctl.scala 692:23]
node _T_207 = xor(_T_206, dividend_sign_ff) @[exu_div_ctl.scala 692:39]
node _T_208 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 692:67]
node _T_209 = eq(_T_208, UInt<1>("h00")) @[exu_div_ctl.scala 692:74]
node _T_210 = eq(adder3_out, UInt<1>("h00")) @[exu_div_ctl.scala 692:97]
node _T_211 = and(_T_209, _T_210) @[exu_div_ctl.scala 692:83]
node _T_212 = or(_T_207, _T_211) @[exu_div_ctl.scala 692:59]
node _T_213 = bits(adder2_out, 34, 34) @[exu_div_ctl.scala 693:34]
node _T_214 = eq(_T_213, UInt<1>("h00")) @[exu_div_ctl.scala 693:23]
node _T_215 = xor(_T_214, dividend_sign_ff) @[exu_div_ctl.scala 693:39]
node _T_216 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 693:67]
node _T_217 = eq(_T_216, UInt<1>("h00")) @[exu_div_ctl.scala 693:74]
node _T_218 = eq(adder2_out, UInt<1>("h00")) @[exu_div_ctl.scala 693:97]
node _T_219 = and(_T_217, _T_218) @[exu_div_ctl.scala 693:83]
node _T_220 = or(_T_215, _T_219) @[exu_div_ctl.scala 693:59]
node _T_221 = bits(adder1_out, 33, 33) @[exu_div_ctl.scala 694:34]
node _T_222 = eq(_T_221, UInt<1>("h00")) @[exu_div_ctl.scala 694:23]
node _T_223 = xor(_T_222, dividend_sign_ff) @[exu_div_ctl.scala 694:39]
node _T_224 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 694:67]
node _T_225 = eq(_T_224, UInt<1>("h00")) @[exu_div_ctl.scala 694:74]
node _T_226 = eq(adder1_out, UInt<1>("h00")) @[exu_div_ctl.scala 694:97]
node _T_227 = and(_T_225, _T_226) @[exu_div_ctl.scala 694:83]
node _T_228 = or(_T_223, _T_227) @[exu_div_ctl.scala 694:59]
node _T_229 = cat(_T_228, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_230 = cat(_T_212, _T_220) @[Cat.scala 29:58]
node _T_231 = cat(_T_230, _T_229) @[Cat.scala 29:58]
node _T_232 = cat(_T_196, _T_204) @[Cat.scala 29:58]
node _T_233 = cat(_T_180, _T_188) @[Cat.scala 29:58]
node _T_234 = cat(_T_233, _T_232) @[Cat.scala 29:58]
node _T_235 = cat(_T_234, _T_231) @[Cat.scala 29:58]
quotient_raw <= _T_235 @[exu_div_ctl.scala 688:16]
node _T_236 = bits(quotient_raw, 7, 7) @[exu_div_ctl.scala 695:39]
node _T_237 = bits(quotient_raw, 6, 6) @[exu_div_ctl.scala 695:58]
node _T_238 = or(_T_236, _T_237) @[exu_div_ctl.scala 695:43]
node _T_239 = bits(quotient_raw, 5, 5) @[exu_div_ctl.scala 695:76]
node _T_240 = or(_T_238, _T_239) @[exu_div_ctl.scala 695:62]
node _T_241 = bits(quotient_raw, 4, 4) @[exu_div_ctl.scala 695:95]
node _T_242 = or(_T_240, _T_241) @[exu_div_ctl.scala 695:80]
node _T_243 = bits(quotient_raw, 7, 7) @[exu_div_ctl.scala 696:38]
node _T_244 = bits(quotient_raw, 6, 6) @[exu_div_ctl.scala 696:57]
node _T_245 = or(_T_243, _T_244) @[exu_div_ctl.scala 696:42]
node _T_246 = bits(quotient_raw, 4, 4) @[exu_div_ctl.scala 696:76]
node _T_247 = eq(_T_246, UInt<1>("h00")) @[exu_div_ctl.scala 696:63]
node _T_248 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 696:94]
node _T_249 = and(_T_247, _T_248) @[exu_div_ctl.scala 696:80]
node _T_250 = or(_T_245, _T_249) @[exu_div_ctl.scala 696:61]
node _T_251 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 696:114]
node _T_252 = eq(_T_251, UInt<1>("h00")) @[exu_div_ctl.scala 696:101]
node _T_253 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 696:132]
node _T_254 = and(_T_252, _T_253) @[exu_div_ctl.scala 696:118]
node _T_255 = or(_T_250, _T_254) @[exu_div_ctl.scala 696:99]
node _T_256 = bits(quotient_raw, 7, 7) @[exu_div_ctl.scala 697:38]
node _T_257 = bits(quotient_raw, 6, 6) @[exu_div_ctl.scala 697:57]
node _T_258 = bits(quotient_raw, 5, 5) @[exu_div_ctl.scala 697:75]
node _T_259 = and(_T_257, _T_258) @[exu_div_ctl.scala 697:61]
node _T_260 = or(_T_256, _T_259) @[exu_div_ctl.scala 697:42]
node _T_261 = bits(quotient_raw, 4, 4) @[exu_div_ctl.scala 697:94]
node _T_262 = eq(_T_261, UInt<1>("h00")) @[exu_div_ctl.scala 697:81]
node _T_263 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 697:112]
node _T_264 = and(_T_262, _T_263) @[exu_div_ctl.scala 697:98]
node _T_265 = or(_T_260, _T_264) @[exu_div_ctl.scala 697:79]
node _T_266 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 697:132]
node _T_267 = eq(_T_266, UInt<1>("h00")) @[exu_div_ctl.scala 697:119]
node _T_268 = bits(quotient_raw, 1, 1) @[exu_div_ctl.scala 697:150]
node _T_269 = and(_T_267, _T_268) @[exu_div_ctl.scala 697:136]
node _T_270 = or(_T_265, _T_269) @[exu_div_ctl.scala 697:117]
node _T_271 = cat(_T_242, _T_255) @[Cat.scala 29:58]
node _T_272 = cat(_T_271, _T_270) @[Cat.scala 29:58]
quotient_new <= _T_272 @[exu_div_ctl.scala 695:18]
node _T_273 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 700:48]
node _T_274 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_275 = mux(twos_comp_b_sel, _T_273, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_276 = or(_T_274, _T_275) @[Mux.scala 27:72]
wire twos_comp_in : UInt<32> @[Mux.scala 27:72]
twos_comp_in <= _T_276 @[Mux.scala 27:72]
wire _T_277 : UInt<1>[31] @[lib.scala 426:20]
node _T_278 = bits(twos_comp_in, 0, 0) @[lib.scala 428:27]
node _T_279 = orr(_T_278) @[lib.scala 428:35]
node _T_280 = bits(twos_comp_in, 1, 1) @[lib.scala 428:44]
node _T_281 = not(_T_280) @[lib.scala 428:40]
node _T_282 = bits(twos_comp_in, 1, 1) @[lib.scala 428:51]
node _T_283 = mux(_T_279, _T_281, _T_282) @[lib.scala 428:23]
_T_277[0] <= _T_283 @[lib.scala 428:17]
node _T_284 = bits(twos_comp_in, 1, 0) @[lib.scala 428:27]
node _T_285 = orr(_T_284) @[lib.scala 428:35]
node _T_286 = bits(twos_comp_in, 2, 2) @[lib.scala 428:44]
node _T_287 = not(_T_286) @[lib.scala 428:40]
node _T_288 = bits(twos_comp_in, 2, 2) @[lib.scala 428:51]
node _T_289 = mux(_T_285, _T_287, _T_288) @[lib.scala 428:23]
_T_277[1] <= _T_289 @[lib.scala 428:17]
node _T_290 = bits(twos_comp_in, 2, 0) @[lib.scala 428:27]
node _T_291 = orr(_T_290) @[lib.scala 428:35]
node _T_292 = bits(twos_comp_in, 3, 3) @[lib.scala 428:44]
node _T_293 = not(_T_292) @[lib.scala 428:40]
node _T_294 = bits(twos_comp_in, 3, 3) @[lib.scala 428:51]
node _T_295 = mux(_T_291, _T_293, _T_294) @[lib.scala 428:23]
_T_277[2] <= _T_295 @[lib.scala 428:17]
node _T_296 = bits(twos_comp_in, 3, 0) @[lib.scala 428:27]
node _T_297 = orr(_T_296) @[lib.scala 428:35]
node _T_298 = bits(twos_comp_in, 4, 4) @[lib.scala 428:44]
node _T_299 = not(_T_298) @[lib.scala 428:40]
node _T_300 = bits(twos_comp_in, 4, 4) @[lib.scala 428:51]
node _T_301 = mux(_T_297, _T_299, _T_300) @[lib.scala 428:23]
_T_277[3] <= _T_301 @[lib.scala 428:17]
node _T_302 = bits(twos_comp_in, 4, 0) @[lib.scala 428:27]
node _T_303 = orr(_T_302) @[lib.scala 428:35]
node _T_304 = bits(twos_comp_in, 5, 5) @[lib.scala 428:44]
node _T_305 = not(_T_304) @[lib.scala 428:40]
node _T_306 = bits(twos_comp_in, 5, 5) @[lib.scala 428:51]
node _T_307 = mux(_T_303, _T_305, _T_306) @[lib.scala 428:23]
_T_277[4] <= _T_307 @[lib.scala 428:17]
node _T_308 = bits(twos_comp_in, 5, 0) @[lib.scala 428:27]
node _T_309 = orr(_T_308) @[lib.scala 428:35]
node _T_310 = bits(twos_comp_in, 6, 6) @[lib.scala 428:44]
node _T_311 = not(_T_310) @[lib.scala 428:40]
node _T_312 = bits(twos_comp_in, 6, 6) @[lib.scala 428:51]
node _T_313 = mux(_T_309, _T_311, _T_312) @[lib.scala 428:23]
_T_277[5] <= _T_313 @[lib.scala 428:17]
node _T_314 = bits(twos_comp_in, 6, 0) @[lib.scala 428:27]
node _T_315 = orr(_T_314) @[lib.scala 428:35]
node _T_316 = bits(twos_comp_in, 7, 7) @[lib.scala 428:44]
node _T_317 = not(_T_316) @[lib.scala 428:40]
node _T_318 = bits(twos_comp_in, 7, 7) @[lib.scala 428:51]
node _T_319 = mux(_T_315, _T_317, _T_318) @[lib.scala 428:23]
_T_277[6] <= _T_319 @[lib.scala 428:17]
node _T_320 = bits(twos_comp_in, 7, 0) @[lib.scala 428:27]
node _T_321 = orr(_T_320) @[lib.scala 428:35]
node _T_322 = bits(twos_comp_in, 8, 8) @[lib.scala 428:44]
node _T_323 = not(_T_322) @[lib.scala 428:40]
node _T_324 = bits(twos_comp_in, 8, 8) @[lib.scala 428:51]
node _T_325 = mux(_T_321, _T_323, _T_324) @[lib.scala 428:23]
_T_277[7] <= _T_325 @[lib.scala 428:17]
node _T_326 = bits(twos_comp_in, 8, 0) @[lib.scala 428:27]
node _T_327 = orr(_T_326) @[lib.scala 428:35]
node _T_328 = bits(twos_comp_in, 9, 9) @[lib.scala 428:44]
node _T_329 = not(_T_328) @[lib.scala 428:40]
node _T_330 = bits(twos_comp_in, 9, 9) @[lib.scala 428:51]
node _T_331 = mux(_T_327, _T_329, _T_330) @[lib.scala 428:23]
_T_277[8] <= _T_331 @[lib.scala 428:17]
node _T_332 = bits(twos_comp_in, 9, 0) @[lib.scala 428:27]
node _T_333 = orr(_T_332) @[lib.scala 428:35]
node _T_334 = bits(twos_comp_in, 10, 10) @[lib.scala 428:44]
node _T_335 = not(_T_334) @[lib.scala 428:40]
node _T_336 = bits(twos_comp_in, 10, 10) @[lib.scala 428:51]
node _T_337 = mux(_T_333, _T_335, _T_336) @[lib.scala 428:23]
_T_277[9] <= _T_337 @[lib.scala 428:17]
node _T_338 = bits(twos_comp_in, 10, 0) @[lib.scala 428:27]
node _T_339 = orr(_T_338) @[lib.scala 428:35]
node _T_340 = bits(twos_comp_in, 11, 11) @[lib.scala 428:44]
node _T_341 = not(_T_340) @[lib.scala 428:40]
node _T_342 = bits(twos_comp_in, 11, 11) @[lib.scala 428:51]
node _T_343 = mux(_T_339, _T_341, _T_342) @[lib.scala 428:23]
_T_277[10] <= _T_343 @[lib.scala 428:17]
node _T_344 = bits(twos_comp_in, 11, 0) @[lib.scala 428:27]
node _T_345 = orr(_T_344) @[lib.scala 428:35]
node _T_346 = bits(twos_comp_in, 12, 12) @[lib.scala 428:44]
node _T_347 = not(_T_346) @[lib.scala 428:40]
node _T_348 = bits(twos_comp_in, 12, 12) @[lib.scala 428:51]
node _T_349 = mux(_T_345, _T_347, _T_348) @[lib.scala 428:23]
_T_277[11] <= _T_349 @[lib.scala 428:17]
node _T_350 = bits(twos_comp_in, 12, 0) @[lib.scala 428:27]
node _T_351 = orr(_T_350) @[lib.scala 428:35]
node _T_352 = bits(twos_comp_in, 13, 13) @[lib.scala 428:44]
node _T_353 = not(_T_352) @[lib.scala 428:40]
node _T_354 = bits(twos_comp_in, 13, 13) @[lib.scala 428:51]
node _T_355 = mux(_T_351, _T_353, _T_354) @[lib.scala 428:23]
_T_277[12] <= _T_355 @[lib.scala 428:17]
node _T_356 = bits(twos_comp_in, 13, 0) @[lib.scala 428:27]
node _T_357 = orr(_T_356) @[lib.scala 428:35]
node _T_358 = bits(twos_comp_in, 14, 14) @[lib.scala 428:44]
node _T_359 = not(_T_358) @[lib.scala 428:40]
node _T_360 = bits(twos_comp_in, 14, 14) @[lib.scala 428:51]
node _T_361 = mux(_T_357, _T_359, _T_360) @[lib.scala 428:23]
_T_277[13] <= _T_361 @[lib.scala 428:17]
node _T_362 = bits(twos_comp_in, 14, 0) @[lib.scala 428:27]
node _T_363 = orr(_T_362) @[lib.scala 428:35]
node _T_364 = bits(twos_comp_in, 15, 15) @[lib.scala 428:44]
node _T_365 = not(_T_364) @[lib.scala 428:40]
node _T_366 = bits(twos_comp_in, 15, 15) @[lib.scala 428:51]
node _T_367 = mux(_T_363, _T_365, _T_366) @[lib.scala 428:23]
_T_277[14] <= _T_367 @[lib.scala 428:17]
node _T_368 = bits(twos_comp_in, 15, 0) @[lib.scala 428:27]
node _T_369 = orr(_T_368) @[lib.scala 428:35]
node _T_370 = bits(twos_comp_in, 16, 16) @[lib.scala 428:44]
node _T_371 = not(_T_370) @[lib.scala 428:40]
node _T_372 = bits(twos_comp_in, 16, 16) @[lib.scala 428:51]
node _T_373 = mux(_T_369, _T_371, _T_372) @[lib.scala 428:23]
_T_277[15] <= _T_373 @[lib.scala 428:17]
node _T_374 = bits(twos_comp_in, 16, 0) @[lib.scala 428:27]
node _T_375 = orr(_T_374) @[lib.scala 428:35]
node _T_376 = bits(twos_comp_in, 17, 17) @[lib.scala 428:44]
node _T_377 = not(_T_376) @[lib.scala 428:40]
node _T_378 = bits(twos_comp_in, 17, 17) @[lib.scala 428:51]
node _T_379 = mux(_T_375, _T_377, _T_378) @[lib.scala 428:23]
_T_277[16] <= _T_379 @[lib.scala 428:17]
node _T_380 = bits(twos_comp_in, 17, 0) @[lib.scala 428:27]
node _T_381 = orr(_T_380) @[lib.scala 428:35]
node _T_382 = bits(twos_comp_in, 18, 18) @[lib.scala 428:44]
node _T_383 = not(_T_382) @[lib.scala 428:40]
node _T_384 = bits(twos_comp_in, 18, 18) @[lib.scala 428:51]
node _T_385 = mux(_T_381, _T_383, _T_384) @[lib.scala 428:23]
_T_277[17] <= _T_385 @[lib.scala 428:17]
node _T_386 = bits(twos_comp_in, 18, 0) @[lib.scala 428:27]
node _T_387 = orr(_T_386) @[lib.scala 428:35]
node _T_388 = bits(twos_comp_in, 19, 19) @[lib.scala 428:44]
node _T_389 = not(_T_388) @[lib.scala 428:40]
node _T_390 = bits(twos_comp_in, 19, 19) @[lib.scala 428:51]
node _T_391 = mux(_T_387, _T_389, _T_390) @[lib.scala 428:23]
_T_277[18] <= _T_391 @[lib.scala 428:17]
node _T_392 = bits(twos_comp_in, 19, 0) @[lib.scala 428:27]
node _T_393 = orr(_T_392) @[lib.scala 428:35]
node _T_394 = bits(twos_comp_in, 20, 20) @[lib.scala 428:44]
node _T_395 = not(_T_394) @[lib.scala 428:40]
node _T_396 = bits(twos_comp_in, 20, 20) @[lib.scala 428:51]
node _T_397 = mux(_T_393, _T_395, _T_396) @[lib.scala 428:23]
_T_277[19] <= _T_397 @[lib.scala 428:17]
node _T_398 = bits(twos_comp_in, 20, 0) @[lib.scala 428:27]
node _T_399 = orr(_T_398) @[lib.scala 428:35]
node _T_400 = bits(twos_comp_in, 21, 21) @[lib.scala 428:44]
node _T_401 = not(_T_400) @[lib.scala 428:40]
node _T_402 = bits(twos_comp_in, 21, 21) @[lib.scala 428:51]
node _T_403 = mux(_T_399, _T_401, _T_402) @[lib.scala 428:23]
_T_277[20] <= _T_403 @[lib.scala 428:17]
node _T_404 = bits(twos_comp_in, 21, 0) @[lib.scala 428:27]
node _T_405 = orr(_T_404) @[lib.scala 428:35]
node _T_406 = bits(twos_comp_in, 22, 22) @[lib.scala 428:44]
node _T_407 = not(_T_406) @[lib.scala 428:40]
node _T_408 = bits(twos_comp_in, 22, 22) @[lib.scala 428:51]
node _T_409 = mux(_T_405, _T_407, _T_408) @[lib.scala 428:23]
_T_277[21] <= _T_409 @[lib.scala 428:17]
node _T_410 = bits(twos_comp_in, 22, 0) @[lib.scala 428:27]
node _T_411 = orr(_T_410) @[lib.scala 428:35]
node _T_412 = bits(twos_comp_in, 23, 23) @[lib.scala 428:44]
node _T_413 = not(_T_412) @[lib.scala 428:40]
node _T_414 = bits(twos_comp_in, 23, 23) @[lib.scala 428:51]
node _T_415 = mux(_T_411, _T_413, _T_414) @[lib.scala 428:23]
_T_277[22] <= _T_415 @[lib.scala 428:17]
node _T_416 = bits(twos_comp_in, 23, 0) @[lib.scala 428:27]
node _T_417 = orr(_T_416) @[lib.scala 428:35]
node _T_418 = bits(twos_comp_in, 24, 24) @[lib.scala 428:44]
node _T_419 = not(_T_418) @[lib.scala 428:40]
node _T_420 = bits(twos_comp_in, 24, 24) @[lib.scala 428:51]
node _T_421 = mux(_T_417, _T_419, _T_420) @[lib.scala 428:23]
_T_277[23] <= _T_421 @[lib.scala 428:17]
node _T_422 = bits(twos_comp_in, 24, 0) @[lib.scala 428:27]
node _T_423 = orr(_T_422) @[lib.scala 428:35]
node _T_424 = bits(twos_comp_in, 25, 25) @[lib.scala 428:44]
node _T_425 = not(_T_424) @[lib.scala 428:40]
node _T_426 = bits(twos_comp_in, 25, 25) @[lib.scala 428:51]
node _T_427 = mux(_T_423, _T_425, _T_426) @[lib.scala 428:23]
_T_277[24] <= _T_427 @[lib.scala 428:17]
node _T_428 = bits(twos_comp_in, 25, 0) @[lib.scala 428:27]
node _T_429 = orr(_T_428) @[lib.scala 428:35]
node _T_430 = bits(twos_comp_in, 26, 26) @[lib.scala 428:44]
node _T_431 = not(_T_430) @[lib.scala 428:40]
node _T_432 = bits(twos_comp_in, 26, 26) @[lib.scala 428:51]
node _T_433 = mux(_T_429, _T_431, _T_432) @[lib.scala 428:23]
_T_277[25] <= _T_433 @[lib.scala 428:17]
node _T_434 = bits(twos_comp_in, 26, 0) @[lib.scala 428:27]
node _T_435 = orr(_T_434) @[lib.scala 428:35]
node _T_436 = bits(twos_comp_in, 27, 27) @[lib.scala 428:44]
node _T_437 = not(_T_436) @[lib.scala 428:40]
node _T_438 = bits(twos_comp_in, 27, 27) @[lib.scala 428:51]
node _T_439 = mux(_T_435, _T_437, _T_438) @[lib.scala 428:23]
_T_277[26] <= _T_439 @[lib.scala 428:17]
node _T_440 = bits(twos_comp_in, 27, 0) @[lib.scala 428:27]
node _T_441 = orr(_T_440) @[lib.scala 428:35]
node _T_442 = bits(twos_comp_in, 28, 28) @[lib.scala 428:44]
node _T_443 = not(_T_442) @[lib.scala 428:40]
node _T_444 = bits(twos_comp_in, 28, 28) @[lib.scala 428:51]
node _T_445 = mux(_T_441, _T_443, _T_444) @[lib.scala 428:23]
_T_277[27] <= _T_445 @[lib.scala 428:17]
node _T_446 = bits(twos_comp_in, 28, 0) @[lib.scala 428:27]
node _T_447 = orr(_T_446) @[lib.scala 428:35]
node _T_448 = bits(twos_comp_in, 29, 29) @[lib.scala 428:44]
node _T_449 = not(_T_448) @[lib.scala 428:40]
node _T_450 = bits(twos_comp_in, 29, 29) @[lib.scala 428:51]
node _T_451 = mux(_T_447, _T_449, _T_450) @[lib.scala 428:23]
_T_277[28] <= _T_451 @[lib.scala 428:17]
node _T_452 = bits(twos_comp_in, 29, 0) @[lib.scala 428:27]
node _T_453 = orr(_T_452) @[lib.scala 428:35]
node _T_454 = bits(twos_comp_in, 30, 30) @[lib.scala 428:44]
node _T_455 = not(_T_454) @[lib.scala 428:40]
node _T_456 = bits(twos_comp_in, 30, 30) @[lib.scala 428:51]
node _T_457 = mux(_T_453, _T_455, _T_456) @[lib.scala 428:23]
_T_277[29] <= _T_457 @[lib.scala 428:17]
node _T_458 = bits(twos_comp_in, 30, 0) @[lib.scala 428:27]
node _T_459 = orr(_T_458) @[lib.scala 428:35]
node _T_460 = bits(twos_comp_in, 31, 31) @[lib.scala 428:44]
node _T_461 = not(_T_460) @[lib.scala 428:40]
node _T_462 = bits(twos_comp_in, 31, 31) @[lib.scala 428:51]
node _T_463 = mux(_T_459, _T_461, _T_462) @[lib.scala 428:23]
_T_277[30] <= _T_463 @[lib.scala 428:17]
node _T_464 = cat(_T_277[2], _T_277[1]) @[lib.scala 430:14]
node _T_465 = cat(_T_464, _T_277[0]) @[lib.scala 430:14]
node _T_466 = cat(_T_277[4], _T_277[3]) @[lib.scala 430:14]
node _T_467 = cat(_T_277[6], _T_277[5]) @[lib.scala 430:14]
node _T_468 = cat(_T_467, _T_466) @[lib.scala 430:14]
node _T_469 = cat(_T_468, _T_465) @[lib.scala 430:14]
node _T_470 = cat(_T_277[8], _T_277[7]) @[lib.scala 430:14]
node _T_471 = cat(_T_277[10], _T_277[9]) @[lib.scala 430:14]
node _T_472 = cat(_T_471, _T_470) @[lib.scala 430:14]
node _T_473 = cat(_T_277[12], _T_277[11]) @[lib.scala 430:14]
node _T_474 = cat(_T_277[14], _T_277[13]) @[lib.scala 430:14]
node _T_475 = cat(_T_474, _T_473) @[lib.scala 430:14]
node _T_476 = cat(_T_475, _T_472) @[lib.scala 430:14]
node _T_477 = cat(_T_476, _T_469) @[lib.scala 430:14]
node _T_478 = cat(_T_277[16], _T_277[15]) @[lib.scala 430:14]
node _T_479 = cat(_T_277[18], _T_277[17]) @[lib.scala 430:14]
node _T_480 = cat(_T_479, _T_478) @[lib.scala 430:14]
node _T_481 = cat(_T_277[20], _T_277[19]) @[lib.scala 430:14]
node _T_482 = cat(_T_277[22], _T_277[21]) @[lib.scala 430:14]
node _T_483 = cat(_T_482, _T_481) @[lib.scala 430:14]
node _T_484 = cat(_T_483, _T_480) @[lib.scala 430:14]
node _T_485 = cat(_T_277[24], _T_277[23]) @[lib.scala 430:14]
node _T_486 = cat(_T_277[26], _T_277[25]) @[lib.scala 430:14]
node _T_487 = cat(_T_486, _T_485) @[lib.scala 430:14]
node _T_488 = cat(_T_277[28], _T_277[27]) @[lib.scala 430:14]
node _T_489 = cat(_T_277[30], _T_277[29]) @[lib.scala 430:14]
node _T_490 = cat(_T_489, _T_488) @[lib.scala 430:14]
node _T_491 = cat(_T_490, _T_487) @[lib.scala 430:14]
node _T_492 = cat(_T_491, _T_484) @[lib.scala 430:14]
node _T_493 = cat(_T_492, _T_477) @[lib.scala 430:14]
node _T_494 = bits(twos_comp_in, 0, 0) @[lib.scala 430:24]
node twos_comp_out = cat(_T_493, _T_494) @[Cat.scala 29:58]
node _T_495 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 705:6]
node _T_496 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 705:17]
node _T_497 = and(_T_495, _T_496) @[exu_div_ctl.scala 705:15]
node _T_498 = bits(_T_497, 0, 0) @[exu_div_ctl.scala 705:36]
node _T_499 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 705:79]
node _T_500 = and(io.signed_in, _T_499) @[exu_div_ctl.scala 705:63]
node _T_501 = bits(io.dividend_in, 31, 0) @[exu_div_ctl.scala 705:98]
node _T_502 = cat(_T_500, _T_501) @[Cat.scala 29:58]
node _T_503 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 706:52]
node _T_504 = cat(_T_503, UInt<3>("h00")) @[Cat.scala 29:58]
node _T_505 = bits(ar_shifted, 32, 0) @[exu_div_ctl.scala 707:54]
node _T_506 = mux(_T_498, _T_502, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_507 = mux(a_shift, _T_504, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_508 = mux(shortq_enable_ff, _T_505, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_509 = or(_T_506, _T_507) @[Mux.scala 27:72]
node _T_510 = or(_T_509, _T_508) @[Mux.scala 27:72]
wire a_in : UInt<33> @[Mux.scala 27:72]
a_in <= _T_510 @[Mux.scala 27:72]
node _T_511 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 710:5]
node _T_512 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 710:78]
node _T_513 = and(io.signed_in, _T_512) @[exu_div_ctl.scala 710:63]
node _T_514 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 710:96]
node _T_515 = cat(_T_513, _T_514) @[Cat.scala 29:58]
node _T_516 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 711:49]
node _T_517 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 711:79]
node _T_518 = cat(_T_516, _T_517) @[Cat.scala 29:58]
node _T_519 = mux(_T_511, _T_515, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_520 = mux(b_twos_comp, _T_518, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_521 = or(_T_519, _T_520) @[Mux.scala 27:72]
wire b_in : UInt<33> @[Mux.scala 27:72]
b_in <= _T_521 @[Mux.scala 27:72]
node _T_522 = bits(r_ff, 29, 0) @[exu_div_ctl.scala 716:54]
node _T_523 = bits(a_ff, 32, 30) @[exu_div_ctl.scala 716:65]
node _T_524 = cat(_T_522, _T_523) @[Cat.scala 29:58]
node _T_525 = bits(adder1_out, 32, 0) @[exu_div_ctl.scala 717:57]
node _T_526 = bits(adder2_out, 32, 0) @[exu_div_ctl.scala 718:57]
node _T_527 = bits(adder3_out, 32, 0) @[exu_div_ctl.scala 719:57]
node _T_528 = bits(adder4_out, 32, 0) @[exu_div_ctl.scala 720:57]
node _T_529 = bits(adder5_out, 32, 0) @[exu_div_ctl.scala 721:57]
node _T_530 = bits(adder6_out, 32, 0) @[exu_div_ctl.scala 722:57]
node _T_531 = bits(adder7_out, 32, 0) @[exu_div_ctl.scala 723:57]
node _T_532 = bits(ar_shifted, 65, 33) @[exu_div_ctl.scala 724:57]
node _T_533 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 725:59]
node _T_534 = cat(UInt<1>("h00"), _T_533) @[Cat.scala 29:58]
node _T_535 = mux(r_sign_sel, UInt<33>("h01ffffffff"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_536 = mux(r_restore_sel, _T_524, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_537 = mux(r_adder1_sel, _T_525, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_538 = mux(r_adder2_sel, _T_526, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_539 = mux(r_adder3_sel, _T_527, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_540 = mux(r_adder4_sel, _T_528, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_541 = mux(r_adder5_sel, _T_529, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_542 = mux(r_adder6_sel, _T_530, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_543 = mux(r_adder7_sel, _T_531, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_544 = mux(shortq_enable_ff, _T_532, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_545 = mux(by_zero_case, _T_534, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_546 = or(_T_535, _T_536) @[Mux.scala 27:72]
node _T_547 = or(_T_546, _T_537) @[Mux.scala 27:72]
node _T_548 = or(_T_547, _T_538) @[Mux.scala 27:72]
node _T_549 = or(_T_548, _T_539) @[Mux.scala 27:72]
node _T_550 = or(_T_549, _T_540) @[Mux.scala 27:72]
node _T_551 = or(_T_550, _T_541) @[Mux.scala 27:72]
node _T_552 = or(_T_551, _T_542) @[Mux.scala 27:72]
node _T_553 = or(_T_552, _T_543) @[Mux.scala 27:72]
node _T_554 = or(_T_553, _T_544) @[Mux.scala 27:72]
node _T_555 = or(_T_554, _T_545) @[Mux.scala 27:72]
wire r_in : UInt<33> @[Mux.scala 27:72]
r_in <= _T_555 @[Mux.scala 27:72]
node _T_556 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 728:4]
node _T_557 = bits(q_ff, 28, 0) @[exu_div_ctl.scala 728:54]
node _T_558 = cat(_T_557, quotient_new) @[Cat.scala 29:58]
node _T_559 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58]
node _T_560 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_561 = mux(_T_556, _T_558, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_562 = mux(smallnum_case, _T_559, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_563 = mux(by_zero_case, _T_560, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_564 = or(_T_561, _T_562) @[Mux.scala 27:72]
node _T_565 = or(_T_564, _T_563) @[Mux.scala 27:72]
wire q_in : UInt<32> @[Mux.scala 27:72]
q_in <= _T_565 @[Mux.scala 27:72]
node _T_566 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 732:31]
node _T_567 = and(finish_ff, _T_566) @[exu_div_ctl.scala 732:29]
io.valid_out <= _T_567 @[exu_div_ctl.scala 732:16]
node _T_568 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 734:6]
node _T_569 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 734:16]
node _T_570 = and(_T_568, _T_569) @[exu_div_ctl.scala 734:14]
node _T_571 = bits(_T_570, 0, 0) @[exu_div_ctl.scala 734:40]
node _T_572 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 735:48]
node _T_573 = mux(_T_571, q_ff, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_574 = mux(rem_ff, _T_572, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_575 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_576 = or(_T_573, _T_574) @[Mux.scala 27:72]
node _T_577 = or(_T_576, _T_575) @[Mux.scala 27:72]
wire _T_578 : UInt<32> @[Mux.scala 27:72]
_T_578 <= _T_577 @[Mux.scala 27:72]
io.data_out <= _T_578 @[exu_div_ctl.scala 733:15]
node _T_579 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_580 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_581 = eq(_T_580, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_582 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_583 = eq(_T_582, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_584 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_585 = eq(_T_584, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_586 = and(_T_581, _T_583) @[exu_div_ctl.scala 740:95]
node _T_587 = and(_T_586, _T_585) @[exu_div_ctl.scala 740:95]
node _T_588 = and(_T_579, _T_587) @[exu_div_ctl.scala 741:11]
node _T_589 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_590 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_591 = eq(_T_590, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_592 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_593 = eq(_T_592, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_594 = and(_T_591, _T_593) @[exu_div_ctl.scala 740:95]
node _T_595 = and(_T_589, _T_594) @[exu_div_ctl.scala 741:11]
node _T_596 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 746:38]
node _T_597 = eq(_T_596, UInt<1>("h00")) @[exu_div_ctl.scala 746:33]
node _T_598 = and(_T_595, _T_597) @[exu_div_ctl.scala 746:31]
node _T_599 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_600 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_601 = eq(_T_600, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_602 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_603 = eq(_T_602, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_604 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_605 = eq(_T_604, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_606 = and(_T_601, _T_603) @[exu_div_ctl.scala 740:95]
node _T_607 = and(_T_606, _T_605) @[exu_div_ctl.scala 740:95]
node _T_608 = and(_T_599, _T_607) @[exu_div_ctl.scala 741:11]
node _T_609 = or(_T_598, _T_608) @[exu_div_ctl.scala 746:42]
node _T_610 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_611 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_612 = and(_T_610, _T_611) @[exu_div_ctl.scala 739:95]
node _T_613 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_614 = eq(_T_613, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_615 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_616 = eq(_T_615, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_617 = and(_T_614, _T_616) @[exu_div_ctl.scala 740:95]
node _T_618 = and(_T_612, _T_617) @[exu_div_ctl.scala 741:11]
node _T_619 = or(_T_609, _T_618) @[exu_div_ctl.scala 746:75]
node _T_620 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_621 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_622 = eq(_T_621, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_623 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_624 = eq(_T_623, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_625 = and(_T_622, _T_624) @[exu_div_ctl.scala 740:95]
node _T_626 = and(_T_620, _T_625) @[exu_div_ctl.scala 741:11]
node _T_627 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 748:38]
node _T_628 = eq(_T_627, UInt<1>("h00")) @[exu_div_ctl.scala 748:33]
node _T_629 = and(_T_626, _T_628) @[exu_div_ctl.scala 748:31]
node _T_630 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_631 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_632 = eq(_T_631, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_633 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_634 = eq(_T_633, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_635 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_636 = eq(_T_635, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_637 = and(_T_632, _T_634) @[exu_div_ctl.scala 740:95]
node _T_638 = and(_T_637, _T_636) @[exu_div_ctl.scala 740:95]
node _T_639 = and(_T_630, _T_638) @[exu_div_ctl.scala 741:11]
node _T_640 = or(_T_629, _T_639) @[exu_div_ctl.scala 748:42]
node _T_641 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_642 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_643 = eq(_T_642, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_644 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_645 = eq(_T_644, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_646 = and(_T_643, _T_645) @[exu_div_ctl.scala 740:95]
node _T_647 = and(_T_641, _T_646) @[exu_div_ctl.scala 741:11]
node _T_648 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 748:113]
node _T_649 = eq(_T_648, UInt<1>("h00")) @[exu_div_ctl.scala 748:108]
node _T_650 = and(_T_647, _T_649) @[exu_div_ctl.scala 748:106]
node _T_651 = or(_T_640, _T_650) @[exu_div_ctl.scala 748:78]
node _T_652 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_653 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:75]
node _T_654 = eq(_T_653, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_655 = and(_T_652, _T_654) @[exu_div_ctl.scala 739:95]
node _T_656 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_657 = eq(_T_656, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_658 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_659 = eq(_T_658, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_660 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:58]
node _T_661 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 740:58]
node _T_662 = and(_T_657, _T_659) @[exu_div_ctl.scala 740:95]
node _T_663 = and(_T_662, _T_660) @[exu_div_ctl.scala 740:95]
node _T_664 = and(_T_663, _T_661) @[exu_div_ctl.scala 740:95]
node _T_665 = and(_T_655, _T_664) @[exu_div_ctl.scala 741:11]
node _T_666 = or(_T_651, _T_665) @[exu_div_ctl.scala 748:117]
node _T_667 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:75]
node _T_668 = eq(_T_667, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_669 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_670 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_671 = and(_T_668, _T_669) @[exu_div_ctl.scala 739:95]
node _T_672 = and(_T_671, _T_670) @[exu_div_ctl.scala 739:95]
node _T_673 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_674 = eq(_T_673, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_675 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_676 = eq(_T_675, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_677 = and(_T_674, _T_676) @[exu_div_ctl.scala 740:95]
node _T_678 = and(_T_672, _T_677) @[exu_div_ctl.scala 741:11]
node _T_679 = or(_T_666, _T_678) @[exu_div_ctl.scala 749:44]
node _T_680 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_681 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_682 = and(_T_680, _T_681) @[exu_div_ctl.scala 739:95]
node _T_683 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_684 = eq(_T_683, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_685 = and(_T_682, _T_684) @[exu_div_ctl.scala 741:11]
node _T_686 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 749:114]
node _T_687 = eq(_T_686, UInt<1>("h00")) @[exu_div_ctl.scala 749:109]
node _T_688 = and(_T_685, _T_687) @[exu_div_ctl.scala 749:107]
node _T_689 = or(_T_679, _T_688) @[exu_div_ctl.scala 749:80]
node _T_690 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_691 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_692 = and(_T_690, _T_691) @[exu_div_ctl.scala 739:95]
node _T_693 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_694 = eq(_T_693, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_695 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:58]
node _T_696 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_697 = eq(_T_696, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_698 = and(_T_694, _T_695) @[exu_div_ctl.scala 740:95]
node _T_699 = and(_T_698, _T_697) @[exu_div_ctl.scala 740:95]
node _T_700 = and(_T_692, _T_699) @[exu_div_ctl.scala 741:11]
node _T_701 = or(_T_689, _T_700) @[exu_div_ctl.scala 749:119]
node _T_702 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_703 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_704 = and(_T_702, _T_703) @[exu_div_ctl.scala 739:95]
node _T_705 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_706 = eq(_T_705, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_707 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_708 = eq(_T_707, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_709 = and(_T_706, _T_708) @[exu_div_ctl.scala 740:95]
node _T_710 = and(_T_704, _T_709) @[exu_div_ctl.scala 741:11]
node _T_711 = or(_T_701, _T_710) @[exu_div_ctl.scala 750:44]
node _T_712 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_713 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_714 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_715 = and(_T_712, _T_713) @[exu_div_ctl.scala 739:95]
node _T_716 = and(_T_715, _T_714) @[exu_div_ctl.scala 739:95]
node _T_717 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_718 = eq(_T_717, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_719 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:58]
node _T_720 = and(_T_718, _T_719) @[exu_div_ctl.scala 740:95]
node _T_721 = and(_T_716, _T_720) @[exu_div_ctl.scala 741:11]
node _T_722 = or(_T_711, _T_721) @[exu_div_ctl.scala 750:79]
node _T_723 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_724 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_725 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 739:58]
node _T_726 = and(_T_723, _T_724) @[exu_div_ctl.scala 739:95]
node _T_727 = and(_T_726, _T_725) @[exu_div_ctl.scala 739:95]
node _T_728 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_729 = eq(_T_728, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_730 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_731 = eq(_T_730, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_732 = and(_T_729, _T_731) @[exu_div_ctl.scala 740:95]
node _T_733 = and(_T_727, _T_732) @[exu_div_ctl.scala 741:11]
node _T_734 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_735 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:75]
node _T_736 = eq(_T_735, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_737 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 739:58]
node _T_738 = and(_T_734, _T_736) @[exu_div_ctl.scala 739:95]
node _T_739 = and(_T_738, _T_737) @[exu_div_ctl.scala 739:95]
node _T_740 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_741 = eq(_T_740, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_742 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:58]
node _T_743 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 740:58]
node _T_744 = and(_T_741, _T_742) @[exu_div_ctl.scala 740:95]
node _T_745 = and(_T_744, _T_743) @[exu_div_ctl.scala 740:95]
node _T_746 = and(_T_739, _T_745) @[exu_div_ctl.scala 741:11]
node _T_747 = or(_T_733, _T_746) @[exu_div_ctl.scala 752:45]
node _T_748 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_749 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_750 = eq(_T_749, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_751 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_752 = eq(_T_751, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_753 = and(_T_750, _T_752) @[exu_div_ctl.scala 740:95]
node _T_754 = and(_T_748, _T_753) @[exu_div_ctl.scala 741:11]
node _T_755 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 752:121]
node _T_756 = eq(_T_755, UInt<1>("h00")) @[exu_div_ctl.scala 752:116]
node _T_757 = and(_T_754, _T_756) @[exu_div_ctl.scala 752:114]
node _T_758 = or(_T_747, _T_757) @[exu_div_ctl.scala 752:86]
node _T_759 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_760 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_761 = eq(_T_760, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_762 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_763 = eq(_T_762, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_764 = and(_T_761, _T_763) @[exu_div_ctl.scala 740:95]
node _T_765 = and(_T_759, _T_764) @[exu_div_ctl.scala 741:11]
node _T_766 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 753:40]
node _T_767 = eq(_T_766, UInt<1>("h00")) @[exu_div_ctl.scala 753:35]
node _T_768 = and(_T_765, _T_767) @[exu_div_ctl.scala 753:33]
node _T_769 = or(_T_758, _T_768) @[exu_div_ctl.scala 752:129]
node _T_770 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 739:58]
node _T_771 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_772 = eq(_T_771, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_773 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_774 = eq(_T_773, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_775 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_776 = eq(_T_775, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_777 = and(_T_772, _T_774) @[exu_div_ctl.scala 740:95]
node _T_778 = and(_T_777, _T_776) @[exu_div_ctl.scala 740:95]
node _T_779 = and(_T_770, _T_778) @[exu_div_ctl.scala 741:11]
node _T_780 = or(_T_769, _T_779) @[exu_div_ctl.scala 753:47]
node _T_781 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:75]
node _T_782 = eq(_T_781, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_783 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_784 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:75]
node _T_785 = eq(_T_784, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_786 = and(_T_782, _T_783) @[exu_div_ctl.scala 739:95]
node _T_787 = and(_T_786, _T_785) @[exu_div_ctl.scala 739:95]
node _T_788 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_789 = eq(_T_788, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_790 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_791 = eq(_T_790, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_792 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:58]
node _T_793 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 740:58]
node _T_794 = and(_T_789, _T_791) @[exu_div_ctl.scala 740:95]
node _T_795 = and(_T_794, _T_792) @[exu_div_ctl.scala 740:95]
node _T_796 = and(_T_795, _T_793) @[exu_div_ctl.scala 740:95]
node _T_797 = and(_T_787, _T_796) @[exu_div_ctl.scala 741:11]
node _T_798 = or(_T_780, _T_797) @[exu_div_ctl.scala 753:88]
node _T_799 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:75]
node _T_800 = eq(_T_799, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_801 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_802 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_803 = and(_T_800, _T_801) @[exu_div_ctl.scala 739:95]
node _T_804 = and(_T_803, _T_802) @[exu_div_ctl.scala 739:95]
node _T_805 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_806 = eq(_T_805, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_807 = and(_T_804, _T_806) @[exu_div_ctl.scala 741:11]
node _T_808 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 754:43]
node _T_809 = eq(_T_808, UInt<1>("h00")) @[exu_div_ctl.scala 754:38]
node _T_810 = and(_T_807, _T_809) @[exu_div_ctl.scala 754:36]
node _T_811 = or(_T_798, _T_810) @[exu_div_ctl.scala 753:131]
node _T_812 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_813 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_814 = eq(_T_813, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_815 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_816 = eq(_T_815, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_817 = and(_T_814, _T_816) @[exu_div_ctl.scala 740:95]
node _T_818 = and(_T_812, _T_817) @[exu_div_ctl.scala 741:11]
node _T_819 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 754:83]
node _T_820 = eq(_T_819, UInt<1>("h00")) @[exu_div_ctl.scala 754:78]
node _T_821 = and(_T_818, _T_820) @[exu_div_ctl.scala 754:76]
node _T_822 = or(_T_811, _T_821) @[exu_div_ctl.scala 754:47]
node _T_823 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_824 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:75]
node _T_825 = eq(_T_824, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_826 = and(_T_823, _T_825) @[exu_div_ctl.scala 739:95]
node _T_827 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_828 = eq(_T_827, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_829 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:58]
node _T_830 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:58]
node _T_831 = and(_T_828, _T_829) @[exu_div_ctl.scala 740:95]
node _T_832 = and(_T_831, _T_830) @[exu_div_ctl.scala 740:95]
node _T_833 = and(_T_826, _T_832) @[exu_div_ctl.scala 741:11]
node _T_834 = or(_T_822, _T_833) @[exu_div_ctl.scala 754:88]
node _T_835 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:75]
node _T_836 = eq(_T_835, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_837 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_838 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_839 = and(_T_836, _T_837) @[exu_div_ctl.scala 739:95]
node _T_840 = and(_T_839, _T_838) @[exu_div_ctl.scala 739:95]
node _T_841 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_842 = eq(_T_841, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_843 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:58]
node _T_844 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_845 = eq(_T_844, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_846 = and(_T_842, _T_843) @[exu_div_ctl.scala 740:95]
node _T_847 = and(_T_846, _T_845) @[exu_div_ctl.scala 740:95]
node _T_848 = and(_T_840, _T_847) @[exu_div_ctl.scala 741:11]
node _T_849 = or(_T_834, _T_848) @[exu_div_ctl.scala 754:131]
node _T_850 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:75]
node _T_851 = eq(_T_850, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_852 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_853 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 739:58]
node _T_854 = and(_T_851, _T_852) @[exu_div_ctl.scala 739:95]
node _T_855 = and(_T_854, _T_853) @[exu_div_ctl.scala 739:95]
node _T_856 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_857 = eq(_T_856, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_858 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_859 = eq(_T_858, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_860 = and(_T_857, _T_859) @[exu_div_ctl.scala 740:95]
node _T_861 = and(_T_855, _T_860) @[exu_div_ctl.scala 741:11]
node _T_862 = or(_T_849, _T_861) @[exu_div_ctl.scala 755:47]
node _T_863 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_864 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:75]
node _T_865 = eq(_T_864, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_866 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:75]
node _T_867 = eq(_T_866, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_868 = and(_T_863, _T_865) @[exu_div_ctl.scala 739:95]
node _T_869 = and(_T_868, _T_867) @[exu_div_ctl.scala 739:95]
node _T_870 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_871 = eq(_T_870, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_872 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:58]
node _T_873 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 740:58]
node _T_874 = and(_T_871, _T_872) @[exu_div_ctl.scala 740:95]
node _T_875 = and(_T_874, _T_873) @[exu_div_ctl.scala 740:95]
node _T_876 = and(_T_869, _T_875) @[exu_div_ctl.scala 741:11]
node _T_877 = or(_T_862, _T_876) @[exu_div_ctl.scala 755:88]
node _T_878 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:75]
node _T_879 = eq(_T_878, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_880 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_881 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 739:58]
node _T_882 = and(_T_879, _T_880) @[exu_div_ctl.scala 739:95]
node _T_883 = and(_T_882, _T_881) @[exu_div_ctl.scala 739:95]
node _T_884 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_885 = eq(_T_884, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_886 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_887 = eq(_T_886, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_888 = and(_T_885, _T_887) @[exu_div_ctl.scala 740:95]
node _T_889 = and(_T_883, _T_888) @[exu_div_ctl.scala 741:11]
node _T_890 = or(_T_877, _T_889) @[exu_div_ctl.scala 755:131]
node _T_891 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_892 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_893 = and(_T_891, _T_892) @[exu_div_ctl.scala 739:95]
node _T_894 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_895 = eq(_T_894, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_896 = and(_T_893, _T_895) @[exu_div_ctl.scala 741:11]
node _T_897 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 756:82]
node _T_898 = eq(_T_897, UInt<1>("h00")) @[exu_div_ctl.scala 756:77]
node _T_899 = and(_T_896, _T_898) @[exu_div_ctl.scala 756:75]
node _T_900 = or(_T_890, _T_899) @[exu_div_ctl.scala 756:47]
node _T_901 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:75]
node _T_902 = eq(_T_901, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_903 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_904 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_905 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 739:58]
node _T_906 = and(_T_902, _T_903) @[exu_div_ctl.scala 739:95]
node _T_907 = and(_T_906, _T_904) @[exu_div_ctl.scala 739:95]
node _T_908 = and(_T_907, _T_905) @[exu_div_ctl.scala 739:95]
node _T_909 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_910 = eq(_T_909, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_911 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:58]
node _T_912 = and(_T_910, _T_911) @[exu_div_ctl.scala 740:95]
node _T_913 = and(_T_908, _T_912) @[exu_div_ctl.scala 741:11]
node _T_914 = or(_T_900, _T_913) @[exu_div_ctl.scala 756:88]
node _T_915 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_916 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_917 = and(_T_915, _T_916) @[exu_div_ctl.scala 739:95]
node _T_918 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:58]
node _T_919 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_920 = eq(_T_919, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_921 = and(_T_918, _T_920) @[exu_div_ctl.scala 740:95]
node _T_922 = and(_T_917, _T_921) @[exu_div_ctl.scala 741:11]
node _T_923 = or(_T_914, _T_922) @[exu_div_ctl.scala 756:131]
node _T_924 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_925 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_926 = and(_T_924, _T_925) @[exu_div_ctl.scala 739:95]
node _T_927 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:58]
node _T_928 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_929 = eq(_T_928, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_930 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_931 = eq(_T_930, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_932 = and(_T_927, _T_929) @[exu_div_ctl.scala 740:95]
node _T_933 = and(_T_932, _T_931) @[exu_div_ctl.scala 740:95]
node _T_934 = and(_T_926, _T_933) @[exu_div_ctl.scala 741:11]
node _T_935 = or(_T_923, _T_934) @[exu_div_ctl.scala 757:47]
node _T_936 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_937 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 739:58]
node _T_938 = and(_T_936, _T_937) @[exu_div_ctl.scala 739:95]
node _T_939 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_940 = eq(_T_939, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_941 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_942 = eq(_T_941, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_943 = and(_T_940, _T_942) @[exu_div_ctl.scala 740:95]
node _T_944 = and(_T_938, _T_943) @[exu_div_ctl.scala 741:11]
node _T_945 = or(_T_935, _T_944) @[exu_div_ctl.scala 757:88]
node _T_946 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_947 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:75]
node _T_948 = eq(_T_947, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_949 = and(_T_946, _T_948) @[exu_div_ctl.scala 739:95]
node _T_950 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_951 = eq(_T_950, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_952 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:58]
node _T_953 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:58]
node _T_954 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 740:58]
node _T_955 = and(_T_951, _T_952) @[exu_div_ctl.scala 740:95]
node _T_956 = and(_T_955, _T_953) @[exu_div_ctl.scala 740:95]
node _T_957 = and(_T_956, _T_954) @[exu_div_ctl.scala 740:95]
node _T_958 = and(_T_949, _T_957) @[exu_div_ctl.scala 741:11]
node _T_959 = or(_T_945, _T_958) @[exu_div_ctl.scala 757:131]
node _T_960 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_961 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_962 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_963 = and(_T_960, _T_961) @[exu_div_ctl.scala 739:95]
node _T_964 = and(_T_963, _T_962) @[exu_div_ctl.scala 739:95]
node _T_965 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:58]
node _T_966 = and(_T_964, _T_965) @[exu_div_ctl.scala 741:11]
node _T_967 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 758:84]
node _T_968 = eq(_T_967, UInt<1>("h00")) @[exu_div_ctl.scala 758:79]
node _T_969 = and(_T_966, _T_968) @[exu_div_ctl.scala 758:77]
node _T_970 = or(_T_959, _T_969) @[exu_div_ctl.scala 758:47]
node _T_971 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_972 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_973 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_974 = and(_T_971, _T_972) @[exu_div_ctl.scala 739:95]
node _T_975 = and(_T_974, _T_973) @[exu_div_ctl.scala 739:95]
node _T_976 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:58]
node _T_977 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_978 = eq(_T_977, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_979 = and(_T_976, _T_978) @[exu_div_ctl.scala 740:95]
node _T_980 = and(_T_975, _T_979) @[exu_div_ctl.scala 741:11]
node _T_981 = or(_T_970, _T_980) @[exu_div_ctl.scala 758:88]
node _T_982 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_983 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_984 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 739:58]
node _T_985 = and(_T_982, _T_983) @[exu_div_ctl.scala 739:95]
node _T_986 = and(_T_985, _T_984) @[exu_div_ctl.scala 739:95]
node _T_987 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:58]
node _T_988 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:75]
node _T_989 = eq(_T_988, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_990 = and(_T_987, _T_989) @[exu_div_ctl.scala 740:95]
node _T_991 = and(_T_986, _T_990) @[exu_div_ctl.scala 741:11]
node _T_992 = or(_T_981, _T_991) @[exu_div_ctl.scala 758:131]
node _T_993 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_994 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:75]
node _T_995 = eq(_T_994, UInt<1>("h00")) @[exu_div_ctl.scala 739:70]
node _T_996 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_997 = and(_T_993, _T_995) @[exu_div_ctl.scala 739:95]
node _T_998 = and(_T_997, _T_996) @[exu_div_ctl.scala 739:95]
node _T_999 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:75]
node _T_1000 = eq(_T_999, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_1001 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 740:58]
node _T_1002 = and(_T_1000, _T_1001) @[exu_div_ctl.scala 740:95]
node _T_1003 = and(_T_998, _T_1002) @[exu_div_ctl.scala 741:11]
node _T_1004 = or(_T_992, _T_1003) @[exu_div_ctl.scala 759:47]
node _T_1005 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_1006 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_1007 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 739:58]
node _T_1008 = and(_T_1005, _T_1006) @[exu_div_ctl.scala 739:95]
node _T_1009 = and(_T_1008, _T_1007) @[exu_div_ctl.scala 739:95]
node _T_1010 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_1011 = eq(_T_1010, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_1012 = and(_T_1009, _T_1011) @[exu_div_ctl.scala 741:11]
node _T_1013 = or(_T_1004, _T_1012) @[exu_div_ctl.scala 759:88]
node _T_1014 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_1015 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 739:58]
node _T_1016 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_1017 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 739:58]
node _T_1018 = and(_T_1014, _T_1015) @[exu_div_ctl.scala 739:95]
node _T_1019 = and(_T_1018, _T_1016) @[exu_div_ctl.scala 739:95]
node _T_1020 = and(_T_1019, _T_1017) @[exu_div_ctl.scala 739:95]
node _T_1021 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 740:58]
node _T_1022 = and(_T_1020, _T_1021) @[exu_div_ctl.scala 741:11]
node _T_1023 = or(_T_1013, _T_1022) @[exu_div_ctl.scala 759:131]
node _T_1024 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 739:58]
node _T_1025 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 739:58]
node _T_1026 = and(_T_1024, _T_1025) @[exu_div_ctl.scala 739:95]
node _T_1027 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 740:75]
node _T_1028 = eq(_T_1027, UInt<1>("h00")) @[exu_div_ctl.scala 740:70]
node _T_1029 = and(_T_1026, _T_1028) @[exu_div_ctl.scala 741:11]
node _T_1030 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 760:81]
node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[exu_div_ctl.scala 760:76]
node _T_1032 = and(_T_1029, _T_1031) @[exu_div_ctl.scala 760:74]
node _T_1033 = or(_T_1023, _T_1032) @[exu_div_ctl.scala 760:47]
node _T_1034 = cat(_T_722, _T_1033) @[Cat.scala 29:58]
node _T_1035 = cat(_T_588, _T_619) @[Cat.scala 29:58]
node _T_1036 = cat(_T_1035, _T_1034) @[Cat.scala 29:58]
smallnum <= _T_1036 @[exu_div_ctl.scala 743:12]
node shortq_dividend = cat(dividend_sign_ff, a_ff) @[Cat.scala 29:58]
inst a_enc of exu_div_cls @[exu_div_ctl.scala 763:21]
a_enc.clock <= clock
a_enc.reset <= reset
a_enc.io.operand <= shortq_dividend @[exu_div_ctl.scala 764:20]
inst b_enc of exu_div_cls_1 @[exu_div_ctl.scala 766:20]
b_enc.clock <= clock
b_enc.reset <= reset
node _T_1037 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 767:27]
b_enc.io.operand <= _T_1037 @[exu_div_ctl.scala 767:20]
node dw_a_enc = cat(UInt<1>("h00"), a_enc.io.cls) @[Cat.scala 29:58]
node dw_b_enc = cat(UInt<1>("h00"), b_enc.io.cls) @[Cat.scala 29:58]
node _T_1038 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58]
node _T_1039 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58]
node _T_1040 = sub(_T_1038, _T_1039) @[exu_div_ctl.scala 771:41]
node _T_1041 = tail(_T_1040, 1) @[exu_div_ctl.scala 771:41]
node _T_1042 = add(_T_1041, UInt<7>("h01")) @[exu_div_ctl.scala 771:61]
node dw_shortq_raw = tail(_T_1042, 1) @[exu_div_ctl.scala 771:61]
node _T_1043 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 772:33]
node _T_1044 = bits(_T_1043, 0, 0) @[exu_div_ctl.scala 772:43]
node _T_1045 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 772:63]
node shortq = mux(_T_1044, UInt<1>("h00"), _T_1045) @[exu_div_ctl.scala 772:19]
node _T_1046 = bits(shortq, 5, 5) @[exu_div_ctl.scala 773:38]
node _T_1047 = eq(_T_1046, UInt<1>("h00")) @[exu_div_ctl.scala 773:31]
node _T_1048 = and(valid_ff, _T_1047) @[exu_div_ctl.scala 773:29]
node _T_1049 = bits(shortq, 4, 2) @[exu_div_ctl.scala 773:52]
node _T_1050 = eq(_T_1049, UInt<3>("h07")) @[exu_div_ctl.scala 773:58]
node _T_1051 = eq(_T_1050, UInt<1>("h00")) @[exu_div_ctl.scala 773:44]
node _T_1052 = and(_T_1048, _T_1051) @[exu_div_ctl.scala 773:42]
node _T_1053 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 773:75]
node _T_1054 = and(_T_1052, _T_1053) @[exu_div_ctl.scala 773:73]
shortq_enable <= _T_1054 @[exu_div_ctl.scala 773:17]
node _T_1055 = eq(shortq, UInt<5>("h01f")) @[exu_div_ctl.scala 775:58]
node _T_1056 = eq(shortq, UInt<5>("h01e")) @[exu_div_ctl.scala 775:58]
node _T_1057 = eq(shortq, UInt<5>("h01d")) @[exu_div_ctl.scala 775:58]
node _T_1058 = eq(shortq, UInt<5>("h01c")) @[exu_div_ctl.scala 775:58]
node _T_1059 = eq(shortq, UInt<5>("h01b")) @[exu_div_ctl.scala 775:58]
node _T_1060 = eq(shortq, UInt<5>("h01a")) @[exu_div_ctl.scala 775:58]
node _T_1061 = eq(shortq, UInt<5>("h019")) @[exu_div_ctl.scala 775:58]
node _T_1062 = eq(shortq, UInt<5>("h018")) @[exu_div_ctl.scala 775:58]
node _T_1063 = eq(shortq, UInt<5>("h017")) @[exu_div_ctl.scala 775:58]
node _T_1064 = eq(shortq, UInt<5>("h016")) @[exu_div_ctl.scala 775:58]
node _T_1065 = eq(shortq, UInt<5>("h015")) @[exu_div_ctl.scala 775:58]
node _T_1066 = eq(shortq, UInt<5>("h014")) @[exu_div_ctl.scala 775:58]
node _T_1067 = eq(shortq, UInt<5>("h013")) @[exu_div_ctl.scala 775:58]
node _T_1068 = eq(shortq, UInt<5>("h012")) @[exu_div_ctl.scala 775:58]
node _T_1069 = eq(shortq, UInt<5>("h011")) @[exu_div_ctl.scala 775:58]
node _T_1070 = eq(shortq, UInt<5>("h010")) @[exu_div_ctl.scala 775:58]
node _T_1071 = eq(shortq, UInt<4>("h0f")) @[exu_div_ctl.scala 775:58]
node _T_1072 = eq(shortq, UInt<4>("h0e")) @[exu_div_ctl.scala 775:58]
node _T_1073 = eq(shortq, UInt<4>("h0d")) @[exu_div_ctl.scala 775:58]
node _T_1074 = eq(shortq, UInt<4>("h0c")) @[exu_div_ctl.scala 775:58]
node _T_1075 = eq(shortq, UInt<4>("h0b")) @[exu_div_ctl.scala 775:58]
node _T_1076 = eq(shortq, UInt<4>("h0a")) @[exu_div_ctl.scala 775:58]
node _T_1077 = eq(shortq, UInt<4>("h09")) @[exu_div_ctl.scala 775:58]
node _T_1078 = eq(shortq, UInt<4>("h08")) @[exu_div_ctl.scala 775:58]
node _T_1079 = eq(shortq, UInt<3>("h07")) @[exu_div_ctl.scala 775:58]
node _T_1080 = eq(shortq, UInt<3>("h06")) @[exu_div_ctl.scala 775:58]
node _T_1081 = eq(shortq, UInt<3>("h05")) @[exu_div_ctl.scala 775:58]
node _T_1082 = eq(shortq, UInt<3>("h04")) @[exu_div_ctl.scala 775:58]
node _T_1083 = eq(shortq, UInt<2>("h03")) @[exu_div_ctl.scala 775:58]
node _T_1084 = eq(shortq, UInt<2>("h02")) @[exu_div_ctl.scala 775:58]
node _T_1085 = eq(shortq, UInt<1>("h01")) @[exu_div_ctl.scala 775:58]
node _T_1086 = eq(shortq, UInt<1>("h00")) @[exu_div_ctl.scala 775:58]
node _T_1087 = mux(_T_1055, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1088 = mux(_T_1056, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1089 = mux(_T_1057, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1090 = mux(_T_1058, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1091 = mux(_T_1059, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1092 = mux(_T_1060, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1093 = mux(_T_1061, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1094 = mux(_T_1062, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1095 = mux(_T_1063, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1096 = mux(_T_1064, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1097 = mux(_T_1065, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1098 = mux(_T_1066, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1099 = mux(_T_1067, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1100 = mux(_T_1068, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1101 = mux(_T_1069, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1102 = mux(_T_1070, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1103 = mux(_T_1071, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1104 = mux(_T_1072, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1105 = mux(_T_1073, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1106 = mux(_T_1074, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1107 = mux(_T_1075, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1108 = mux(_T_1076, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1109 = mux(_T_1077, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1110 = mux(_T_1078, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1111 = mux(_T_1079, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1112 = mux(_T_1080, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1113 = mux(_T_1081, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1114 = mux(_T_1082, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1115 = mux(_T_1083, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1116 = mux(_T_1084, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1117 = mux(_T_1085, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1118 = mux(_T_1086, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1119 = or(_T_1087, _T_1088) @[Mux.scala 27:72]
node _T_1120 = or(_T_1119, _T_1089) @[Mux.scala 27:72]
node _T_1121 = or(_T_1120, _T_1090) @[Mux.scala 27:72]
node _T_1122 = or(_T_1121, _T_1091) @[Mux.scala 27:72]
node _T_1123 = or(_T_1122, _T_1092) @[Mux.scala 27:72]
node _T_1124 = or(_T_1123, _T_1093) @[Mux.scala 27:72]
node _T_1125 = or(_T_1124, _T_1094) @[Mux.scala 27:72]
node _T_1126 = or(_T_1125, _T_1095) @[Mux.scala 27:72]
node _T_1127 = or(_T_1126, _T_1096) @[Mux.scala 27:72]
node _T_1128 = or(_T_1127, _T_1097) @[Mux.scala 27:72]
node _T_1129 = or(_T_1128, _T_1098) @[Mux.scala 27:72]
node _T_1130 = or(_T_1129, _T_1099) @[Mux.scala 27:72]
node _T_1131 = or(_T_1130, _T_1100) @[Mux.scala 27:72]
node _T_1132 = or(_T_1131, _T_1101) @[Mux.scala 27:72]
node _T_1133 = or(_T_1132, _T_1102) @[Mux.scala 27:72]
node _T_1134 = or(_T_1133, _T_1103) @[Mux.scala 27:72]
node _T_1135 = or(_T_1134, _T_1104) @[Mux.scala 27:72]
node _T_1136 = or(_T_1135, _T_1105) @[Mux.scala 27:72]
node _T_1137 = or(_T_1136, _T_1106) @[Mux.scala 27:72]
node _T_1138 = or(_T_1137, _T_1107) @[Mux.scala 27:72]
node _T_1139 = or(_T_1138, _T_1108) @[Mux.scala 27:72]
node _T_1140 = or(_T_1139, _T_1109) @[Mux.scala 27:72]
node _T_1141 = or(_T_1140, _T_1110) @[Mux.scala 27:72]
node _T_1142 = or(_T_1141, _T_1111) @[Mux.scala 27:72]
node _T_1143 = or(_T_1142, _T_1112) @[Mux.scala 27:72]
node _T_1144 = or(_T_1143, _T_1113) @[Mux.scala 27:72]
node _T_1145 = or(_T_1144, _T_1114) @[Mux.scala 27:72]
node _T_1146 = or(_T_1145, _T_1115) @[Mux.scala 27:72]
node _T_1147 = or(_T_1146, _T_1116) @[Mux.scala 27:72]
node _T_1148 = or(_T_1147, _T_1117) @[Mux.scala 27:72]
node _T_1149 = or(_T_1148, _T_1118) @[Mux.scala 27:72]
wire _T_1150 : UInt<5> @[Mux.scala 27:72]
_T_1150 <= _T_1149 @[Mux.scala 27:72]
shortq_decode <= _T_1150 @[exu_div_ctl.scala 775:17]
node _T_1151 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 776:26]
node shortq_shift = mux(_T_1151, UInt<1>("h00"), shortq_decode) @[exu_div_ctl.scala 776:25]
node _T_1152 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 777:20]
node _T_1153 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 777:30]
node _T_1154 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 777:40]
node _T_1155 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 777:50]
node _T_1156 = cat(_T_1155, b_ff1) @[Cat.scala 29:58]
node _T_1157 = cat(_T_1152, _T_1153) @[Cat.scala 29:58]
node _T_1158 = cat(_T_1157, _T_1154) @[Cat.scala 29:58]
node _T_1159 = cat(_T_1158, _T_1156) @[Cat.scala 29:58]
b_ff <= _T_1159 @[exu_div_ctl.scala 777:8]
inst rvclkhdr of rvclkhdr @[lib.scala 390:23]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[lib.scala 392:18]
rvclkhdr.io.en <= misc_enable @[lib.scala 393:17]
rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1160 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when misc_enable : @[Reg.scala 28:19]
_T_1160 <= valid_ff_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
valid_ff <= _T_1160 @[exu_div_ctl.scala 778:12]
inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_1.io.en <= misc_enable @[lib.scala 393:17]
rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1161 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when misc_enable : @[Reg.scala 28:19]
_T_1161 <= control_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
control_ff <= _T_1161 @[exu_div_ctl.scala 779:16]
inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23]
rvclkhdr_2.clock <= clock
rvclkhdr_2.reset <= reset
rvclkhdr_2.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_2.io.en <= misc_enable @[lib.scala 393:17]
rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1162 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when misc_enable : @[Reg.scala 28:19]
_T_1162 <= by_zero_case @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
by_zero_case_ff <= _T_1162 @[exu_div_ctl.scala 780:19]
inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23]
rvclkhdr_3.clock <= clock
rvclkhdr_3.reset <= reset
rvclkhdr_3.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_3.io.en <= misc_enable @[lib.scala 393:17]
rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1163 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when misc_enable : @[Reg.scala 28:19]
_T_1163 <= shortq_enable @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
shortq_enable_ff <= _T_1163 @[exu_div_ctl.scala 781:20]
inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23]
rvclkhdr_4.clock <= clock
rvclkhdr_4.reset <= reset
rvclkhdr_4.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_4.io.en <= misc_enable @[lib.scala 393:17]
rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1164 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when misc_enable : @[Reg.scala 28:19]
_T_1164 <= shortq_shift @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_1165 = cat(_T_1164, UInt<1>("h00")) @[Cat.scala 29:58]
shortq_shift_ff <= _T_1165 @[exu_div_ctl.scala 782:19]
inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23]
rvclkhdr_5.clock <= clock
rvclkhdr_5.reset <= reset
rvclkhdr_5.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_5.io.en <= misc_enable @[lib.scala 393:17]
rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1166 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when misc_enable : @[Reg.scala 28:19]
_T_1166 <= finish @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
finish_ff <= _T_1166 @[exu_div_ctl.scala 783:13]
inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23]
rvclkhdr_6.clock <= clock
rvclkhdr_6.reset <= reset
rvclkhdr_6.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_6.io.en <= misc_enable @[lib.scala 393:17]
rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1167 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when misc_enable : @[Reg.scala 28:19]
_T_1167 <= count_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
count_ff <= _T_1167 @[exu_div_ctl.scala 784:12]
inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23]
rvclkhdr_7.clock <= clock
rvclkhdr_7.reset <= reset
rvclkhdr_7.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_7.io.en <= a_enable @[lib.scala 393:17]
rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1168 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when a_enable : @[Reg.scala 28:19]
_T_1168 <= a_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
a_ff <= _T_1168 @[exu_div_ctl.scala 786:8]
node _T_1169 = bits(b_in, 32, 0) @[exu_div_ctl.scala 787:23]
inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23]
rvclkhdr_8.clock <= clock
rvclkhdr_8.reset <= reset
rvclkhdr_8.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_8.io.en <= b_enable @[lib.scala 393:17]
rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1170 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when b_enable : @[Reg.scala 28:19]
_T_1170 <= _T_1169 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
b_ff1 <= _T_1170 @[exu_div_ctl.scala 787:9]
inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23]
rvclkhdr_9.clock <= clock
rvclkhdr_9.reset <= reset
rvclkhdr_9.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_9.io.en <= rq_enable @[lib.scala 393:17]
rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1171 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when rq_enable : @[Reg.scala 28:19]
_T_1171 <= r_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
r_ff <= _T_1171 @[exu_div_ctl.scala 788:8]
inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23]
rvclkhdr_10.clock <= clock
rvclkhdr_10.reset <= reset
rvclkhdr_10.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_10.io.en <= rq_enable @[lib.scala 393:17]
rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1172 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when rq_enable : @[Reg.scala 28:19]
_T_1172 <= q_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
q_ff <= _T_1172 @[exu_div_ctl.scala 789:8]