quasar/exu_div_ctl.fir

2271 lines
122 KiB
Plaintext

;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10
circuit exu_div_ctl :
extmodule gated_latch :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_1 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_1 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_1 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_2 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_2 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_2 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_3 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_3 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_3 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_4 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_4 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_4 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_5 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_5 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_5 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_6 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_6 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_6 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_7 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_7 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_7 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_8 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_8 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_8 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_9 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_9 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_9 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_10 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_10 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_10 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_11 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_11 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_11 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_12 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_12 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_12 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_13 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_13 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_13 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_14 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_14 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_14 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
module el2_exu_div_existing_1bit_cheapshortq :
input clock : Clock
input reset : AsyncReset
output io : {flip scan_mode : UInt<1>, flip cancel : UInt<1>, flip valid_in : UInt<1>, flip signed_in : UInt<1>, flip rem_in : UInt<1>, flip dividend_in : UInt<32>, flip divisor_in : UInt<32>, data_out : UInt<32>, valid_out : UInt<1>}
wire run_state : UInt<1>
run_state <= UInt<1>("h00")
wire count : UInt<6>
count <= UInt<6>("h00")
wire m_ff : UInt<33>
m_ff <= UInt<33>("h00")
wire q_in : UInt<33>
q_in <= UInt<33>("h00")
wire q_ff : UInt<33>
q_ff <= UInt<33>("h00")
wire a_in : UInt<33>
a_in <= UInt<33>("h00")
wire a_ff : UInt<33>
a_ff <= UInt<33>("h00")
wire m_eff : UInt<33>
m_eff <= UInt<33>("h00")
wire dividend_neg_ff : UInt<1>
dividend_neg_ff <= UInt<1>("h00")
wire divisor_neg_ff : UInt<1>
divisor_neg_ff <= UInt<1>("h00")
wire dividend_comp : UInt<32>
dividend_comp <= UInt<32>("h00")
wire q_ff_comp : UInt<32>
q_ff_comp <= UInt<32>("h00")
wire a_ff_comp : UInt<32>
a_ff_comp <= UInt<32>("h00")
wire sign_ff : UInt<1>
sign_ff <= UInt<1>("h00")
wire rem_ff : UInt<1>
rem_ff <= UInt<1>("h00")
wire add : UInt<1>
add <= UInt<1>("h00")
wire a_eff : UInt<33>
a_eff <= UInt<33>("h00")
wire a_eff_shift : UInt<65>
a_eff_shift <= UInt<65>("h00")
wire rem_correct : UInt<1>
rem_correct <= UInt<1>("h00")
wire valid_ff_x : UInt<1>
valid_ff_x <= UInt<1>("h00")
wire finish_ff : UInt<1>
finish_ff <= UInt<1>("h00")
wire smallnum_case_ff : UInt<1>
smallnum_case_ff <= UInt<1>("h00")
wire smallnum_ff : UInt<4>
smallnum_ff <= UInt<4>("h00")
wire smallnum_case : UInt<1>
smallnum_case <= UInt<1>("h00")
wire count_in : UInt<6>
count_in <= UInt<6>("h00")
wire dividend_eff : UInt<32>
dividend_eff <= UInt<32>("h00")
wire a_shift : UInt<33>
a_shift <= UInt<33>("h00")
wire shortq : UInt<6>
shortq <= UInt<6>("h00")
node _T = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 127:30]
node valid_x = and(valid_ff_x, _T) @[exu_div_ctl.scala 127:28]
node _T_1 = bits(q_ff, 31, 4) @[exu_div_ctl.scala 133:27]
node _T_2 = eq(_T_1, UInt<1>("h00")) @[exu_div_ctl.scala 133:34]
node _T_3 = bits(m_ff, 31, 4) @[exu_div_ctl.scala 133:50]
node _T_4 = eq(_T_3, UInt<1>("h00")) @[exu_div_ctl.scala 133:57]
node _T_5 = and(_T_2, _T_4) @[exu_div_ctl.scala 133:43]
node _T_6 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 133:73]
node _T_7 = neq(_T_6, UInt<1>("h00")) @[exu_div_ctl.scala 133:80]
node _T_8 = and(_T_5, _T_7) @[exu_div_ctl.scala 133:66]
node _T_9 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 133:91]
node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 133:89]
node _T_11 = and(_T_10, valid_x) @[exu_div_ctl.scala 133:99]
node _T_12 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 134:11]
node _T_13 = eq(_T_12, UInt<1>("h00")) @[exu_div_ctl.scala 134:18]
node _T_14 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 134:34]
node _T_15 = neq(_T_14, UInt<1>("h00")) @[exu_div_ctl.scala 134:41]
node _T_16 = and(_T_13, _T_15) @[exu_div_ctl.scala 134:27]
node _T_17 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 134:52]
node _T_18 = and(_T_16, _T_17) @[exu_div_ctl.scala 134:50]
node _T_19 = and(_T_18, valid_x) @[exu_div_ctl.scala 134:60]
node _T_20 = or(_T_11, _T_19) @[exu_div_ctl.scala 133:110]
smallnum_case <= _T_20 @[exu_div_ctl.scala 133:17]
node _T_21 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_22 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_23 = eq(_T_22, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_24 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_25 = eq(_T_24, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_26 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_27 = eq(_T_26, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_28 = and(_T_23, _T_25) @[exu_div_ctl.scala 138:94]
node _T_29 = and(_T_28, _T_27) @[exu_div_ctl.scala 138:94]
node _T_30 = and(_T_21, _T_29) @[exu_div_ctl.scala 139:10]
node _T_31 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_32 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_33 = eq(_T_32, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_34 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_35 = eq(_T_34, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_36 = and(_T_33, _T_35) @[exu_div_ctl.scala 138:94]
node _T_37 = and(_T_31, _T_36) @[exu_div_ctl.scala 139:10]
node _T_38 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 145:37]
node _T_39 = eq(_T_38, UInt<1>("h00")) @[exu_div_ctl.scala 145:32]
node _T_40 = and(_T_37, _T_39) @[exu_div_ctl.scala 145:30]
node _T_41 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_42 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_43 = eq(_T_42, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_44 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_45 = eq(_T_44, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_46 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_47 = eq(_T_46, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_48 = and(_T_43, _T_45) @[exu_div_ctl.scala 138:94]
node _T_49 = and(_T_48, _T_47) @[exu_div_ctl.scala 138:94]
node _T_50 = and(_T_41, _T_49) @[exu_div_ctl.scala 139:10]
node _T_51 = or(_T_40, _T_50) @[exu_div_ctl.scala 145:41]
node _T_52 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_53 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_54 = and(_T_52, _T_53) @[exu_div_ctl.scala 137:94]
node _T_55 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_56 = eq(_T_55, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_57 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_58 = eq(_T_57, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_59 = and(_T_56, _T_58) @[exu_div_ctl.scala 138:94]
node _T_60 = and(_T_54, _T_59) @[exu_div_ctl.scala 139:10]
node _T_61 = or(_T_51, _T_60) @[exu_div_ctl.scala 145:73]
node _T_62 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_63 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_64 = eq(_T_63, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_65 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_66 = eq(_T_65, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_67 = and(_T_64, _T_66) @[exu_div_ctl.scala 138:94]
node _T_68 = and(_T_62, _T_67) @[exu_div_ctl.scala 139:10]
node _T_69 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 147:37]
node _T_70 = eq(_T_69, UInt<1>("h00")) @[exu_div_ctl.scala 147:32]
node _T_71 = and(_T_68, _T_70) @[exu_div_ctl.scala 147:30]
node _T_72 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_73 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_74 = eq(_T_73, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_75 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_76 = eq(_T_75, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_77 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_78 = eq(_T_77, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_79 = and(_T_74, _T_76) @[exu_div_ctl.scala 138:94]
node _T_80 = and(_T_79, _T_78) @[exu_div_ctl.scala 138:94]
node _T_81 = and(_T_72, _T_80) @[exu_div_ctl.scala 139:10]
node _T_82 = or(_T_71, _T_81) @[exu_div_ctl.scala 147:41]
node _T_83 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_84 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_85 = eq(_T_84, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_86 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_87 = eq(_T_86, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_88 = and(_T_85, _T_87) @[exu_div_ctl.scala 138:94]
node _T_89 = and(_T_83, _T_88) @[exu_div_ctl.scala 139:10]
node _T_90 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 147:110]
node _T_91 = eq(_T_90, UInt<1>("h00")) @[exu_div_ctl.scala 147:105]
node _T_92 = and(_T_89, _T_91) @[exu_div_ctl.scala 147:103]
node _T_93 = or(_T_82, _T_92) @[exu_div_ctl.scala 147:76]
node _T_94 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_95 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74]
node _T_96 = eq(_T_95, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_97 = and(_T_94, _T_96) @[exu_div_ctl.scala 137:94]
node _T_98 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_99 = eq(_T_98, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_100 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_101 = eq(_T_100, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_102 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57]
node _T_103 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57]
node _T_104 = and(_T_99, _T_101) @[exu_div_ctl.scala 138:94]
node _T_105 = and(_T_104, _T_102) @[exu_div_ctl.scala 138:94]
node _T_106 = and(_T_105, _T_103) @[exu_div_ctl.scala 138:94]
node _T_107 = and(_T_97, _T_106) @[exu_div_ctl.scala 139:10]
node _T_108 = or(_T_93, _T_107) @[exu_div_ctl.scala 147:114]
node _T_109 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74]
node _T_110 = eq(_T_109, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_111 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_112 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_113 = and(_T_110, _T_111) @[exu_div_ctl.scala 137:94]
node _T_114 = and(_T_113, _T_112) @[exu_div_ctl.scala 137:94]
node _T_115 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_116 = eq(_T_115, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_117 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_118 = eq(_T_117, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_119 = and(_T_116, _T_118) @[exu_div_ctl.scala 138:94]
node _T_120 = and(_T_114, _T_119) @[exu_div_ctl.scala 139:10]
node _T_121 = or(_T_108, _T_120) @[exu_div_ctl.scala 148:43]
node _T_122 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_123 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_124 = and(_T_122, _T_123) @[exu_div_ctl.scala 137:94]
node _T_125 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_126 = eq(_T_125, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_127 = and(_T_124, _T_126) @[exu_div_ctl.scala 139:10]
node _T_128 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 148:111]
node _T_129 = eq(_T_128, UInt<1>("h00")) @[exu_div_ctl.scala 148:106]
node _T_130 = and(_T_127, _T_129) @[exu_div_ctl.scala 148:104]
node _T_131 = or(_T_121, _T_130) @[exu_div_ctl.scala 148:78]
node _T_132 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_133 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_134 = and(_T_132, _T_133) @[exu_div_ctl.scala 137:94]
node _T_135 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_136 = eq(_T_135, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_137 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57]
node _T_138 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_139 = eq(_T_138, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_140 = and(_T_136, _T_137) @[exu_div_ctl.scala 138:94]
node _T_141 = and(_T_140, _T_139) @[exu_div_ctl.scala 138:94]
node _T_142 = and(_T_134, _T_141) @[exu_div_ctl.scala 139:10]
node _T_143 = or(_T_131, _T_142) @[exu_div_ctl.scala 148:116]
node _T_144 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_145 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_146 = and(_T_144, _T_145) @[exu_div_ctl.scala 137:94]
node _T_147 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_148 = eq(_T_147, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_149 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_150 = eq(_T_149, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_151 = and(_T_148, _T_150) @[exu_div_ctl.scala 138:94]
node _T_152 = and(_T_146, _T_151) @[exu_div_ctl.scala 139:10]
node _T_153 = or(_T_143, _T_152) @[exu_div_ctl.scala 149:43]
node _T_154 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_155 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_156 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_157 = and(_T_154, _T_155) @[exu_div_ctl.scala 137:94]
node _T_158 = and(_T_157, _T_156) @[exu_div_ctl.scala 137:94]
node _T_159 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_160 = eq(_T_159, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_161 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57]
node _T_162 = and(_T_160, _T_161) @[exu_div_ctl.scala 138:94]
node _T_163 = and(_T_158, _T_162) @[exu_div_ctl.scala 139:10]
node _T_164 = or(_T_153, _T_163) @[exu_div_ctl.scala 149:77]
node _T_165 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_166 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_167 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57]
node _T_168 = and(_T_165, _T_166) @[exu_div_ctl.scala 137:94]
node _T_169 = and(_T_168, _T_167) @[exu_div_ctl.scala 137:94]
node _T_170 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_171 = eq(_T_170, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_172 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_173 = eq(_T_172, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_174 = and(_T_171, _T_173) @[exu_div_ctl.scala 138:94]
node _T_175 = and(_T_169, _T_174) @[exu_div_ctl.scala 139:10]
node _T_176 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_177 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74]
node _T_178 = eq(_T_177, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_179 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57]
node _T_180 = and(_T_176, _T_178) @[exu_div_ctl.scala 137:94]
node _T_181 = and(_T_180, _T_179) @[exu_div_ctl.scala 137:94]
node _T_182 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_183 = eq(_T_182, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_184 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57]
node _T_185 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57]
node _T_186 = and(_T_183, _T_184) @[exu_div_ctl.scala 138:94]
node _T_187 = and(_T_186, _T_185) @[exu_div_ctl.scala 138:94]
node _T_188 = and(_T_181, _T_187) @[exu_div_ctl.scala 139:10]
node _T_189 = or(_T_175, _T_188) @[exu_div_ctl.scala 151:44]
node _T_190 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_191 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_192 = eq(_T_191, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_193 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_194 = eq(_T_193, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_195 = and(_T_192, _T_194) @[exu_div_ctl.scala 138:94]
node _T_196 = and(_T_190, _T_195) @[exu_div_ctl.scala 139:10]
node _T_197 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 151:118]
node _T_198 = eq(_T_197, UInt<1>("h00")) @[exu_div_ctl.scala 151:113]
node _T_199 = and(_T_196, _T_198) @[exu_div_ctl.scala 151:111]
node _T_200 = or(_T_189, _T_199) @[exu_div_ctl.scala 151:84]
node _T_201 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_202 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_203 = eq(_T_202, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_204 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_205 = eq(_T_204, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_206 = and(_T_203, _T_205) @[exu_div_ctl.scala 138:94]
node _T_207 = and(_T_201, _T_206) @[exu_div_ctl.scala 139:10]
node _T_208 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 152:39]
node _T_209 = eq(_T_208, UInt<1>("h00")) @[exu_div_ctl.scala 152:34]
node _T_210 = and(_T_207, _T_209) @[exu_div_ctl.scala 152:32]
node _T_211 = or(_T_200, _T_210) @[exu_div_ctl.scala 151:126]
node _T_212 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57]
node _T_213 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_214 = eq(_T_213, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_215 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_216 = eq(_T_215, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_217 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_218 = eq(_T_217, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_219 = and(_T_214, _T_216) @[exu_div_ctl.scala 138:94]
node _T_220 = and(_T_219, _T_218) @[exu_div_ctl.scala 138:94]
node _T_221 = and(_T_212, _T_220) @[exu_div_ctl.scala 139:10]
node _T_222 = or(_T_211, _T_221) @[exu_div_ctl.scala 152:46]
node _T_223 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74]
node _T_224 = eq(_T_223, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_225 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_226 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:74]
node _T_227 = eq(_T_226, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_228 = and(_T_224, _T_225) @[exu_div_ctl.scala 137:94]
node _T_229 = and(_T_228, _T_227) @[exu_div_ctl.scala 137:94]
node _T_230 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_231 = eq(_T_230, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_232 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_233 = eq(_T_232, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_234 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57]
node _T_235 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57]
node _T_236 = and(_T_231, _T_233) @[exu_div_ctl.scala 138:94]
node _T_237 = and(_T_236, _T_234) @[exu_div_ctl.scala 138:94]
node _T_238 = and(_T_237, _T_235) @[exu_div_ctl.scala 138:94]
node _T_239 = and(_T_229, _T_238) @[exu_div_ctl.scala 139:10]
node _T_240 = or(_T_222, _T_239) @[exu_div_ctl.scala 152:86]
node _T_241 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74]
node _T_242 = eq(_T_241, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_243 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_244 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_245 = and(_T_242, _T_243) @[exu_div_ctl.scala 137:94]
node _T_246 = and(_T_245, _T_244) @[exu_div_ctl.scala 137:94]
node _T_247 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_248 = eq(_T_247, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_249 = and(_T_246, _T_248) @[exu_div_ctl.scala 139:10]
node _T_250 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 153:42]
node _T_251 = eq(_T_250, UInt<1>("h00")) @[exu_div_ctl.scala 153:37]
node _T_252 = and(_T_249, _T_251) @[exu_div_ctl.scala 153:35]
node _T_253 = or(_T_240, _T_252) @[exu_div_ctl.scala 152:128]
node _T_254 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_255 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_256 = eq(_T_255, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_257 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_258 = eq(_T_257, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_259 = and(_T_256, _T_258) @[exu_div_ctl.scala 138:94]
node _T_260 = and(_T_254, _T_259) @[exu_div_ctl.scala 139:10]
node _T_261 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 153:81]
node _T_262 = eq(_T_261, UInt<1>("h00")) @[exu_div_ctl.scala 153:76]
node _T_263 = and(_T_260, _T_262) @[exu_div_ctl.scala 153:74]
node _T_264 = or(_T_253, _T_263) @[exu_div_ctl.scala 153:46]
node _T_265 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_266 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74]
node _T_267 = eq(_T_266, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_268 = and(_T_265, _T_267) @[exu_div_ctl.scala 137:94]
node _T_269 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_270 = eq(_T_269, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_271 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57]
node _T_272 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57]
node _T_273 = and(_T_270, _T_271) @[exu_div_ctl.scala 138:94]
node _T_274 = and(_T_273, _T_272) @[exu_div_ctl.scala 138:94]
node _T_275 = and(_T_268, _T_274) @[exu_div_ctl.scala 139:10]
node _T_276 = or(_T_264, _T_275) @[exu_div_ctl.scala 153:86]
node _T_277 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74]
node _T_278 = eq(_T_277, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_279 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_280 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_281 = and(_T_278, _T_279) @[exu_div_ctl.scala 137:94]
node _T_282 = and(_T_281, _T_280) @[exu_div_ctl.scala 137:94]
node _T_283 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_284 = eq(_T_283, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_285 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57]
node _T_286 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_287 = eq(_T_286, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_288 = and(_T_284, _T_285) @[exu_div_ctl.scala 138:94]
node _T_289 = and(_T_288, _T_287) @[exu_div_ctl.scala 138:94]
node _T_290 = and(_T_282, _T_289) @[exu_div_ctl.scala 139:10]
node _T_291 = or(_T_276, _T_290) @[exu_div_ctl.scala 153:128]
node _T_292 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74]
node _T_293 = eq(_T_292, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_294 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_295 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57]
node _T_296 = and(_T_293, _T_294) @[exu_div_ctl.scala 137:94]
node _T_297 = and(_T_296, _T_295) @[exu_div_ctl.scala 137:94]
node _T_298 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_299 = eq(_T_298, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_300 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_301 = eq(_T_300, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_302 = and(_T_299, _T_301) @[exu_div_ctl.scala 138:94]
node _T_303 = and(_T_297, _T_302) @[exu_div_ctl.scala 139:10]
node _T_304 = or(_T_291, _T_303) @[exu_div_ctl.scala 154:46]
node _T_305 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_306 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74]
node _T_307 = eq(_T_306, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_308 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:74]
node _T_309 = eq(_T_308, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_310 = and(_T_305, _T_307) @[exu_div_ctl.scala 137:94]
node _T_311 = and(_T_310, _T_309) @[exu_div_ctl.scala 137:94]
node _T_312 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_313 = eq(_T_312, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_314 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57]
node _T_315 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57]
node _T_316 = and(_T_313, _T_314) @[exu_div_ctl.scala 138:94]
node _T_317 = and(_T_316, _T_315) @[exu_div_ctl.scala 138:94]
node _T_318 = and(_T_311, _T_317) @[exu_div_ctl.scala 139:10]
node _T_319 = or(_T_304, _T_318) @[exu_div_ctl.scala 154:86]
node _T_320 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74]
node _T_321 = eq(_T_320, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_322 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_323 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57]
node _T_324 = and(_T_321, _T_322) @[exu_div_ctl.scala 137:94]
node _T_325 = and(_T_324, _T_323) @[exu_div_ctl.scala 137:94]
node _T_326 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_327 = eq(_T_326, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_328 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_329 = eq(_T_328, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_330 = and(_T_327, _T_329) @[exu_div_ctl.scala 138:94]
node _T_331 = and(_T_325, _T_330) @[exu_div_ctl.scala 139:10]
node _T_332 = or(_T_319, _T_331) @[exu_div_ctl.scala 154:128]
node _T_333 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_334 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_335 = and(_T_333, _T_334) @[exu_div_ctl.scala 137:94]
node _T_336 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_337 = eq(_T_336, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_338 = and(_T_335, _T_337) @[exu_div_ctl.scala 139:10]
node _T_339 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 155:80]
node _T_340 = eq(_T_339, UInt<1>("h00")) @[exu_div_ctl.scala 155:75]
node _T_341 = and(_T_338, _T_340) @[exu_div_ctl.scala 155:73]
node _T_342 = or(_T_332, _T_341) @[exu_div_ctl.scala 155:46]
node _T_343 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74]
node _T_344 = eq(_T_343, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_345 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_346 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_347 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57]
node _T_348 = and(_T_344, _T_345) @[exu_div_ctl.scala 137:94]
node _T_349 = and(_T_348, _T_346) @[exu_div_ctl.scala 137:94]
node _T_350 = and(_T_349, _T_347) @[exu_div_ctl.scala 137:94]
node _T_351 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_352 = eq(_T_351, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_353 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57]
node _T_354 = and(_T_352, _T_353) @[exu_div_ctl.scala 138:94]
node _T_355 = and(_T_350, _T_354) @[exu_div_ctl.scala 139:10]
node _T_356 = or(_T_342, _T_355) @[exu_div_ctl.scala 155:86]
node _T_357 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_358 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_359 = and(_T_357, _T_358) @[exu_div_ctl.scala 137:94]
node _T_360 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57]
node _T_361 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_362 = eq(_T_361, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_363 = and(_T_360, _T_362) @[exu_div_ctl.scala 138:94]
node _T_364 = and(_T_359, _T_363) @[exu_div_ctl.scala 139:10]
node _T_365 = or(_T_356, _T_364) @[exu_div_ctl.scala 155:128]
node _T_366 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_367 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_368 = and(_T_366, _T_367) @[exu_div_ctl.scala 137:94]
node _T_369 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57]
node _T_370 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_371 = eq(_T_370, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_372 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_373 = eq(_T_372, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_374 = and(_T_369, _T_371) @[exu_div_ctl.scala 138:94]
node _T_375 = and(_T_374, _T_373) @[exu_div_ctl.scala 138:94]
node _T_376 = and(_T_368, _T_375) @[exu_div_ctl.scala 139:10]
node _T_377 = or(_T_365, _T_376) @[exu_div_ctl.scala 156:46]
node _T_378 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_379 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57]
node _T_380 = and(_T_378, _T_379) @[exu_div_ctl.scala 137:94]
node _T_381 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_382 = eq(_T_381, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_383 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_384 = eq(_T_383, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_385 = and(_T_382, _T_384) @[exu_div_ctl.scala 138:94]
node _T_386 = and(_T_380, _T_385) @[exu_div_ctl.scala 139:10]
node _T_387 = or(_T_377, _T_386) @[exu_div_ctl.scala 156:86]
node _T_388 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_389 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:74]
node _T_390 = eq(_T_389, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_391 = and(_T_388, _T_390) @[exu_div_ctl.scala 137:94]
node _T_392 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_393 = eq(_T_392, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_394 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57]
node _T_395 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57]
node _T_396 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57]
node _T_397 = and(_T_393, _T_394) @[exu_div_ctl.scala 138:94]
node _T_398 = and(_T_397, _T_395) @[exu_div_ctl.scala 138:94]
node _T_399 = and(_T_398, _T_396) @[exu_div_ctl.scala 138:94]
node _T_400 = and(_T_391, _T_399) @[exu_div_ctl.scala 139:10]
node _T_401 = or(_T_387, _T_400) @[exu_div_ctl.scala 156:128]
node _T_402 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_403 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_404 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_405 = and(_T_402, _T_403) @[exu_div_ctl.scala 137:94]
node _T_406 = and(_T_405, _T_404) @[exu_div_ctl.scala 137:94]
node _T_407 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57]
node _T_408 = and(_T_406, _T_407) @[exu_div_ctl.scala 139:10]
node _T_409 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 157:82]
node _T_410 = eq(_T_409, UInt<1>("h00")) @[exu_div_ctl.scala 157:77]
node _T_411 = and(_T_408, _T_410) @[exu_div_ctl.scala 157:75]
node _T_412 = or(_T_401, _T_411) @[exu_div_ctl.scala 157:46]
node _T_413 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_414 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_415 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_416 = and(_T_413, _T_414) @[exu_div_ctl.scala 137:94]
node _T_417 = and(_T_416, _T_415) @[exu_div_ctl.scala 137:94]
node _T_418 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57]
node _T_419 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_420 = eq(_T_419, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_421 = and(_T_418, _T_420) @[exu_div_ctl.scala 138:94]
node _T_422 = and(_T_417, _T_421) @[exu_div_ctl.scala 139:10]
node _T_423 = or(_T_412, _T_422) @[exu_div_ctl.scala 157:86]
node _T_424 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_425 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_426 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57]
node _T_427 = and(_T_424, _T_425) @[exu_div_ctl.scala 137:94]
node _T_428 = and(_T_427, _T_426) @[exu_div_ctl.scala 137:94]
node _T_429 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57]
node _T_430 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74]
node _T_431 = eq(_T_430, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_432 = and(_T_429, _T_431) @[exu_div_ctl.scala 138:94]
node _T_433 = and(_T_428, _T_432) @[exu_div_ctl.scala 139:10]
node _T_434 = or(_T_423, _T_433) @[exu_div_ctl.scala 157:128]
node _T_435 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_436 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74]
node _T_437 = eq(_T_436, UInt<1>("h00")) @[exu_div_ctl.scala 137:69]
node _T_438 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_439 = and(_T_435, _T_437) @[exu_div_ctl.scala 137:94]
node _T_440 = and(_T_439, _T_438) @[exu_div_ctl.scala 137:94]
node _T_441 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74]
node _T_442 = eq(_T_441, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_443 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57]
node _T_444 = and(_T_442, _T_443) @[exu_div_ctl.scala 138:94]
node _T_445 = and(_T_440, _T_444) @[exu_div_ctl.scala 139:10]
node _T_446 = or(_T_434, _T_445) @[exu_div_ctl.scala 158:46]
node _T_447 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_448 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_449 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57]
node _T_450 = and(_T_447, _T_448) @[exu_div_ctl.scala 137:94]
node _T_451 = and(_T_450, _T_449) @[exu_div_ctl.scala 137:94]
node _T_452 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_453 = eq(_T_452, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_454 = and(_T_451, _T_453) @[exu_div_ctl.scala 139:10]
node _T_455 = or(_T_446, _T_454) @[exu_div_ctl.scala 158:86]
node _T_456 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_457 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57]
node _T_458 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_459 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57]
node _T_460 = and(_T_456, _T_457) @[exu_div_ctl.scala 137:94]
node _T_461 = and(_T_460, _T_458) @[exu_div_ctl.scala 137:94]
node _T_462 = and(_T_461, _T_459) @[exu_div_ctl.scala 137:94]
node _T_463 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57]
node _T_464 = and(_T_462, _T_463) @[exu_div_ctl.scala 139:10]
node _T_465 = or(_T_455, _T_464) @[exu_div_ctl.scala 158:128]
node _T_466 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57]
node _T_467 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57]
node _T_468 = and(_T_466, _T_467) @[exu_div_ctl.scala 137:94]
node _T_469 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74]
node _T_470 = eq(_T_469, UInt<1>("h00")) @[exu_div_ctl.scala 138:69]
node _T_471 = and(_T_468, _T_470) @[exu_div_ctl.scala 139:10]
node _T_472 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 159:79]
node _T_473 = eq(_T_472, UInt<1>("h00")) @[exu_div_ctl.scala 159:74]
node _T_474 = and(_T_471, _T_473) @[exu_div_ctl.scala 159:72]
node _T_475 = or(_T_465, _T_474) @[exu_div_ctl.scala 159:46]
node _T_476 = cat(_T_164, _T_475) @[Cat.scala 29:58]
node _T_477 = cat(_T_30, _T_61) @[Cat.scala 29:58]
node smallnum = cat(_T_477, _T_476) @[Cat.scala 29:58]
wire shortq_enable_ff : UInt<1>
shortq_enable_ff <= UInt<1>("h00")
wire short_dividend : UInt<33>
short_dividend <= UInt<33>("h00")
wire shortq_shift_xx : UInt<4>
shortq_shift_xx <= UInt<4>("h00")
node _T_478 = bits(q_ff, 31, 31) @[exu_div_ctl.scala 168:40]
node _T_479 = and(sign_ff, _T_478) @[exu_div_ctl.scala 168:34]
node _T_480 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 168:49]
node _T_481 = cat(_T_479, _T_480) @[Cat.scala 29:58]
short_dividend <= _T_481 @[exu_div_ctl.scala 168:18]
node _T_482 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 173:22]
node _T_483 = bits(_T_482, 0, 0) @[exu_div_ctl.scala 173:27]
node _T_484 = eq(_T_483, UInt<1>("h00")) @[exu_div_ctl.scala 173:7]
node _T_485 = bits(short_dividend, 31, 24) @[exu_div_ctl.scala 173:52]
node _T_486 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_487 = neq(_T_485, _T_486) @[exu_div_ctl.scala 173:60]
node _T_488 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 174:21]
node _T_489 = bits(_T_488, 0, 0) @[exu_div_ctl.scala 174:26]
node _T_490 = bits(short_dividend, 31, 23) @[exu_div_ctl.scala 174:51]
node _T_491 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12]
node _T_492 = neq(_T_490, _T_491) @[exu_div_ctl.scala 174:59]
node _T_493 = mux(_T_484, _T_487, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_494 = mux(_T_489, _T_492, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_495 = or(_T_493, _T_494) @[Mux.scala 27:72]
wire _T_496 : UInt<1> @[Mux.scala 27:72]
_T_496 <= _T_495 @[Mux.scala 27:72]
node _T_497 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 177:22]
node _T_498 = bits(_T_497, 0, 0) @[exu_div_ctl.scala 177:27]
node _T_499 = eq(_T_498, UInt<1>("h00")) @[exu_div_ctl.scala 177:7]
node _T_500 = bits(short_dividend, 23, 16) @[exu_div_ctl.scala 177:52]
node _T_501 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_502 = neq(_T_500, _T_501) @[exu_div_ctl.scala 177:60]
node _T_503 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 178:21]
node _T_504 = bits(_T_503, 0, 0) @[exu_div_ctl.scala 178:26]
node _T_505 = bits(short_dividend, 22, 15) @[exu_div_ctl.scala 178:51]
node _T_506 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_507 = neq(_T_505, _T_506) @[exu_div_ctl.scala 178:59]
node _T_508 = mux(_T_499, _T_502, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_509 = mux(_T_504, _T_507, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_510 = or(_T_508, _T_509) @[Mux.scala 27:72]
wire _T_511 : UInt<1> @[Mux.scala 27:72]
_T_511 <= _T_510 @[Mux.scala 27:72]
node _T_512 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 181:22]
node _T_513 = bits(_T_512, 0, 0) @[exu_div_ctl.scala 181:27]
node _T_514 = eq(_T_513, UInt<1>("h00")) @[exu_div_ctl.scala 181:7]
node _T_515 = bits(short_dividend, 15, 8) @[exu_div_ctl.scala 181:52]
node _T_516 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_517 = neq(_T_515, _T_516) @[exu_div_ctl.scala 181:59]
node _T_518 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 182:21]
node _T_519 = bits(_T_518, 0, 0) @[exu_div_ctl.scala 182:26]
node _T_520 = bits(short_dividend, 14, 7) @[exu_div_ctl.scala 182:51]
node _T_521 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_522 = neq(_T_520, _T_521) @[exu_div_ctl.scala 182:58]
node _T_523 = mux(_T_514, _T_517, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_524 = mux(_T_519, _T_522, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_525 = or(_T_523, _T_524) @[Mux.scala 27:72]
wire _T_526 : UInt<1> @[Mux.scala 27:72]
_T_526 <= _T_525 @[Mux.scala 27:72]
node _T_527 = cat(_T_511, _T_526) @[Cat.scala 29:58]
node _T_528 = cat(UInt<2>("h00"), _T_496) @[Cat.scala 29:58]
node a_cls = cat(_T_528, _T_527) @[Cat.scala 29:58]
node _T_529 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 187:12]
node _T_530 = bits(_T_529, 0, 0) @[exu_div_ctl.scala 187:17]
node _T_531 = eq(_T_530, UInt<1>("h00")) @[exu_div_ctl.scala 187:7]
node _T_532 = bits(m_ff, 31, 24) @[exu_div_ctl.scala 187:32]
node _T_533 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_534 = neq(_T_532, _T_533) @[exu_div_ctl.scala 187:40]
node _T_535 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 188:11]
node _T_536 = bits(_T_535, 0, 0) @[exu_div_ctl.scala 188:16]
node _T_537 = bits(m_ff, 31, 24) @[exu_div_ctl.scala 188:31]
node _T_538 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_539 = neq(_T_537, _T_538) @[exu_div_ctl.scala 188:39]
node _T_540 = mux(_T_531, _T_534, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_541 = mux(_T_536, _T_539, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_542 = or(_T_540, _T_541) @[Mux.scala 27:72]
wire _T_543 : UInt<1> @[Mux.scala 27:72]
_T_543 <= _T_542 @[Mux.scala 27:72]
node _T_544 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 191:12]
node _T_545 = bits(_T_544, 0, 0) @[exu_div_ctl.scala 191:17]
node _T_546 = eq(_T_545, UInt<1>("h00")) @[exu_div_ctl.scala 191:7]
node _T_547 = bits(m_ff, 23, 16) @[exu_div_ctl.scala 191:32]
node _T_548 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_549 = neq(_T_547, _T_548) @[exu_div_ctl.scala 191:40]
node _T_550 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 192:11]
node _T_551 = bits(_T_550, 0, 0) @[exu_div_ctl.scala 192:16]
node _T_552 = bits(m_ff, 23, 16) @[exu_div_ctl.scala 192:31]
node _T_553 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_554 = neq(_T_552, _T_553) @[exu_div_ctl.scala 192:39]
node _T_555 = mux(_T_546, _T_549, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_556 = mux(_T_551, _T_554, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_557 = or(_T_555, _T_556) @[Mux.scala 27:72]
wire _T_558 : UInt<1> @[Mux.scala 27:72]
_T_558 <= _T_557 @[Mux.scala 27:72]
node _T_559 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 195:12]
node _T_560 = bits(_T_559, 0, 0) @[exu_div_ctl.scala 195:17]
node _T_561 = eq(_T_560, UInt<1>("h00")) @[exu_div_ctl.scala 195:7]
node _T_562 = bits(m_ff, 15, 8) @[exu_div_ctl.scala 195:32]
node _T_563 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_564 = neq(_T_562, _T_563) @[exu_div_ctl.scala 195:39]
node _T_565 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 196:11]
node _T_566 = bits(_T_565, 0, 0) @[exu_div_ctl.scala 196:16]
node _T_567 = bits(m_ff, 15, 8) @[exu_div_ctl.scala 196:31]
node _T_568 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_569 = neq(_T_567, _T_568) @[exu_div_ctl.scala 196:38]
node _T_570 = mux(_T_561, _T_564, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_571 = mux(_T_566, _T_569, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_572 = or(_T_570, _T_571) @[Mux.scala 27:72]
wire _T_573 : UInt<1> @[Mux.scala 27:72]
_T_573 <= _T_572 @[Mux.scala 27:72]
node _T_574 = cat(_T_558, _T_573) @[Cat.scala 29:58]
node _T_575 = cat(UInt<2>("h00"), _T_543) @[Cat.scala 29:58]
node b_cls = cat(_T_575, _T_574) @[Cat.scala 29:58]
node _T_576 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 200:13]
node _T_577 = eq(_T_576, UInt<1>("h01")) @[exu_div_ctl.scala 200:19]
node _T_578 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 200:42]
node _T_579 = eq(_T_578, UInt<1>("h01")) @[exu_div_ctl.scala 200:48]
node _T_580 = and(_T_577, _T_579) @[exu_div_ctl.scala 200:34]
node _T_581 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 201:15]
node _T_582 = eq(_T_581, UInt<1>("h01")) @[exu_div_ctl.scala 201:21]
node _T_583 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 201:44]
node _T_584 = eq(_T_583, UInt<1>("h01")) @[exu_div_ctl.scala 201:50]
node _T_585 = and(_T_582, _T_584) @[exu_div_ctl.scala 201:36]
node _T_586 = or(_T_580, _T_585) @[exu_div_ctl.scala 200:65]
node _T_587 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 202:15]
node _T_588 = eq(_T_587, UInt<1>("h00")) @[exu_div_ctl.scala 202:21]
node _T_589 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 202:44]
node _T_590 = eq(_T_589, UInt<1>("h01")) @[exu_div_ctl.scala 202:50]
node _T_591 = and(_T_588, _T_590) @[exu_div_ctl.scala 202:36]
node _T_592 = or(_T_586, _T_591) @[exu_div_ctl.scala 201:67]
node _T_593 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 203:15]
node _T_594 = eq(_T_593, UInt<1>("h01")) @[exu_div_ctl.scala 203:21]
node _T_595 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 203:44]
node _T_596 = eq(_T_595, UInt<1>("h01")) @[exu_div_ctl.scala 203:50]
node _T_597 = and(_T_594, _T_596) @[exu_div_ctl.scala 203:36]
node _T_598 = or(_T_592, _T_597) @[exu_div_ctl.scala 202:67]
node _T_599 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 204:15]
node _T_600 = eq(_T_599, UInt<1>("h00")) @[exu_div_ctl.scala 204:21]
node _T_601 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 204:44]
node _T_602 = eq(_T_601, UInt<1>("h01")) @[exu_div_ctl.scala 204:50]
node _T_603 = and(_T_600, _T_602) @[exu_div_ctl.scala 204:36]
node _T_604 = or(_T_598, _T_603) @[exu_div_ctl.scala 203:67]
node _T_605 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 205:15]
node _T_606 = eq(_T_605, UInt<1>("h00")) @[exu_div_ctl.scala 205:21]
node _T_607 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 205:44]
node _T_608 = eq(_T_607, UInt<1>("h01")) @[exu_div_ctl.scala 205:50]
node _T_609 = and(_T_606, _T_608) @[exu_div_ctl.scala 205:36]
node _T_610 = or(_T_604, _T_609) @[exu_div_ctl.scala 204:67]
node _T_611 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 207:13]
node _T_612 = eq(_T_611, UInt<1>("h01")) @[exu_div_ctl.scala 207:19]
node _T_613 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 207:42]
node _T_614 = eq(_T_613, UInt<1>("h01")) @[exu_div_ctl.scala 207:48]
node _T_615 = and(_T_612, _T_614) @[exu_div_ctl.scala 207:34]
node _T_616 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 208:15]
node _T_617 = eq(_T_616, UInt<1>("h01")) @[exu_div_ctl.scala 208:21]
node _T_618 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 208:44]
node _T_619 = eq(_T_618, UInt<1>("h01")) @[exu_div_ctl.scala 208:50]
node _T_620 = and(_T_617, _T_619) @[exu_div_ctl.scala 208:36]
node _T_621 = or(_T_615, _T_620) @[exu_div_ctl.scala 207:65]
node _T_622 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 209:15]
node _T_623 = eq(_T_622, UInt<1>("h01")) @[exu_div_ctl.scala 209:21]
node _T_624 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 209:44]
node _T_625 = eq(_T_624, UInt<1>("h01")) @[exu_div_ctl.scala 209:50]
node _T_626 = and(_T_623, _T_625) @[exu_div_ctl.scala 209:36]
node _T_627 = or(_T_621, _T_626) @[exu_div_ctl.scala 208:67]
node _T_628 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 210:15]
node _T_629 = eq(_T_628, UInt<1>("h00")) @[exu_div_ctl.scala 210:21]
node _T_630 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 210:44]
node _T_631 = eq(_T_630, UInt<1>("h00")) @[exu_div_ctl.scala 210:50]
node _T_632 = and(_T_629, _T_631) @[exu_div_ctl.scala 210:36]
node _T_633 = or(_T_627, _T_632) @[exu_div_ctl.scala 209:67]
node _T_634 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 212:13]
node _T_635 = eq(_T_634, UInt<1>("h01")) @[exu_div_ctl.scala 212:19]
node _T_636 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 212:42]
node _T_637 = eq(_T_636, UInt<1>("h01")) @[exu_div_ctl.scala 212:48]
node _T_638 = and(_T_635, _T_637) @[exu_div_ctl.scala 212:34]
node _T_639 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 213:15]
node _T_640 = eq(_T_639, UInt<1>("h01")) @[exu_div_ctl.scala 213:21]
node _T_641 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 213:44]
node _T_642 = eq(_T_641, UInt<1>("h01")) @[exu_div_ctl.scala 213:50]
node _T_643 = and(_T_640, _T_642) @[exu_div_ctl.scala 213:36]
node _T_644 = or(_T_638, _T_643) @[exu_div_ctl.scala 212:65]
node _T_645 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 214:15]
node _T_646 = eq(_T_645, UInt<1>("h01")) @[exu_div_ctl.scala 214:21]
node _T_647 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 214:44]
node _T_648 = eq(_T_647, UInt<1>("h00")) @[exu_div_ctl.scala 214:50]
node _T_649 = and(_T_646, _T_648) @[exu_div_ctl.scala 214:36]
node _T_650 = or(_T_644, _T_649) @[exu_div_ctl.scala 213:67]
node _T_651 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 216:13]
node _T_652 = eq(_T_651, UInt<1>("h01")) @[exu_div_ctl.scala 216:19]
node _T_653 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 216:42]
node _T_654 = eq(_T_653, UInt<1>("h01")) @[exu_div_ctl.scala 216:48]
node _T_655 = and(_T_652, _T_654) @[exu_div_ctl.scala 216:34]
node _T_656 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 217:15]
node _T_657 = eq(_T_656, UInt<1>("h01")) @[exu_div_ctl.scala 217:21]
node _T_658 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 217:44]
node _T_659 = eq(_T_658, UInt<1>("h00")) @[exu_div_ctl.scala 217:50]
node _T_660 = and(_T_657, _T_659) @[exu_div_ctl.scala 217:36]
node _T_661 = or(_T_655, _T_660) @[exu_div_ctl.scala 216:65]
node _T_662 = cat(_T_650, _T_661) @[Cat.scala 29:58]
node _T_663 = cat(_T_610, _T_633) @[Cat.scala 29:58]
node shortq_raw = cat(_T_663, _T_662) @[Cat.scala 29:58]
node _T_664 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 220:42]
node _T_665 = neq(_T_664, UInt<32>("h00")) @[exu_div_ctl.scala 220:49]
node _T_666 = and(valid_ff_x, _T_665) @[exu_div_ctl.scala 220:35]
node _T_667 = neq(shortq_raw, UInt<4>("h00")) @[exu_div_ctl.scala 220:78]
node shortq_enable = and(_T_666, _T_667) @[exu_div_ctl.scala 220:64]
node _T_668 = bits(shortq_enable, 0, 0) @[Bitwise.scala 72:15]
node _T_669 = mux(_T_668, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_670 = and(_T_669, shortq_raw) @[exu_div_ctl.scala 221:57]
node shortq_shift = cat(UInt<2>("h00"), _T_670) @[Cat.scala 29:58]
node _T_671 = bits(shortq_shift_xx, 3, 3) @[exu_div_ctl.scala 223:20]
node _T_672 = bits(_T_671, 0, 0) @[exu_div_ctl.scala 223:24]
node _T_673 = bits(shortq_shift_xx, 2, 2) @[exu_div_ctl.scala 224:20]
node _T_674 = bits(_T_673, 0, 0) @[exu_div_ctl.scala 224:24]
node _T_675 = bits(shortq_shift_xx, 1, 1) @[exu_div_ctl.scala 225:20]
node _T_676 = bits(_T_675, 0, 0) @[exu_div_ctl.scala 225:24]
node _T_677 = bits(shortq_shift_xx, 0, 0) @[exu_div_ctl.scala 226:20]
node _T_678 = bits(_T_677, 0, 0) @[exu_div_ctl.scala 226:24]
node _T_679 = mux(_T_672, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_680 = mux(_T_674, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_681 = mux(_T_676, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_682 = mux(_T_678, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_683 = or(_T_679, _T_680) @[Mux.scala 27:72]
node _T_684 = or(_T_683, _T_681) @[Mux.scala 27:72]
node _T_685 = or(_T_684, _T_682) @[Mux.scala 27:72]
wire _T_686 : UInt<5> @[Mux.scala 27:72]
_T_686 <= _T_685 @[Mux.scala 27:72]
node shortq_shift_ff = cat(UInt<1>("h00"), _T_686) @[Cat.scala 29:58]
node _T_687 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 230:40]
node _T_688 = eq(count, UInt<6>("h020")) @[exu_div_ctl.scala 230:55]
node _T_689 = eq(count, UInt<6>("h021")) @[exu_div_ctl.scala 230:76]
node _T_690 = mux(_T_687, _T_688, _T_689) @[exu_div_ctl.scala 230:39]
node finish = or(smallnum_case, _T_690) @[exu_div_ctl.scala 230:34]
node _T_691 = or(io.valid_in, run_state) @[exu_div_ctl.scala 231:32]
node _T_692 = or(_T_691, finish) @[exu_div_ctl.scala 231:44]
node div_clken = or(_T_692, finish_ff) @[exu_div_ctl.scala 231:53]
node _T_693 = or(io.valid_in, run_state) @[exu_div_ctl.scala 232:33]
node _T_694 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 232:48]
node _T_695 = and(_T_693, _T_694) @[exu_div_ctl.scala 232:46]
node _T_696 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 232:58]
node run_in = and(_T_695, _T_696) @[exu_div_ctl.scala 232:56]
node _T_697 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 233:37]
node _T_698 = and(run_state, _T_697) @[exu_div_ctl.scala 233:35]
node _T_699 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 233:47]
node _T_700 = and(_T_698, _T_699) @[exu_div_ctl.scala 233:45]
node _T_701 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 233:60]
node _T_702 = and(_T_700, _T_701) @[exu_div_ctl.scala 233:58]
node _T_703 = bits(_T_702, 0, 0) @[Bitwise.scala 72:15]
node _T_704 = mux(_T_703, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12]
node _T_705 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 233:111]
node _T_706 = cat(UInt<1>("h00"), _T_705) @[Cat.scala 29:58]
node _T_707 = add(count, _T_706) @[exu_div_ctl.scala 233:86]
node _T_708 = tail(_T_707, 1) @[exu_div_ctl.scala 233:86]
node _T_709 = add(_T_708, UInt<6>("h01")) @[exu_div_ctl.scala 233:118]
node _T_710 = tail(_T_709, 1) @[exu_div_ctl.scala 233:118]
node _T_711 = and(_T_704, _T_710) @[exu_div_ctl.scala 233:77]
count_in <= _T_711 @[exu_div_ctl.scala 233:14]
node _T_712 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 234:33]
node _T_713 = and(finish_ff, _T_712) @[exu_div_ctl.scala 234:31]
io.valid_out <= _T_713 @[exu_div_ctl.scala 234:17]
node _T_714 = eq(io.signed_in, UInt<1>("h00")) @[exu_div_ctl.scala 235:20]
node _T_715 = neq(io.divisor_in, UInt<32>("h00")) @[exu_div_ctl.scala 235:51]
node sign_eff = and(_T_714, _T_715) @[exu_div_ctl.scala 235:34]
node _T_716 = eq(run_state, UInt<1>("h00")) @[exu_div_ctl.scala 238:6]
node _T_717 = bits(_T_716, 0, 0) @[exu_div_ctl.scala 238:18]
node _T_718 = cat(UInt<1>("h00"), io.dividend_in) @[Cat.scala 29:58]
node _T_719 = or(valid_ff_x, shortq_enable_ff) @[exu_div_ctl.scala 239:30]
node _T_720 = and(run_state, _T_719) @[exu_div_ctl.scala 239:16]
node _T_721 = bits(_T_720, 0, 0) @[exu_div_ctl.scala 239:51]
node _T_722 = bits(dividend_eff, 31, 0) @[exu_div_ctl.scala 239:78]
node _T_723 = bits(a_in, 32, 32) @[exu_div_ctl.scala 239:90]
node _T_724 = eq(_T_723, UInt<1>("h00")) @[exu_div_ctl.scala 239:85]
node _T_725 = cat(_T_722, _T_724) @[Cat.scala 29:58]
node _T_726 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 239:114]
node _T_727 = dshl(_T_725, _T_726) @[exu_div_ctl.scala 239:96]
node _T_728 = or(valid_ff_x, shortq_enable_ff) @[exu_div_ctl.scala 240:31]
node _T_729 = eq(_T_728, UInt<1>("h00")) @[exu_div_ctl.scala 240:18]
node _T_730 = and(run_state, _T_729) @[exu_div_ctl.scala 240:16]
node _T_731 = bits(_T_730, 0, 0) @[exu_div_ctl.scala 240:52]
node _T_732 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 240:70]
node _T_733 = bits(a_in, 32, 32) @[exu_div_ctl.scala 240:82]
node _T_734 = eq(_T_733, UInt<1>("h00")) @[exu_div_ctl.scala 240:77]
node _T_735 = cat(_T_732, _T_734) @[Cat.scala 29:58]
node _T_736 = mux(_T_717, _T_718, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_737 = mux(_T_721, _T_727, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_738 = mux(_T_731, _T_735, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_739 = or(_T_736, _T_737) @[Mux.scala 27:72]
node _T_740 = or(_T_739, _T_738) @[Mux.scala 27:72]
wire _T_741 : UInt<64> @[Mux.scala 27:72]
_T_741 <= _T_740 @[Mux.scala 27:72]
q_in <= _T_741 @[exu_div_ctl.scala 237:8]
node _T_742 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 242:50]
node _T_743 = and(run_state, _T_742) @[exu_div_ctl.scala 242:48]
node qff_enable = or(io.valid_in, _T_743) @[exu_div_ctl.scala 242:35]
node _T_744 = and(sign_ff, dividend_neg_ff) @[exu_div_ctl.scala 243:32]
node _T_745 = bits(_T_744, 0, 0) @[exu_div_ctl.scala 243:51]
node _T_746 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 243:74]
wire _T_747 : UInt<1>[31] @[lib.scala 426:20]
node _T_748 = bits(_T_746, 0, 0) @[lib.scala 428:27]
node _T_749 = orr(_T_748) @[lib.scala 428:35]
node _T_750 = bits(_T_746, 1, 1) @[lib.scala 428:44]
node _T_751 = not(_T_750) @[lib.scala 428:40]
node _T_752 = bits(_T_746, 1, 1) @[lib.scala 428:51]
node _T_753 = mux(_T_749, _T_751, _T_752) @[lib.scala 428:23]
_T_747[0] <= _T_753 @[lib.scala 428:17]
node _T_754 = bits(_T_746, 1, 0) @[lib.scala 428:27]
node _T_755 = orr(_T_754) @[lib.scala 428:35]
node _T_756 = bits(_T_746, 2, 2) @[lib.scala 428:44]
node _T_757 = not(_T_756) @[lib.scala 428:40]
node _T_758 = bits(_T_746, 2, 2) @[lib.scala 428:51]
node _T_759 = mux(_T_755, _T_757, _T_758) @[lib.scala 428:23]
_T_747[1] <= _T_759 @[lib.scala 428:17]
node _T_760 = bits(_T_746, 2, 0) @[lib.scala 428:27]
node _T_761 = orr(_T_760) @[lib.scala 428:35]
node _T_762 = bits(_T_746, 3, 3) @[lib.scala 428:44]
node _T_763 = not(_T_762) @[lib.scala 428:40]
node _T_764 = bits(_T_746, 3, 3) @[lib.scala 428:51]
node _T_765 = mux(_T_761, _T_763, _T_764) @[lib.scala 428:23]
_T_747[2] <= _T_765 @[lib.scala 428:17]
node _T_766 = bits(_T_746, 3, 0) @[lib.scala 428:27]
node _T_767 = orr(_T_766) @[lib.scala 428:35]
node _T_768 = bits(_T_746, 4, 4) @[lib.scala 428:44]
node _T_769 = not(_T_768) @[lib.scala 428:40]
node _T_770 = bits(_T_746, 4, 4) @[lib.scala 428:51]
node _T_771 = mux(_T_767, _T_769, _T_770) @[lib.scala 428:23]
_T_747[3] <= _T_771 @[lib.scala 428:17]
node _T_772 = bits(_T_746, 4, 0) @[lib.scala 428:27]
node _T_773 = orr(_T_772) @[lib.scala 428:35]
node _T_774 = bits(_T_746, 5, 5) @[lib.scala 428:44]
node _T_775 = not(_T_774) @[lib.scala 428:40]
node _T_776 = bits(_T_746, 5, 5) @[lib.scala 428:51]
node _T_777 = mux(_T_773, _T_775, _T_776) @[lib.scala 428:23]
_T_747[4] <= _T_777 @[lib.scala 428:17]
node _T_778 = bits(_T_746, 5, 0) @[lib.scala 428:27]
node _T_779 = orr(_T_778) @[lib.scala 428:35]
node _T_780 = bits(_T_746, 6, 6) @[lib.scala 428:44]
node _T_781 = not(_T_780) @[lib.scala 428:40]
node _T_782 = bits(_T_746, 6, 6) @[lib.scala 428:51]
node _T_783 = mux(_T_779, _T_781, _T_782) @[lib.scala 428:23]
_T_747[5] <= _T_783 @[lib.scala 428:17]
node _T_784 = bits(_T_746, 6, 0) @[lib.scala 428:27]
node _T_785 = orr(_T_784) @[lib.scala 428:35]
node _T_786 = bits(_T_746, 7, 7) @[lib.scala 428:44]
node _T_787 = not(_T_786) @[lib.scala 428:40]
node _T_788 = bits(_T_746, 7, 7) @[lib.scala 428:51]
node _T_789 = mux(_T_785, _T_787, _T_788) @[lib.scala 428:23]
_T_747[6] <= _T_789 @[lib.scala 428:17]
node _T_790 = bits(_T_746, 7, 0) @[lib.scala 428:27]
node _T_791 = orr(_T_790) @[lib.scala 428:35]
node _T_792 = bits(_T_746, 8, 8) @[lib.scala 428:44]
node _T_793 = not(_T_792) @[lib.scala 428:40]
node _T_794 = bits(_T_746, 8, 8) @[lib.scala 428:51]
node _T_795 = mux(_T_791, _T_793, _T_794) @[lib.scala 428:23]
_T_747[7] <= _T_795 @[lib.scala 428:17]
node _T_796 = bits(_T_746, 8, 0) @[lib.scala 428:27]
node _T_797 = orr(_T_796) @[lib.scala 428:35]
node _T_798 = bits(_T_746, 9, 9) @[lib.scala 428:44]
node _T_799 = not(_T_798) @[lib.scala 428:40]
node _T_800 = bits(_T_746, 9, 9) @[lib.scala 428:51]
node _T_801 = mux(_T_797, _T_799, _T_800) @[lib.scala 428:23]
_T_747[8] <= _T_801 @[lib.scala 428:17]
node _T_802 = bits(_T_746, 9, 0) @[lib.scala 428:27]
node _T_803 = orr(_T_802) @[lib.scala 428:35]
node _T_804 = bits(_T_746, 10, 10) @[lib.scala 428:44]
node _T_805 = not(_T_804) @[lib.scala 428:40]
node _T_806 = bits(_T_746, 10, 10) @[lib.scala 428:51]
node _T_807 = mux(_T_803, _T_805, _T_806) @[lib.scala 428:23]
_T_747[9] <= _T_807 @[lib.scala 428:17]
node _T_808 = bits(_T_746, 10, 0) @[lib.scala 428:27]
node _T_809 = orr(_T_808) @[lib.scala 428:35]
node _T_810 = bits(_T_746, 11, 11) @[lib.scala 428:44]
node _T_811 = not(_T_810) @[lib.scala 428:40]
node _T_812 = bits(_T_746, 11, 11) @[lib.scala 428:51]
node _T_813 = mux(_T_809, _T_811, _T_812) @[lib.scala 428:23]
_T_747[10] <= _T_813 @[lib.scala 428:17]
node _T_814 = bits(_T_746, 11, 0) @[lib.scala 428:27]
node _T_815 = orr(_T_814) @[lib.scala 428:35]
node _T_816 = bits(_T_746, 12, 12) @[lib.scala 428:44]
node _T_817 = not(_T_816) @[lib.scala 428:40]
node _T_818 = bits(_T_746, 12, 12) @[lib.scala 428:51]
node _T_819 = mux(_T_815, _T_817, _T_818) @[lib.scala 428:23]
_T_747[11] <= _T_819 @[lib.scala 428:17]
node _T_820 = bits(_T_746, 12, 0) @[lib.scala 428:27]
node _T_821 = orr(_T_820) @[lib.scala 428:35]
node _T_822 = bits(_T_746, 13, 13) @[lib.scala 428:44]
node _T_823 = not(_T_822) @[lib.scala 428:40]
node _T_824 = bits(_T_746, 13, 13) @[lib.scala 428:51]
node _T_825 = mux(_T_821, _T_823, _T_824) @[lib.scala 428:23]
_T_747[12] <= _T_825 @[lib.scala 428:17]
node _T_826 = bits(_T_746, 13, 0) @[lib.scala 428:27]
node _T_827 = orr(_T_826) @[lib.scala 428:35]
node _T_828 = bits(_T_746, 14, 14) @[lib.scala 428:44]
node _T_829 = not(_T_828) @[lib.scala 428:40]
node _T_830 = bits(_T_746, 14, 14) @[lib.scala 428:51]
node _T_831 = mux(_T_827, _T_829, _T_830) @[lib.scala 428:23]
_T_747[13] <= _T_831 @[lib.scala 428:17]
node _T_832 = bits(_T_746, 14, 0) @[lib.scala 428:27]
node _T_833 = orr(_T_832) @[lib.scala 428:35]
node _T_834 = bits(_T_746, 15, 15) @[lib.scala 428:44]
node _T_835 = not(_T_834) @[lib.scala 428:40]
node _T_836 = bits(_T_746, 15, 15) @[lib.scala 428:51]
node _T_837 = mux(_T_833, _T_835, _T_836) @[lib.scala 428:23]
_T_747[14] <= _T_837 @[lib.scala 428:17]
node _T_838 = bits(_T_746, 15, 0) @[lib.scala 428:27]
node _T_839 = orr(_T_838) @[lib.scala 428:35]
node _T_840 = bits(_T_746, 16, 16) @[lib.scala 428:44]
node _T_841 = not(_T_840) @[lib.scala 428:40]
node _T_842 = bits(_T_746, 16, 16) @[lib.scala 428:51]
node _T_843 = mux(_T_839, _T_841, _T_842) @[lib.scala 428:23]
_T_747[15] <= _T_843 @[lib.scala 428:17]
node _T_844 = bits(_T_746, 16, 0) @[lib.scala 428:27]
node _T_845 = orr(_T_844) @[lib.scala 428:35]
node _T_846 = bits(_T_746, 17, 17) @[lib.scala 428:44]
node _T_847 = not(_T_846) @[lib.scala 428:40]
node _T_848 = bits(_T_746, 17, 17) @[lib.scala 428:51]
node _T_849 = mux(_T_845, _T_847, _T_848) @[lib.scala 428:23]
_T_747[16] <= _T_849 @[lib.scala 428:17]
node _T_850 = bits(_T_746, 17, 0) @[lib.scala 428:27]
node _T_851 = orr(_T_850) @[lib.scala 428:35]
node _T_852 = bits(_T_746, 18, 18) @[lib.scala 428:44]
node _T_853 = not(_T_852) @[lib.scala 428:40]
node _T_854 = bits(_T_746, 18, 18) @[lib.scala 428:51]
node _T_855 = mux(_T_851, _T_853, _T_854) @[lib.scala 428:23]
_T_747[17] <= _T_855 @[lib.scala 428:17]
node _T_856 = bits(_T_746, 18, 0) @[lib.scala 428:27]
node _T_857 = orr(_T_856) @[lib.scala 428:35]
node _T_858 = bits(_T_746, 19, 19) @[lib.scala 428:44]
node _T_859 = not(_T_858) @[lib.scala 428:40]
node _T_860 = bits(_T_746, 19, 19) @[lib.scala 428:51]
node _T_861 = mux(_T_857, _T_859, _T_860) @[lib.scala 428:23]
_T_747[18] <= _T_861 @[lib.scala 428:17]
node _T_862 = bits(_T_746, 19, 0) @[lib.scala 428:27]
node _T_863 = orr(_T_862) @[lib.scala 428:35]
node _T_864 = bits(_T_746, 20, 20) @[lib.scala 428:44]
node _T_865 = not(_T_864) @[lib.scala 428:40]
node _T_866 = bits(_T_746, 20, 20) @[lib.scala 428:51]
node _T_867 = mux(_T_863, _T_865, _T_866) @[lib.scala 428:23]
_T_747[19] <= _T_867 @[lib.scala 428:17]
node _T_868 = bits(_T_746, 20, 0) @[lib.scala 428:27]
node _T_869 = orr(_T_868) @[lib.scala 428:35]
node _T_870 = bits(_T_746, 21, 21) @[lib.scala 428:44]
node _T_871 = not(_T_870) @[lib.scala 428:40]
node _T_872 = bits(_T_746, 21, 21) @[lib.scala 428:51]
node _T_873 = mux(_T_869, _T_871, _T_872) @[lib.scala 428:23]
_T_747[20] <= _T_873 @[lib.scala 428:17]
node _T_874 = bits(_T_746, 21, 0) @[lib.scala 428:27]
node _T_875 = orr(_T_874) @[lib.scala 428:35]
node _T_876 = bits(_T_746, 22, 22) @[lib.scala 428:44]
node _T_877 = not(_T_876) @[lib.scala 428:40]
node _T_878 = bits(_T_746, 22, 22) @[lib.scala 428:51]
node _T_879 = mux(_T_875, _T_877, _T_878) @[lib.scala 428:23]
_T_747[21] <= _T_879 @[lib.scala 428:17]
node _T_880 = bits(_T_746, 22, 0) @[lib.scala 428:27]
node _T_881 = orr(_T_880) @[lib.scala 428:35]
node _T_882 = bits(_T_746, 23, 23) @[lib.scala 428:44]
node _T_883 = not(_T_882) @[lib.scala 428:40]
node _T_884 = bits(_T_746, 23, 23) @[lib.scala 428:51]
node _T_885 = mux(_T_881, _T_883, _T_884) @[lib.scala 428:23]
_T_747[22] <= _T_885 @[lib.scala 428:17]
node _T_886 = bits(_T_746, 23, 0) @[lib.scala 428:27]
node _T_887 = orr(_T_886) @[lib.scala 428:35]
node _T_888 = bits(_T_746, 24, 24) @[lib.scala 428:44]
node _T_889 = not(_T_888) @[lib.scala 428:40]
node _T_890 = bits(_T_746, 24, 24) @[lib.scala 428:51]
node _T_891 = mux(_T_887, _T_889, _T_890) @[lib.scala 428:23]
_T_747[23] <= _T_891 @[lib.scala 428:17]
node _T_892 = bits(_T_746, 24, 0) @[lib.scala 428:27]
node _T_893 = orr(_T_892) @[lib.scala 428:35]
node _T_894 = bits(_T_746, 25, 25) @[lib.scala 428:44]
node _T_895 = not(_T_894) @[lib.scala 428:40]
node _T_896 = bits(_T_746, 25, 25) @[lib.scala 428:51]
node _T_897 = mux(_T_893, _T_895, _T_896) @[lib.scala 428:23]
_T_747[24] <= _T_897 @[lib.scala 428:17]
node _T_898 = bits(_T_746, 25, 0) @[lib.scala 428:27]
node _T_899 = orr(_T_898) @[lib.scala 428:35]
node _T_900 = bits(_T_746, 26, 26) @[lib.scala 428:44]
node _T_901 = not(_T_900) @[lib.scala 428:40]
node _T_902 = bits(_T_746, 26, 26) @[lib.scala 428:51]
node _T_903 = mux(_T_899, _T_901, _T_902) @[lib.scala 428:23]
_T_747[25] <= _T_903 @[lib.scala 428:17]
node _T_904 = bits(_T_746, 26, 0) @[lib.scala 428:27]
node _T_905 = orr(_T_904) @[lib.scala 428:35]
node _T_906 = bits(_T_746, 27, 27) @[lib.scala 428:44]
node _T_907 = not(_T_906) @[lib.scala 428:40]
node _T_908 = bits(_T_746, 27, 27) @[lib.scala 428:51]
node _T_909 = mux(_T_905, _T_907, _T_908) @[lib.scala 428:23]
_T_747[26] <= _T_909 @[lib.scala 428:17]
node _T_910 = bits(_T_746, 27, 0) @[lib.scala 428:27]
node _T_911 = orr(_T_910) @[lib.scala 428:35]
node _T_912 = bits(_T_746, 28, 28) @[lib.scala 428:44]
node _T_913 = not(_T_912) @[lib.scala 428:40]
node _T_914 = bits(_T_746, 28, 28) @[lib.scala 428:51]
node _T_915 = mux(_T_911, _T_913, _T_914) @[lib.scala 428:23]
_T_747[27] <= _T_915 @[lib.scala 428:17]
node _T_916 = bits(_T_746, 28, 0) @[lib.scala 428:27]
node _T_917 = orr(_T_916) @[lib.scala 428:35]
node _T_918 = bits(_T_746, 29, 29) @[lib.scala 428:44]
node _T_919 = not(_T_918) @[lib.scala 428:40]
node _T_920 = bits(_T_746, 29, 29) @[lib.scala 428:51]
node _T_921 = mux(_T_917, _T_919, _T_920) @[lib.scala 428:23]
_T_747[28] <= _T_921 @[lib.scala 428:17]
node _T_922 = bits(_T_746, 29, 0) @[lib.scala 428:27]
node _T_923 = orr(_T_922) @[lib.scala 428:35]
node _T_924 = bits(_T_746, 30, 30) @[lib.scala 428:44]
node _T_925 = not(_T_924) @[lib.scala 428:40]
node _T_926 = bits(_T_746, 30, 30) @[lib.scala 428:51]
node _T_927 = mux(_T_923, _T_925, _T_926) @[lib.scala 428:23]
_T_747[29] <= _T_927 @[lib.scala 428:17]
node _T_928 = bits(_T_746, 30, 0) @[lib.scala 428:27]
node _T_929 = orr(_T_928) @[lib.scala 428:35]
node _T_930 = bits(_T_746, 31, 31) @[lib.scala 428:44]
node _T_931 = not(_T_930) @[lib.scala 428:40]
node _T_932 = bits(_T_746, 31, 31) @[lib.scala 428:51]
node _T_933 = mux(_T_929, _T_931, _T_932) @[lib.scala 428:23]
_T_747[30] <= _T_933 @[lib.scala 428:17]
node _T_934 = cat(_T_747[2], _T_747[1]) @[lib.scala 430:14]
node _T_935 = cat(_T_934, _T_747[0]) @[lib.scala 430:14]
node _T_936 = cat(_T_747[4], _T_747[3]) @[lib.scala 430:14]
node _T_937 = cat(_T_747[6], _T_747[5]) @[lib.scala 430:14]
node _T_938 = cat(_T_937, _T_936) @[lib.scala 430:14]
node _T_939 = cat(_T_938, _T_935) @[lib.scala 430:14]
node _T_940 = cat(_T_747[8], _T_747[7]) @[lib.scala 430:14]
node _T_941 = cat(_T_747[10], _T_747[9]) @[lib.scala 430:14]
node _T_942 = cat(_T_941, _T_940) @[lib.scala 430:14]
node _T_943 = cat(_T_747[12], _T_747[11]) @[lib.scala 430:14]
node _T_944 = cat(_T_747[14], _T_747[13]) @[lib.scala 430:14]
node _T_945 = cat(_T_944, _T_943) @[lib.scala 430:14]
node _T_946 = cat(_T_945, _T_942) @[lib.scala 430:14]
node _T_947 = cat(_T_946, _T_939) @[lib.scala 430:14]
node _T_948 = cat(_T_747[16], _T_747[15]) @[lib.scala 430:14]
node _T_949 = cat(_T_747[18], _T_747[17]) @[lib.scala 430:14]
node _T_950 = cat(_T_949, _T_948) @[lib.scala 430:14]
node _T_951 = cat(_T_747[20], _T_747[19]) @[lib.scala 430:14]
node _T_952 = cat(_T_747[22], _T_747[21]) @[lib.scala 430:14]
node _T_953 = cat(_T_952, _T_951) @[lib.scala 430:14]
node _T_954 = cat(_T_953, _T_950) @[lib.scala 430:14]
node _T_955 = cat(_T_747[24], _T_747[23]) @[lib.scala 430:14]
node _T_956 = cat(_T_747[26], _T_747[25]) @[lib.scala 430:14]
node _T_957 = cat(_T_956, _T_955) @[lib.scala 430:14]
node _T_958 = cat(_T_747[28], _T_747[27]) @[lib.scala 430:14]
node _T_959 = cat(_T_747[30], _T_747[29]) @[lib.scala 430:14]
node _T_960 = cat(_T_959, _T_958) @[lib.scala 430:14]
node _T_961 = cat(_T_960, _T_957) @[lib.scala 430:14]
node _T_962 = cat(_T_961, _T_954) @[lib.scala 430:14]
node _T_963 = cat(_T_962, _T_947) @[lib.scala 430:14]
node _T_964 = bits(_T_746, 0, 0) @[lib.scala 430:24]
node _T_965 = cat(_T_963, _T_964) @[Cat.scala 29:58]
node _T_966 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 243:86]
node _T_967 = mux(_T_745, _T_965, _T_966) @[exu_div_ctl.scala 243:22]
dividend_eff <= _T_967 @[exu_div_ctl.scala 243:16]
node _T_968 = bits(add, 0, 0) @[exu_div_ctl.scala 244:20]
node _T_969 = not(m_ff) @[exu_div_ctl.scala 244:35]
node _T_970 = mux(_T_968, m_ff, _T_969) @[exu_div_ctl.scala 244:15]
m_eff <= _T_970 @[exu_div_ctl.scala 244:9]
node _T_971 = cat(UInt<33>("h00"), dividend_eff) @[Cat.scala 29:58]
node _T_972 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 245:65]
node _T_973 = dshl(_T_971, _T_972) @[exu_div_ctl.scala 245:47]
a_eff_shift <= _T_973 @[exu_div_ctl.scala 245:15]
node _T_974 = bits(rem_correct, 0, 0) @[exu_div_ctl.scala 247:17]
node _T_975 = eq(rem_correct, UInt<1>("h00")) @[exu_div_ctl.scala 248:6]
node _T_976 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 248:21]
node _T_977 = and(_T_975, _T_976) @[exu_div_ctl.scala 248:19]
node _T_978 = bits(_T_977, 0, 0) @[exu_div_ctl.scala 248:40]
node _T_979 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 248:58]
node _T_980 = bits(q_ff, 32, 32) @[exu_div_ctl.scala 248:70]
node _T_981 = cat(_T_979, _T_980) @[Cat.scala 29:58]
node _T_982 = eq(rem_correct, UInt<1>("h00")) @[exu_div_ctl.scala 249:6]
node _T_983 = and(_T_982, shortq_enable_ff) @[exu_div_ctl.scala 249:19]
node _T_984 = bits(_T_983, 0, 0) @[exu_div_ctl.scala 249:40]
node _T_985 = bits(a_eff_shift, 64, 32) @[exu_div_ctl.scala 249:61]
node _T_986 = mux(_T_974, a_ff, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_987 = mux(_T_978, _T_981, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_988 = mux(_T_984, _T_985, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_989 = or(_T_986, _T_987) @[Mux.scala 27:72]
node _T_990 = or(_T_989, _T_988) @[Mux.scala 27:72]
wire _T_991 : UInt<33> @[Mux.scala 27:72]
_T_991 <= _T_990 @[Mux.scala 27:72]
a_eff <= _T_991 @[exu_div_ctl.scala 246:9]
node _T_992 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 251:49]
node _T_993 = and(run_state, _T_992) @[exu_div_ctl.scala 251:47]
node _T_994 = neq(count, UInt<6>("h021")) @[exu_div_ctl.scala 251:73]
node _T_995 = and(_T_993, _T_994) @[exu_div_ctl.scala 251:64]
node _T_996 = or(io.valid_in, _T_995) @[exu_div_ctl.scala 251:34]
node aff_enable = or(_T_996, rem_correct) @[exu_div_ctl.scala 251:89]
node _T_997 = bits(run_state, 0, 0) @[Bitwise.scala 72:15]
node _T_998 = mux(_T_997, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12]
node _T_999 = and(_T_998, a_eff) @[exu_div_ctl.scala 252:33]
a_shift <= _T_999 @[exu_div_ctl.scala 252:11]
node _T_1000 = bits(run_state, 0, 0) @[Bitwise.scala 72:15]
node _T_1001 = mux(_T_1000, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12]
node _T_1002 = add(a_shift, m_eff) @[exu_div_ctl.scala 253:41]
node _T_1003 = tail(_T_1002, 1) @[exu_div_ctl.scala 253:41]
node _T_1004 = eq(add, UInt<1>("h00")) @[exu_div_ctl.scala 253:65]
node _T_1005 = cat(UInt<32>("h00"), _T_1004) @[Cat.scala 29:58]
node _T_1006 = add(_T_1003, _T_1005) @[exu_div_ctl.scala 253:49]
node _T_1007 = tail(_T_1006, 1) @[exu_div_ctl.scala 253:49]
node _T_1008 = and(_T_1001, _T_1007) @[exu_div_ctl.scala 253:30]
a_in <= _T_1008 @[exu_div_ctl.scala 253:8]
node m_already_comp = and(divisor_neg_ff, sign_ff) @[exu_div_ctl.scala 254:48]
node _T_1009 = bits(a_ff, 32, 32) @[exu_div_ctl.scala 256:16]
node _T_1010 = or(_T_1009, rem_correct) @[exu_div_ctl.scala 256:21]
node _T_1011 = xor(_T_1010, m_already_comp) @[exu_div_ctl.scala 256:36]
add <= _T_1011 @[exu_div_ctl.scala 256:8]
node _T_1012 = eq(count, UInt<6>("h021")) @[exu_div_ctl.scala 257:26]
node _T_1013 = and(_T_1012, rem_ff) @[exu_div_ctl.scala 257:41]
node _T_1014 = bits(a_ff, 32, 32) @[exu_div_ctl.scala 257:56]
node _T_1015 = and(_T_1013, _T_1014) @[exu_div_ctl.scala 257:50]
rem_correct <= _T_1015 @[exu_div_ctl.scala 257:16]
node _T_1016 = xor(dividend_neg_ff, divisor_neg_ff) @[exu_div_ctl.scala 258:50]
node _T_1017 = and(sign_ff, _T_1016) @[exu_div_ctl.scala 258:31]
node _T_1018 = bits(_T_1017, 0, 0) @[exu_div_ctl.scala 258:69]
node _T_1019 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 258:91]
wire _T_1020 : UInt<1>[31] @[lib.scala 426:20]
node _T_1021 = bits(_T_1019, 0, 0) @[lib.scala 428:27]
node _T_1022 = orr(_T_1021) @[lib.scala 428:35]
node _T_1023 = bits(_T_1019, 1, 1) @[lib.scala 428:44]
node _T_1024 = not(_T_1023) @[lib.scala 428:40]
node _T_1025 = bits(_T_1019, 1, 1) @[lib.scala 428:51]
node _T_1026 = mux(_T_1022, _T_1024, _T_1025) @[lib.scala 428:23]
_T_1020[0] <= _T_1026 @[lib.scala 428:17]
node _T_1027 = bits(_T_1019, 1, 0) @[lib.scala 428:27]
node _T_1028 = orr(_T_1027) @[lib.scala 428:35]
node _T_1029 = bits(_T_1019, 2, 2) @[lib.scala 428:44]
node _T_1030 = not(_T_1029) @[lib.scala 428:40]
node _T_1031 = bits(_T_1019, 2, 2) @[lib.scala 428:51]
node _T_1032 = mux(_T_1028, _T_1030, _T_1031) @[lib.scala 428:23]
_T_1020[1] <= _T_1032 @[lib.scala 428:17]
node _T_1033 = bits(_T_1019, 2, 0) @[lib.scala 428:27]
node _T_1034 = orr(_T_1033) @[lib.scala 428:35]
node _T_1035 = bits(_T_1019, 3, 3) @[lib.scala 428:44]
node _T_1036 = not(_T_1035) @[lib.scala 428:40]
node _T_1037 = bits(_T_1019, 3, 3) @[lib.scala 428:51]
node _T_1038 = mux(_T_1034, _T_1036, _T_1037) @[lib.scala 428:23]
_T_1020[2] <= _T_1038 @[lib.scala 428:17]
node _T_1039 = bits(_T_1019, 3, 0) @[lib.scala 428:27]
node _T_1040 = orr(_T_1039) @[lib.scala 428:35]
node _T_1041 = bits(_T_1019, 4, 4) @[lib.scala 428:44]
node _T_1042 = not(_T_1041) @[lib.scala 428:40]
node _T_1043 = bits(_T_1019, 4, 4) @[lib.scala 428:51]
node _T_1044 = mux(_T_1040, _T_1042, _T_1043) @[lib.scala 428:23]
_T_1020[3] <= _T_1044 @[lib.scala 428:17]
node _T_1045 = bits(_T_1019, 4, 0) @[lib.scala 428:27]
node _T_1046 = orr(_T_1045) @[lib.scala 428:35]
node _T_1047 = bits(_T_1019, 5, 5) @[lib.scala 428:44]
node _T_1048 = not(_T_1047) @[lib.scala 428:40]
node _T_1049 = bits(_T_1019, 5, 5) @[lib.scala 428:51]
node _T_1050 = mux(_T_1046, _T_1048, _T_1049) @[lib.scala 428:23]
_T_1020[4] <= _T_1050 @[lib.scala 428:17]
node _T_1051 = bits(_T_1019, 5, 0) @[lib.scala 428:27]
node _T_1052 = orr(_T_1051) @[lib.scala 428:35]
node _T_1053 = bits(_T_1019, 6, 6) @[lib.scala 428:44]
node _T_1054 = not(_T_1053) @[lib.scala 428:40]
node _T_1055 = bits(_T_1019, 6, 6) @[lib.scala 428:51]
node _T_1056 = mux(_T_1052, _T_1054, _T_1055) @[lib.scala 428:23]
_T_1020[5] <= _T_1056 @[lib.scala 428:17]
node _T_1057 = bits(_T_1019, 6, 0) @[lib.scala 428:27]
node _T_1058 = orr(_T_1057) @[lib.scala 428:35]
node _T_1059 = bits(_T_1019, 7, 7) @[lib.scala 428:44]
node _T_1060 = not(_T_1059) @[lib.scala 428:40]
node _T_1061 = bits(_T_1019, 7, 7) @[lib.scala 428:51]
node _T_1062 = mux(_T_1058, _T_1060, _T_1061) @[lib.scala 428:23]
_T_1020[6] <= _T_1062 @[lib.scala 428:17]
node _T_1063 = bits(_T_1019, 7, 0) @[lib.scala 428:27]
node _T_1064 = orr(_T_1063) @[lib.scala 428:35]
node _T_1065 = bits(_T_1019, 8, 8) @[lib.scala 428:44]
node _T_1066 = not(_T_1065) @[lib.scala 428:40]
node _T_1067 = bits(_T_1019, 8, 8) @[lib.scala 428:51]
node _T_1068 = mux(_T_1064, _T_1066, _T_1067) @[lib.scala 428:23]
_T_1020[7] <= _T_1068 @[lib.scala 428:17]
node _T_1069 = bits(_T_1019, 8, 0) @[lib.scala 428:27]
node _T_1070 = orr(_T_1069) @[lib.scala 428:35]
node _T_1071 = bits(_T_1019, 9, 9) @[lib.scala 428:44]
node _T_1072 = not(_T_1071) @[lib.scala 428:40]
node _T_1073 = bits(_T_1019, 9, 9) @[lib.scala 428:51]
node _T_1074 = mux(_T_1070, _T_1072, _T_1073) @[lib.scala 428:23]
_T_1020[8] <= _T_1074 @[lib.scala 428:17]
node _T_1075 = bits(_T_1019, 9, 0) @[lib.scala 428:27]
node _T_1076 = orr(_T_1075) @[lib.scala 428:35]
node _T_1077 = bits(_T_1019, 10, 10) @[lib.scala 428:44]
node _T_1078 = not(_T_1077) @[lib.scala 428:40]
node _T_1079 = bits(_T_1019, 10, 10) @[lib.scala 428:51]
node _T_1080 = mux(_T_1076, _T_1078, _T_1079) @[lib.scala 428:23]
_T_1020[9] <= _T_1080 @[lib.scala 428:17]
node _T_1081 = bits(_T_1019, 10, 0) @[lib.scala 428:27]
node _T_1082 = orr(_T_1081) @[lib.scala 428:35]
node _T_1083 = bits(_T_1019, 11, 11) @[lib.scala 428:44]
node _T_1084 = not(_T_1083) @[lib.scala 428:40]
node _T_1085 = bits(_T_1019, 11, 11) @[lib.scala 428:51]
node _T_1086 = mux(_T_1082, _T_1084, _T_1085) @[lib.scala 428:23]
_T_1020[10] <= _T_1086 @[lib.scala 428:17]
node _T_1087 = bits(_T_1019, 11, 0) @[lib.scala 428:27]
node _T_1088 = orr(_T_1087) @[lib.scala 428:35]
node _T_1089 = bits(_T_1019, 12, 12) @[lib.scala 428:44]
node _T_1090 = not(_T_1089) @[lib.scala 428:40]
node _T_1091 = bits(_T_1019, 12, 12) @[lib.scala 428:51]
node _T_1092 = mux(_T_1088, _T_1090, _T_1091) @[lib.scala 428:23]
_T_1020[11] <= _T_1092 @[lib.scala 428:17]
node _T_1093 = bits(_T_1019, 12, 0) @[lib.scala 428:27]
node _T_1094 = orr(_T_1093) @[lib.scala 428:35]
node _T_1095 = bits(_T_1019, 13, 13) @[lib.scala 428:44]
node _T_1096 = not(_T_1095) @[lib.scala 428:40]
node _T_1097 = bits(_T_1019, 13, 13) @[lib.scala 428:51]
node _T_1098 = mux(_T_1094, _T_1096, _T_1097) @[lib.scala 428:23]
_T_1020[12] <= _T_1098 @[lib.scala 428:17]
node _T_1099 = bits(_T_1019, 13, 0) @[lib.scala 428:27]
node _T_1100 = orr(_T_1099) @[lib.scala 428:35]
node _T_1101 = bits(_T_1019, 14, 14) @[lib.scala 428:44]
node _T_1102 = not(_T_1101) @[lib.scala 428:40]
node _T_1103 = bits(_T_1019, 14, 14) @[lib.scala 428:51]
node _T_1104 = mux(_T_1100, _T_1102, _T_1103) @[lib.scala 428:23]
_T_1020[13] <= _T_1104 @[lib.scala 428:17]
node _T_1105 = bits(_T_1019, 14, 0) @[lib.scala 428:27]
node _T_1106 = orr(_T_1105) @[lib.scala 428:35]
node _T_1107 = bits(_T_1019, 15, 15) @[lib.scala 428:44]
node _T_1108 = not(_T_1107) @[lib.scala 428:40]
node _T_1109 = bits(_T_1019, 15, 15) @[lib.scala 428:51]
node _T_1110 = mux(_T_1106, _T_1108, _T_1109) @[lib.scala 428:23]
_T_1020[14] <= _T_1110 @[lib.scala 428:17]
node _T_1111 = bits(_T_1019, 15, 0) @[lib.scala 428:27]
node _T_1112 = orr(_T_1111) @[lib.scala 428:35]
node _T_1113 = bits(_T_1019, 16, 16) @[lib.scala 428:44]
node _T_1114 = not(_T_1113) @[lib.scala 428:40]
node _T_1115 = bits(_T_1019, 16, 16) @[lib.scala 428:51]
node _T_1116 = mux(_T_1112, _T_1114, _T_1115) @[lib.scala 428:23]
_T_1020[15] <= _T_1116 @[lib.scala 428:17]
node _T_1117 = bits(_T_1019, 16, 0) @[lib.scala 428:27]
node _T_1118 = orr(_T_1117) @[lib.scala 428:35]
node _T_1119 = bits(_T_1019, 17, 17) @[lib.scala 428:44]
node _T_1120 = not(_T_1119) @[lib.scala 428:40]
node _T_1121 = bits(_T_1019, 17, 17) @[lib.scala 428:51]
node _T_1122 = mux(_T_1118, _T_1120, _T_1121) @[lib.scala 428:23]
_T_1020[16] <= _T_1122 @[lib.scala 428:17]
node _T_1123 = bits(_T_1019, 17, 0) @[lib.scala 428:27]
node _T_1124 = orr(_T_1123) @[lib.scala 428:35]
node _T_1125 = bits(_T_1019, 18, 18) @[lib.scala 428:44]
node _T_1126 = not(_T_1125) @[lib.scala 428:40]
node _T_1127 = bits(_T_1019, 18, 18) @[lib.scala 428:51]
node _T_1128 = mux(_T_1124, _T_1126, _T_1127) @[lib.scala 428:23]
_T_1020[17] <= _T_1128 @[lib.scala 428:17]
node _T_1129 = bits(_T_1019, 18, 0) @[lib.scala 428:27]
node _T_1130 = orr(_T_1129) @[lib.scala 428:35]
node _T_1131 = bits(_T_1019, 19, 19) @[lib.scala 428:44]
node _T_1132 = not(_T_1131) @[lib.scala 428:40]
node _T_1133 = bits(_T_1019, 19, 19) @[lib.scala 428:51]
node _T_1134 = mux(_T_1130, _T_1132, _T_1133) @[lib.scala 428:23]
_T_1020[18] <= _T_1134 @[lib.scala 428:17]
node _T_1135 = bits(_T_1019, 19, 0) @[lib.scala 428:27]
node _T_1136 = orr(_T_1135) @[lib.scala 428:35]
node _T_1137 = bits(_T_1019, 20, 20) @[lib.scala 428:44]
node _T_1138 = not(_T_1137) @[lib.scala 428:40]
node _T_1139 = bits(_T_1019, 20, 20) @[lib.scala 428:51]
node _T_1140 = mux(_T_1136, _T_1138, _T_1139) @[lib.scala 428:23]
_T_1020[19] <= _T_1140 @[lib.scala 428:17]
node _T_1141 = bits(_T_1019, 20, 0) @[lib.scala 428:27]
node _T_1142 = orr(_T_1141) @[lib.scala 428:35]
node _T_1143 = bits(_T_1019, 21, 21) @[lib.scala 428:44]
node _T_1144 = not(_T_1143) @[lib.scala 428:40]
node _T_1145 = bits(_T_1019, 21, 21) @[lib.scala 428:51]
node _T_1146 = mux(_T_1142, _T_1144, _T_1145) @[lib.scala 428:23]
_T_1020[20] <= _T_1146 @[lib.scala 428:17]
node _T_1147 = bits(_T_1019, 21, 0) @[lib.scala 428:27]
node _T_1148 = orr(_T_1147) @[lib.scala 428:35]
node _T_1149 = bits(_T_1019, 22, 22) @[lib.scala 428:44]
node _T_1150 = not(_T_1149) @[lib.scala 428:40]
node _T_1151 = bits(_T_1019, 22, 22) @[lib.scala 428:51]
node _T_1152 = mux(_T_1148, _T_1150, _T_1151) @[lib.scala 428:23]
_T_1020[21] <= _T_1152 @[lib.scala 428:17]
node _T_1153 = bits(_T_1019, 22, 0) @[lib.scala 428:27]
node _T_1154 = orr(_T_1153) @[lib.scala 428:35]
node _T_1155 = bits(_T_1019, 23, 23) @[lib.scala 428:44]
node _T_1156 = not(_T_1155) @[lib.scala 428:40]
node _T_1157 = bits(_T_1019, 23, 23) @[lib.scala 428:51]
node _T_1158 = mux(_T_1154, _T_1156, _T_1157) @[lib.scala 428:23]
_T_1020[22] <= _T_1158 @[lib.scala 428:17]
node _T_1159 = bits(_T_1019, 23, 0) @[lib.scala 428:27]
node _T_1160 = orr(_T_1159) @[lib.scala 428:35]
node _T_1161 = bits(_T_1019, 24, 24) @[lib.scala 428:44]
node _T_1162 = not(_T_1161) @[lib.scala 428:40]
node _T_1163 = bits(_T_1019, 24, 24) @[lib.scala 428:51]
node _T_1164 = mux(_T_1160, _T_1162, _T_1163) @[lib.scala 428:23]
_T_1020[23] <= _T_1164 @[lib.scala 428:17]
node _T_1165 = bits(_T_1019, 24, 0) @[lib.scala 428:27]
node _T_1166 = orr(_T_1165) @[lib.scala 428:35]
node _T_1167 = bits(_T_1019, 25, 25) @[lib.scala 428:44]
node _T_1168 = not(_T_1167) @[lib.scala 428:40]
node _T_1169 = bits(_T_1019, 25, 25) @[lib.scala 428:51]
node _T_1170 = mux(_T_1166, _T_1168, _T_1169) @[lib.scala 428:23]
_T_1020[24] <= _T_1170 @[lib.scala 428:17]
node _T_1171 = bits(_T_1019, 25, 0) @[lib.scala 428:27]
node _T_1172 = orr(_T_1171) @[lib.scala 428:35]
node _T_1173 = bits(_T_1019, 26, 26) @[lib.scala 428:44]
node _T_1174 = not(_T_1173) @[lib.scala 428:40]
node _T_1175 = bits(_T_1019, 26, 26) @[lib.scala 428:51]
node _T_1176 = mux(_T_1172, _T_1174, _T_1175) @[lib.scala 428:23]
_T_1020[25] <= _T_1176 @[lib.scala 428:17]
node _T_1177 = bits(_T_1019, 26, 0) @[lib.scala 428:27]
node _T_1178 = orr(_T_1177) @[lib.scala 428:35]
node _T_1179 = bits(_T_1019, 27, 27) @[lib.scala 428:44]
node _T_1180 = not(_T_1179) @[lib.scala 428:40]
node _T_1181 = bits(_T_1019, 27, 27) @[lib.scala 428:51]
node _T_1182 = mux(_T_1178, _T_1180, _T_1181) @[lib.scala 428:23]
_T_1020[26] <= _T_1182 @[lib.scala 428:17]
node _T_1183 = bits(_T_1019, 27, 0) @[lib.scala 428:27]
node _T_1184 = orr(_T_1183) @[lib.scala 428:35]
node _T_1185 = bits(_T_1019, 28, 28) @[lib.scala 428:44]
node _T_1186 = not(_T_1185) @[lib.scala 428:40]
node _T_1187 = bits(_T_1019, 28, 28) @[lib.scala 428:51]
node _T_1188 = mux(_T_1184, _T_1186, _T_1187) @[lib.scala 428:23]
_T_1020[27] <= _T_1188 @[lib.scala 428:17]
node _T_1189 = bits(_T_1019, 28, 0) @[lib.scala 428:27]
node _T_1190 = orr(_T_1189) @[lib.scala 428:35]
node _T_1191 = bits(_T_1019, 29, 29) @[lib.scala 428:44]
node _T_1192 = not(_T_1191) @[lib.scala 428:40]
node _T_1193 = bits(_T_1019, 29, 29) @[lib.scala 428:51]
node _T_1194 = mux(_T_1190, _T_1192, _T_1193) @[lib.scala 428:23]
_T_1020[28] <= _T_1194 @[lib.scala 428:17]
node _T_1195 = bits(_T_1019, 29, 0) @[lib.scala 428:27]
node _T_1196 = orr(_T_1195) @[lib.scala 428:35]
node _T_1197 = bits(_T_1019, 30, 30) @[lib.scala 428:44]
node _T_1198 = not(_T_1197) @[lib.scala 428:40]
node _T_1199 = bits(_T_1019, 30, 30) @[lib.scala 428:51]
node _T_1200 = mux(_T_1196, _T_1198, _T_1199) @[lib.scala 428:23]
_T_1020[29] <= _T_1200 @[lib.scala 428:17]
node _T_1201 = bits(_T_1019, 30, 0) @[lib.scala 428:27]
node _T_1202 = orr(_T_1201) @[lib.scala 428:35]
node _T_1203 = bits(_T_1019, 31, 31) @[lib.scala 428:44]
node _T_1204 = not(_T_1203) @[lib.scala 428:40]
node _T_1205 = bits(_T_1019, 31, 31) @[lib.scala 428:51]
node _T_1206 = mux(_T_1202, _T_1204, _T_1205) @[lib.scala 428:23]
_T_1020[30] <= _T_1206 @[lib.scala 428:17]
node _T_1207 = cat(_T_1020[2], _T_1020[1]) @[lib.scala 430:14]
node _T_1208 = cat(_T_1207, _T_1020[0]) @[lib.scala 430:14]
node _T_1209 = cat(_T_1020[4], _T_1020[3]) @[lib.scala 430:14]
node _T_1210 = cat(_T_1020[6], _T_1020[5]) @[lib.scala 430:14]
node _T_1211 = cat(_T_1210, _T_1209) @[lib.scala 430:14]
node _T_1212 = cat(_T_1211, _T_1208) @[lib.scala 430:14]
node _T_1213 = cat(_T_1020[8], _T_1020[7]) @[lib.scala 430:14]
node _T_1214 = cat(_T_1020[10], _T_1020[9]) @[lib.scala 430:14]
node _T_1215 = cat(_T_1214, _T_1213) @[lib.scala 430:14]
node _T_1216 = cat(_T_1020[12], _T_1020[11]) @[lib.scala 430:14]
node _T_1217 = cat(_T_1020[14], _T_1020[13]) @[lib.scala 430:14]
node _T_1218 = cat(_T_1217, _T_1216) @[lib.scala 430:14]
node _T_1219 = cat(_T_1218, _T_1215) @[lib.scala 430:14]
node _T_1220 = cat(_T_1219, _T_1212) @[lib.scala 430:14]
node _T_1221 = cat(_T_1020[16], _T_1020[15]) @[lib.scala 430:14]
node _T_1222 = cat(_T_1020[18], _T_1020[17]) @[lib.scala 430:14]
node _T_1223 = cat(_T_1222, _T_1221) @[lib.scala 430:14]
node _T_1224 = cat(_T_1020[20], _T_1020[19]) @[lib.scala 430:14]
node _T_1225 = cat(_T_1020[22], _T_1020[21]) @[lib.scala 430:14]
node _T_1226 = cat(_T_1225, _T_1224) @[lib.scala 430:14]
node _T_1227 = cat(_T_1226, _T_1223) @[lib.scala 430:14]
node _T_1228 = cat(_T_1020[24], _T_1020[23]) @[lib.scala 430:14]
node _T_1229 = cat(_T_1020[26], _T_1020[25]) @[lib.scala 430:14]
node _T_1230 = cat(_T_1229, _T_1228) @[lib.scala 430:14]
node _T_1231 = cat(_T_1020[28], _T_1020[27]) @[lib.scala 430:14]
node _T_1232 = cat(_T_1020[30], _T_1020[29]) @[lib.scala 430:14]
node _T_1233 = cat(_T_1232, _T_1231) @[lib.scala 430:14]
node _T_1234 = cat(_T_1233, _T_1230) @[lib.scala 430:14]
node _T_1235 = cat(_T_1234, _T_1227) @[lib.scala 430:14]
node _T_1236 = cat(_T_1235, _T_1220) @[lib.scala 430:14]
node _T_1237 = bits(_T_1019, 0, 0) @[lib.scala 430:24]
node _T_1238 = cat(_T_1236, _T_1237) @[Cat.scala 29:58]
node _T_1239 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 258:104]
node q_ff_eff = mux(_T_1018, _T_1238, _T_1239) @[exu_div_ctl.scala 258:21]
node _T_1240 = and(sign_ff, dividend_neg_ff) @[exu_div_ctl.scala 259:31]
node _T_1241 = bits(_T_1240, 0, 0) @[exu_div_ctl.scala 259:51]
node _T_1242 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 259:74]
wire _T_1243 : UInt<1>[31] @[lib.scala 426:20]
node _T_1244 = bits(_T_1242, 0, 0) @[lib.scala 428:27]
node _T_1245 = orr(_T_1244) @[lib.scala 428:35]
node _T_1246 = bits(_T_1242, 1, 1) @[lib.scala 428:44]
node _T_1247 = not(_T_1246) @[lib.scala 428:40]
node _T_1248 = bits(_T_1242, 1, 1) @[lib.scala 428:51]
node _T_1249 = mux(_T_1245, _T_1247, _T_1248) @[lib.scala 428:23]
_T_1243[0] <= _T_1249 @[lib.scala 428:17]
node _T_1250 = bits(_T_1242, 1, 0) @[lib.scala 428:27]
node _T_1251 = orr(_T_1250) @[lib.scala 428:35]
node _T_1252 = bits(_T_1242, 2, 2) @[lib.scala 428:44]
node _T_1253 = not(_T_1252) @[lib.scala 428:40]
node _T_1254 = bits(_T_1242, 2, 2) @[lib.scala 428:51]
node _T_1255 = mux(_T_1251, _T_1253, _T_1254) @[lib.scala 428:23]
_T_1243[1] <= _T_1255 @[lib.scala 428:17]
node _T_1256 = bits(_T_1242, 2, 0) @[lib.scala 428:27]
node _T_1257 = orr(_T_1256) @[lib.scala 428:35]
node _T_1258 = bits(_T_1242, 3, 3) @[lib.scala 428:44]
node _T_1259 = not(_T_1258) @[lib.scala 428:40]
node _T_1260 = bits(_T_1242, 3, 3) @[lib.scala 428:51]
node _T_1261 = mux(_T_1257, _T_1259, _T_1260) @[lib.scala 428:23]
_T_1243[2] <= _T_1261 @[lib.scala 428:17]
node _T_1262 = bits(_T_1242, 3, 0) @[lib.scala 428:27]
node _T_1263 = orr(_T_1262) @[lib.scala 428:35]
node _T_1264 = bits(_T_1242, 4, 4) @[lib.scala 428:44]
node _T_1265 = not(_T_1264) @[lib.scala 428:40]
node _T_1266 = bits(_T_1242, 4, 4) @[lib.scala 428:51]
node _T_1267 = mux(_T_1263, _T_1265, _T_1266) @[lib.scala 428:23]
_T_1243[3] <= _T_1267 @[lib.scala 428:17]
node _T_1268 = bits(_T_1242, 4, 0) @[lib.scala 428:27]
node _T_1269 = orr(_T_1268) @[lib.scala 428:35]
node _T_1270 = bits(_T_1242, 5, 5) @[lib.scala 428:44]
node _T_1271 = not(_T_1270) @[lib.scala 428:40]
node _T_1272 = bits(_T_1242, 5, 5) @[lib.scala 428:51]
node _T_1273 = mux(_T_1269, _T_1271, _T_1272) @[lib.scala 428:23]
_T_1243[4] <= _T_1273 @[lib.scala 428:17]
node _T_1274 = bits(_T_1242, 5, 0) @[lib.scala 428:27]
node _T_1275 = orr(_T_1274) @[lib.scala 428:35]
node _T_1276 = bits(_T_1242, 6, 6) @[lib.scala 428:44]
node _T_1277 = not(_T_1276) @[lib.scala 428:40]
node _T_1278 = bits(_T_1242, 6, 6) @[lib.scala 428:51]
node _T_1279 = mux(_T_1275, _T_1277, _T_1278) @[lib.scala 428:23]
_T_1243[5] <= _T_1279 @[lib.scala 428:17]
node _T_1280 = bits(_T_1242, 6, 0) @[lib.scala 428:27]
node _T_1281 = orr(_T_1280) @[lib.scala 428:35]
node _T_1282 = bits(_T_1242, 7, 7) @[lib.scala 428:44]
node _T_1283 = not(_T_1282) @[lib.scala 428:40]
node _T_1284 = bits(_T_1242, 7, 7) @[lib.scala 428:51]
node _T_1285 = mux(_T_1281, _T_1283, _T_1284) @[lib.scala 428:23]
_T_1243[6] <= _T_1285 @[lib.scala 428:17]
node _T_1286 = bits(_T_1242, 7, 0) @[lib.scala 428:27]
node _T_1287 = orr(_T_1286) @[lib.scala 428:35]
node _T_1288 = bits(_T_1242, 8, 8) @[lib.scala 428:44]
node _T_1289 = not(_T_1288) @[lib.scala 428:40]
node _T_1290 = bits(_T_1242, 8, 8) @[lib.scala 428:51]
node _T_1291 = mux(_T_1287, _T_1289, _T_1290) @[lib.scala 428:23]
_T_1243[7] <= _T_1291 @[lib.scala 428:17]
node _T_1292 = bits(_T_1242, 8, 0) @[lib.scala 428:27]
node _T_1293 = orr(_T_1292) @[lib.scala 428:35]
node _T_1294 = bits(_T_1242, 9, 9) @[lib.scala 428:44]
node _T_1295 = not(_T_1294) @[lib.scala 428:40]
node _T_1296 = bits(_T_1242, 9, 9) @[lib.scala 428:51]
node _T_1297 = mux(_T_1293, _T_1295, _T_1296) @[lib.scala 428:23]
_T_1243[8] <= _T_1297 @[lib.scala 428:17]
node _T_1298 = bits(_T_1242, 9, 0) @[lib.scala 428:27]
node _T_1299 = orr(_T_1298) @[lib.scala 428:35]
node _T_1300 = bits(_T_1242, 10, 10) @[lib.scala 428:44]
node _T_1301 = not(_T_1300) @[lib.scala 428:40]
node _T_1302 = bits(_T_1242, 10, 10) @[lib.scala 428:51]
node _T_1303 = mux(_T_1299, _T_1301, _T_1302) @[lib.scala 428:23]
_T_1243[9] <= _T_1303 @[lib.scala 428:17]
node _T_1304 = bits(_T_1242, 10, 0) @[lib.scala 428:27]
node _T_1305 = orr(_T_1304) @[lib.scala 428:35]
node _T_1306 = bits(_T_1242, 11, 11) @[lib.scala 428:44]
node _T_1307 = not(_T_1306) @[lib.scala 428:40]
node _T_1308 = bits(_T_1242, 11, 11) @[lib.scala 428:51]
node _T_1309 = mux(_T_1305, _T_1307, _T_1308) @[lib.scala 428:23]
_T_1243[10] <= _T_1309 @[lib.scala 428:17]
node _T_1310 = bits(_T_1242, 11, 0) @[lib.scala 428:27]
node _T_1311 = orr(_T_1310) @[lib.scala 428:35]
node _T_1312 = bits(_T_1242, 12, 12) @[lib.scala 428:44]
node _T_1313 = not(_T_1312) @[lib.scala 428:40]
node _T_1314 = bits(_T_1242, 12, 12) @[lib.scala 428:51]
node _T_1315 = mux(_T_1311, _T_1313, _T_1314) @[lib.scala 428:23]
_T_1243[11] <= _T_1315 @[lib.scala 428:17]
node _T_1316 = bits(_T_1242, 12, 0) @[lib.scala 428:27]
node _T_1317 = orr(_T_1316) @[lib.scala 428:35]
node _T_1318 = bits(_T_1242, 13, 13) @[lib.scala 428:44]
node _T_1319 = not(_T_1318) @[lib.scala 428:40]
node _T_1320 = bits(_T_1242, 13, 13) @[lib.scala 428:51]
node _T_1321 = mux(_T_1317, _T_1319, _T_1320) @[lib.scala 428:23]
_T_1243[12] <= _T_1321 @[lib.scala 428:17]
node _T_1322 = bits(_T_1242, 13, 0) @[lib.scala 428:27]
node _T_1323 = orr(_T_1322) @[lib.scala 428:35]
node _T_1324 = bits(_T_1242, 14, 14) @[lib.scala 428:44]
node _T_1325 = not(_T_1324) @[lib.scala 428:40]
node _T_1326 = bits(_T_1242, 14, 14) @[lib.scala 428:51]
node _T_1327 = mux(_T_1323, _T_1325, _T_1326) @[lib.scala 428:23]
_T_1243[13] <= _T_1327 @[lib.scala 428:17]
node _T_1328 = bits(_T_1242, 14, 0) @[lib.scala 428:27]
node _T_1329 = orr(_T_1328) @[lib.scala 428:35]
node _T_1330 = bits(_T_1242, 15, 15) @[lib.scala 428:44]
node _T_1331 = not(_T_1330) @[lib.scala 428:40]
node _T_1332 = bits(_T_1242, 15, 15) @[lib.scala 428:51]
node _T_1333 = mux(_T_1329, _T_1331, _T_1332) @[lib.scala 428:23]
_T_1243[14] <= _T_1333 @[lib.scala 428:17]
node _T_1334 = bits(_T_1242, 15, 0) @[lib.scala 428:27]
node _T_1335 = orr(_T_1334) @[lib.scala 428:35]
node _T_1336 = bits(_T_1242, 16, 16) @[lib.scala 428:44]
node _T_1337 = not(_T_1336) @[lib.scala 428:40]
node _T_1338 = bits(_T_1242, 16, 16) @[lib.scala 428:51]
node _T_1339 = mux(_T_1335, _T_1337, _T_1338) @[lib.scala 428:23]
_T_1243[15] <= _T_1339 @[lib.scala 428:17]
node _T_1340 = bits(_T_1242, 16, 0) @[lib.scala 428:27]
node _T_1341 = orr(_T_1340) @[lib.scala 428:35]
node _T_1342 = bits(_T_1242, 17, 17) @[lib.scala 428:44]
node _T_1343 = not(_T_1342) @[lib.scala 428:40]
node _T_1344 = bits(_T_1242, 17, 17) @[lib.scala 428:51]
node _T_1345 = mux(_T_1341, _T_1343, _T_1344) @[lib.scala 428:23]
_T_1243[16] <= _T_1345 @[lib.scala 428:17]
node _T_1346 = bits(_T_1242, 17, 0) @[lib.scala 428:27]
node _T_1347 = orr(_T_1346) @[lib.scala 428:35]
node _T_1348 = bits(_T_1242, 18, 18) @[lib.scala 428:44]
node _T_1349 = not(_T_1348) @[lib.scala 428:40]
node _T_1350 = bits(_T_1242, 18, 18) @[lib.scala 428:51]
node _T_1351 = mux(_T_1347, _T_1349, _T_1350) @[lib.scala 428:23]
_T_1243[17] <= _T_1351 @[lib.scala 428:17]
node _T_1352 = bits(_T_1242, 18, 0) @[lib.scala 428:27]
node _T_1353 = orr(_T_1352) @[lib.scala 428:35]
node _T_1354 = bits(_T_1242, 19, 19) @[lib.scala 428:44]
node _T_1355 = not(_T_1354) @[lib.scala 428:40]
node _T_1356 = bits(_T_1242, 19, 19) @[lib.scala 428:51]
node _T_1357 = mux(_T_1353, _T_1355, _T_1356) @[lib.scala 428:23]
_T_1243[18] <= _T_1357 @[lib.scala 428:17]
node _T_1358 = bits(_T_1242, 19, 0) @[lib.scala 428:27]
node _T_1359 = orr(_T_1358) @[lib.scala 428:35]
node _T_1360 = bits(_T_1242, 20, 20) @[lib.scala 428:44]
node _T_1361 = not(_T_1360) @[lib.scala 428:40]
node _T_1362 = bits(_T_1242, 20, 20) @[lib.scala 428:51]
node _T_1363 = mux(_T_1359, _T_1361, _T_1362) @[lib.scala 428:23]
_T_1243[19] <= _T_1363 @[lib.scala 428:17]
node _T_1364 = bits(_T_1242, 20, 0) @[lib.scala 428:27]
node _T_1365 = orr(_T_1364) @[lib.scala 428:35]
node _T_1366 = bits(_T_1242, 21, 21) @[lib.scala 428:44]
node _T_1367 = not(_T_1366) @[lib.scala 428:40]
node _T_1368 = bits(_T_1242, 21, 21) @[lib.scala 428:51]
node _T_1369 = mux(_T_1365, _T_1367, _T_1368) @[lib.scala 428:23]
_T_1243[20] <= _T_1369 @[lib.scala 428:17]
node _T_1370 = bits(_T_1242, 21, 0) @[lib.scala 428:27]
node _T_1371 = orr(_T_1370) @[lib.scala 428:35]
node _T_1372 = bits(_T_1242, 22, 22) @[lib.scala 428:44]
node _T_1373 = not(_T_1372) @[lib.scala 428:40]
node _T_1374 = bits(_T_1242, 22, 22) @[lib.scala 428:51]
node _T_1375 = mux(_T_1371, _T_1373, _T_1374) @[lib.scala 428:23]
_T_1243[21] <= _T_1375 @[lib.scala 428:17]
node _T_1376 = bits(_T_1242, 22, 0) @[lib.scala 428:27]
node _T_1377 = orr(_T_1376) @[lib.scala 428:35]
node _T_1378 = bits(_T_1242, 23, 23) @[lib.scala 428:44]
node _T_1379 = not(_T_1378) @[lib.scala 428:40]
node _T_1380 = bits(_T_1242, 23, 23) @[lib.scala 428:51]
node _T_1381 = mux(_T_1377, _T_1379, _T_1380) @[lib.scala 428:23]
_T_1243[22] <= _T_1381 @[lib.scala 428:17]
node _T_1382 = bits(_T_1242, 23, 0) @[lib.scala 428:27]
node _T_1383 = orr(_T_1382) @[lib.scala 428:35]
node _T_1384 = bits(_T_1242, 24, 24) @[lib.scala 428:44]
node _T_1385 = not(_T_1384) @[lib.scala 428:40]
node _T_1386 = bits(_T_1242, 24, 24) @[lib.scala 428:51]
node _T_1387 = mux(_T_1383, _T_1385, _T_1386) @[lib.scala 428:23]
_T_1243[23] <= _T_1387 @[lib.scala 428:17]
node _T_1388 = bits(_T_1242, 24, 0) @[lib.scala 428:27]
node _T_1389 = orr(_T_1388) @[lib.scala 428:35]
node _T_1390 = bits(_T_1242, 25, 25) @[lib.scala 428:44]
node _T_1391 = not(_T_1390) @[lib.scala 428:40]
node _T_1392 = bits(_T_1242, 25, 25) @[lib.scala 428:51]
node _T_1393 = mux(_T_1389, _T_1391, _T_1392) @[lib.scala 428:23]
_T_1243[24] <= _T_1393 @[lib.scala 428:17]
node _T_1394 = bits(_T_1242, 25, 0) @[lib.scala 428:27]
node _T_1395 = orr(_T_1394) @[lib.scala 428:35]
node _T_1396 = bits(_T_1242, 26, 26) @[lib.scala 428:44]
node _T_1397 = not(_T_1396) @[lib.scala 428:40]
node _T_1398 = bits(_T_1242, 26, 26) @[lib.scala 428:51]
node _T_1399 = mux(_T_1395, _T_1397, _T_1398) @[lib.scala 428:23]
_T_1243[25] <= _T_1399 @[lib.scala 428:17]
node _T_1400 = bits(_T_1242, 26, 0) @[lib.scala 428:27]
node _T_1401 = orr(_T_1400) @[lib.scala 428:35]
node _T_1402 = bits(_T_1242, 27, 27) @[lib.scala 428:44]
node _T_1403 = not(_T_1402) @[lib.scala 428:40]
node _T_1404 = bits(_T_1242, 27, 27) @[lib.scala 428:51]
node _T_1405 = mux(_T_1401, _T_1403, _T_1404) @[lib.scala 428:23]
_T_1243[26] <= _T_1405 @[lib.scala 428:17]
node _T_1406 = bits(_T_1242, 27, 0) @[lib.scala 428:27]
node _T_1407 = orr(_T_1406) @[lib.scala 428:35]
node _T_1408 = bits(_T_1242, 28, 28) @[lib.scala 428:44]
node _T_1409 = not(_T_1408) @[lib.scala 428:40]
node _T_1410 = bits(_T_1242, 28, 28) @[lib.scala 428:51]
node _T_1411 = mux(_T_1407, _T_1409, _T_1410) @[lib.scala 428:23]
_T_1243[27] <= _T_1411 @[lib.scala 428:17]
node _T_1412 = bits(_T_1242, 28, 0) @[lib.scala 428:27]
node _T_1413 = orr(_T_1412) @[lib.scala 428:35]
node _T_1414 = bits(_T_1242, 29, 29) @[lib.scala 428:44]
node _T_1415 = not(_T_1414) @[lib.scala 428:40]
node _T_1416 = bits(_T_1242, 29, 29) @[lib.scala 428:51]
node _T_1417 = mux(_T_1413, _T_1415, _T_1416) @[lib.scala 428:23]
_T_1243[28] <= _T_1417 @[lib.scala 428:17]
node _T_1418 = bits(_T_1242, 29, 0) @[lib.scala 428:27]
node _T_1419 = orr(_T_1418) @[lib.scala 428:35]
node _T_1420 = bits(_T_1242, 30, 30) @[lib.scala 428:44]
node _T_1421 = not(_T_1420) @[lib.scala 428:40]
node _T_1422 = bits(_T_1242, 30, 30) @[lib.scala 428:51]
node _T_1423 = mux(_T_1419, _T_1421, _T_1422) @[lib.scala 428:23]
_T_1243[29] <= _T_1423 @[lib.scala 428:17]
node _T_1424 = bits(_T_1242, 30, 0) @[lib.scala 428:27]
node _T_1425 = orr(_T_1424) @[lib.scala 428:35]
node _T_1426 = bits(_T_1242, 31, 31) @[lib.scala 428:44]
node _T_1427 = not(_T_1426) @[lib.scala 428:40]
node _T_1428 = bits(_T_1242, 31, 31) @[lib.scala 428:51]
node _T_1429 = mux(_T_1425, _T_1427, _T_1428) @[lib.scala 428:23]
_T_1243[30] <= _T_1429 @[lib.scala 428:17]
node _T_1430 = cat(_T_1243[2], _T_1243[1]) @[lib.scala 430:14]
node _T_1431 = cat(_T_1430, _T_1243[0]) @[lib.scala 430:14]
node _T_1432 = cat(_T_1243[4], _T_1243[3]) @[lib.scala 430:14]
node _T_1433 = cat(_T_1243[6], _T_1243[5]) @[lib.scala 430:14]
node _T_1434 = cat(_T_1433, _T_1432) @[lib.scala 430:14]
node _T_1435 = cat(_T_1434, _T_1431) @[lib.scala 430:14]
node _T_1436 = cat(_T_1243[8], _T_1243[7]) @[lib.scala 430:14]
node _T_1437 = cat(_T_1243[10], _T_1243[9]) @[lib.scala 430:14]
node _T_1438 = cat(_T_1437, _T_1436) @[lib.scala 430:14]
node _T_1439 = cat(_T_1243[12], _T_1243[11]) @[lib.scala 430:14]
node _T_1440 = cat(_T_1243[14], _T_1243[13]) @[lib.scala 430:14]
node _T_1441 = cat(_T_1440, _T_1439) @[lib.scala 430:14]
node _T_1442 = cat(_T_1441, _T_1438) @[lib.scala 430:14]
node _T_1443 = cat(_T_1442, _T_1435) @[lib.scala 430:14]
node _T_1444 = cat(_T_1243[16], _T_1243[15]) @[lib.scala 430:14]
node _T_1445 = cat(_T_1243[18], _T_1243[17]) @[lib.scala 430:14]
node _T_1446 = cat(_T_1445, _T_1444) @[lib.scala 430:14]
node _T_1447 = cat(_T_1243[20], _T_1243[19]) @[lib.scala 430:14]
node _T_1448 = cat(_T_1243[22], _T_1243[21]) @[lib.scala 430:14]
node _T_1449 = cat(_T_1448, _T_1447) @[lib.scala 430:14]
node _T_1450 = cat(_T_1449, _T_1446) @[lib.scala 430:14]
node _T_1451 = cat(_T_1243[24], _T_1243[23]) @[lib.scala 430:14]
node _T_1452 = cat(_T_1243[26], _T_1243[25]) @[lib.scala 430:14]
node _T_1453 = cat(_T_1452, _T_1451) @[lib.scala 430:14]
node _T_1454 = cat(_T_1243[28], _T_1243[27]) @[lib.scala 430:14]
node _T_1455 = cat(_T_1243[30], _T_1243[29]) @[lib.scala 430:14]
node _T_1456 = cat(_T_1455, _T_1454) @[lib.scala 430:14]
node _T_1457 = cat(_T_1456, _T_1453) @[lib.scala 430:14]
node _T_1458 = cat(_T_1457, _T_1450) @[lib.scala 430:14]
node _T_1459 = cat(_T_1458, _T_1443) @[lib.scala 430:14]
node _T_1460 = bits(_T_1242, 0, 0) @[lib.scala 430:24]
node _T_1461 = cat(_T_1459, _T_1460) @[Cat.scala 29:58]
node _T_1462 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 259:87]
node a_ff_eff = mux(_T_1241, _T_1461, _T_1462) @[exu_div_ctl.scala 259:21]
node _T_1463 = bits(smallnum_case_ff, 0, 0) @[exu_div_ctl.scala 262:22]
node _T_1464 = cat(UInt<28>("h00"), smallnum_ff) @[Cat.scala 29:58]
node _T_1465 = bits(rem_ff, 0, 0) @[exu_div_ctl.scala 263:12]
node _T_1466 = eq(smallnum_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 264:6]
node _T_1467 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 264:26]
node _T_1468 = and(_T_1466, _T_1467) @[exu_div_ctl.scala 264:24]
node _T_1469 = bits(_T_1468, 0, 0) @[exu_div_ctl.scala 264:35]
node _T_1470 = mux(_T_1463, _T_1464, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1471 = mux(_T_1465, a_ff_eff, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1472 = mux(_T_1469, q_ff_eff, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1473 = or(_T_1470, _T_1471) @[Mux.scala 27:72]
node _T_1474 = or(_T_1473, _T_1472) @[Mux.scala 27:72]
wire _T_1475 : UInt<32> @[Mux.scala 27:72]
_T_1475 <= _T_1474 @[Mux.scala 27:72]
io.data_out <= _T_1475 @[exu_div_ctl.scala 261:15]
node _T_1476 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 266:40]
node _T_1477 = and(io.valid_in, _T_1476) @[exu_div_ctl.scala 266:38]
node _T_1478 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr of rvclkhdr @[lib.scala 390:23]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[lib.scala 392:18]
rvclkhdr.io.en <= _T_1478 @[lib.scala 393:17]
rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1479 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1478 : @[Reg.scala 28:19]
_T_1479 <= _T_1477 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
valid_ff_x <= _T_1479 @[exu_div_ctl.scala 266:16]
node _T_1480 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 267:34]
node _T_1481 = and(finish, _T_1480) @[exu_div_ctl.scala 267:32]
node _T_1482 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_1.io.en <= _T_1482 @[lib.scala 393:17]
rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1483 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1482 : @[Reg.scala 28:19]
_T_1483 <= _T_1481 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
finish_ff <= _T_1483 @[exu_div_ctl.scala 267:15]
node _T_1484 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23]
rvclkhdr_2.clock <= clock
rvclkhdr_2.reset <= reset
rvclkhdr_2.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_2.io.en <= _T_1484 @[lib.scala 393:17]
rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1485 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1484 : @[Reg.scala 28:19]
_T_1485 <= run_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
run_state <= _T_1485 @[exu_div_ctl.scala 268:15]
node _T_1486 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23]
rvclkhdr_3.clock <= clock
rvclkhdr_3.reset <= reset
rvclkhdr_3.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_3.io.en <= _T_1486 @[lib.scala 393:17]
rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1487 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1486 : @[Reg.scala 28:19]
_T_1487 <= count_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
count <= _T_1487 @[exu_div_ctl.scala 269:11]
node _T_1488 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 270:60]
node _T_1489 = and(io.valid_in, _T_1488) @[exu_div_ctl.scala 270:44]
node _T_1490 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 270:69]
node _T_1491 = and(_T_1490, dividend_neg_ff) @[exu_div_ctl.scala 270:82]
node _T_1492 = or(_T_1489, _T_1491) @[exu_div_ctl.scala 270:66]
node _T_1493 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23]
rvclkhdr_4.clock <= clock
rvclkhdr_4.reset <= reset
rvclkhdr_4.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_4.io.en <= _T_1493 @[lib.scala 393:17]
rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1494 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1493 : @[Reg.scala 28:19]
_T_1494 <= _T_1492 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
dividend_neg_ff <= _T_1494 @[exu_div_ctl.scala 270:21]
node _T_1495 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 271:58]
node _T_1496 = and(io.valid_in, _T_1495) @[exu_div_ctl.scala 271:43]
node _T_1497 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 271:67]
node _T_1498 = and(_T_1497, divisor_neg_ff) @[exu_div_ctl.scala 271:80]
node _T_1499 = or(_T_1496, _T_1498) @[exu_div_ctl.scala 271:64]
node _T_1500 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23]
rvclkhdr_5.clock <= clock
rvclkhdr_5.reset <= reset
rvclkhdr_5.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_5.io.en <= _T_1500 @[lib.scala 393:17]
rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1501 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1500 : @[Reg.scala 28:19]
_T_1501 <= _T_1499 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
divisor_neg_ff <= _T_1501 @[exu_div_ctl.scala 271:20]
node _T_1502 = and(io.valid_in, sign_eff) @[exu_div_ctl.scala 272:36]
node _T_1503 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 272:51]
node _T_1504 = and(_T_1503, sign_ff) @[exu_div_ctl.scala 272:64]
node _T_1505 = or(_T_1502, _T_1504) @[exu_div_ctl.scala 272:48]
node _T_1506 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23]
rvclkhdr_6.clock <= clock
rvclkhdr_6.reset <= reset
rvclkhdr_6.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_6.io.en <= _T_1506 @[lib.scala 393:17]
rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1507 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1506 : @[Reg.scala 28:19]
_T_1507 <= _T_1505 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
sign_ff <= _T_1507 @[exu_div_ctl.scala 272:13]
node _T_1508 = and(io.valid_in, io.rem_in) @[exu_div_ctl.scala 273:37]
node _T_1509 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 273:53]
node _T_1510 = and(_T_1509, rem_ff) @[exu_div_ctl.scala 273:66]
node _T_1511 = or(_T_1508, _T_1510) @[exu_div_ctl.scala 273:50]
node _T_1512 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23]
rvclkhdr_7.clock <= clock
rvclkhdr_7.reset <= reset
rvclkhdr_7.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_7.io.en <= _T_1512 @[lib.scala 393:17]
rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1513 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1512 : @[Reg.scala 28:19]
_T_1513 <= _T_1511 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
rem_ff <= _T_1513 @[exu_div_ctl.scala 273:14]
node _T_1514 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23]
rvclkhdr_8.clock <= clock
rvclkhdr_8.reset <= reset
rvclkhdr_8.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_8.io.en <= _T_1514 @[lib.scala 393:17]
rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1515 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1514 : @[Reg.scala 28:19]
_T_1515 <= smallnum_case @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
smallnum_case_ff <= _T_1515 @[exu_div_ctl.scala 274:22]
node _T_1516 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23]
rvclkhdr_9.clock <= clock
rvclkhdr_9.reset <= reset
rvclkhdr_9.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_9.io.en <= _T_1516 @[lib.scala 393:17]
rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1517 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1516 : @[Reg.scala 28:19]
_T_1517 <= smallnum @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
smallnum_ff <= _T_1517 @[exu_div_ctl.scala 275:17]
node _T_1518 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23]
rvclkhdr_10.clock <= clock
rvclkhdr_10.reset <= reset
rvclkhdr_10.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_10.io.en <= _T_1518 @[lib.scala 393:17]
rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1519 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1518 : @[Reg.scala 28:19]
_T_1519 <= shortq_enable @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
shortq_enable_ff <= _T_1519 @[exu_div_ctl.scala 276:22]
node _T_1520 = bits(div_clken, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 390:23]
rvclkhdr_11.clock <= clock
rvclkhdr_11.reset <= reset
rvclkhdr_11.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_11.io.en <= _T_1520 @[lib.scala 393:17]
rvclkhdr_11.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1521 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1520 : @[Reg.scala 28:19]
_T_1521 <= shortq_shift @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
shortq_shift_xx <= _T_1521 @[exu_div_ctl.scala 277:21]
node _T_1522 = bits(qff_enable, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_12 of rvclkhdr_12 @[lib.scala 390:23]
rvclkhdr_12.clock <= clock
rvclkhdr_12.reset <= reset
rvclkhdr_12.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_12.io.en <= _T_1522 @[lib.scala 393:17]
rvclkhdr_12.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1523 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1522 : @[Reg.scala 28:19]
_T_1523 <= q_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
q_ff <= _T_1523 @[exu_div_ctl.scala 279:8]
node _T_1524 = bits(aff_enable, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_13 of rvclkhdr_13 @[lib.scala 390:23]
rvclkhdr_13.clock <= clock
rvclkhdr_13.reset <= reset
rvclkhdr_13.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_13.io.en <= _T_1524 @[lib.scala 393:17]
rvclkhdr_13.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1525 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1524 : @[Reg.scala 28:19]
_T_1525 <= a_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
a_ff <= _T_1525 @[exu_div_ctl.scala 280:8]
node _T_1526 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 281:50]
node _T_1527 = and(io.signed_in, _T_1526) @[exu_div_ctl.scala 281:35]
node _T_1528 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 281:69]
node _T_1529 = cat(_T_1527, _T_1528) @[Cat.scala 29:58]
inst rvclkhdr_14 of rvclkhdr_14 @[lib.scala 390:23]
rvclkhdr_14.clock <= clock
rvclkhdr_14.reset <= reset
rvclkhdr_14.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_14.io.en <= io.valid_in @[lib.scala 393:17]
rvclkhdr_14.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1530 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when io.valid_in : @[Reg.scala 28:19]
_T_1530 <= _T_1529 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
m_ff <= _T_1530 @[exu_div_ctl.scala 281:8]
module exu_div_ctl :
input clock : Clock
input reset : AsyncReset
output io : {flip scan_mode : UInt<1>, flip dividend : UInt<32>, flip divisor : UInt<32>, exu_div_result : UInt<32>, exu_div_wren : UInt<1>, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}}
wire out_raw : UInt<32>
out_raw <= UInt<32>("h00")
node _T = bits(io.exu_div_wren, 0, 0) @[Bitwise.scala 72:15]
node _T_1 = mux(_T, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_2 = and(_T_1, out_raw) @[exu_div_ctl.scala 21:49]
io.exu_div_result <= _T_2 @[exu_div_ctl.scala 21:21]
inst divider_old of el2_exu_div_existing_1bit_cheapshortq @[exu_div_ctl.scala 23:27]
divider_old.clock <= clock
divider_old.reset <= reset
divider_old.io.scan_mode <= io.scan_mode @[exu_div_ctl.scala 24:31]
divider_old.io.cancel <= io.dec_div.dec_div_cancel @[exu_div_ctl.scala 25:31]
divider_old.io.valid_in <= io.dec_div.div_p.valid @[exu_div_ctl.scala 26:31]
node _T_3 = not(io.dec_div.div_p.bits.unsign) @[exu_div_ctl.scala 27:34]
divider_old.io.signed_in <= _T_3 @[exu_div_ctl.scala 27:31]
divider_old.io.rem_in <= io.dec_div.div_p.bits.rem @[exu_div_ctl.scala 28:31]
divider_old.io.dividend_in <= io.dividend @[exu_div_ctl.scala 29:31]
divider_old.io.divisor_in <= io.divisor @[exu_div_ctl.scala 30:31]
out_raw <= divider_old.io.data_out @[exu_div_ctl.scala 31:27]
io.exu_div_wren <= divider_old.io.valid_out @[exu_div_ctl.scala 32:27]