quasar/verif/LEC/setup_files/LAT.fms

124 lines
22 KiB
Plaintext

set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/decode/rvclkhdr_1/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/decode/rvclkhdr_2/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/decode/trap_r_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/decode/rvclkhdr_6/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/decode/rvclkhdr_5/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/int_timers/mitb0_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/int_timers/rvclkhdr_2/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/int_timers/mitb1_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/int_timers/rvclkhdr_3/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/int_timers/mitcnt0_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/int_timers/rvclkhdr/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/int_timers/mitcnt1_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/int_timers/rvclkhdr_1/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mhpmc3_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_26/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mhpmc3h_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_27/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mhpmc4_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_28/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mhpmc4h_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_29/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mhpmc5_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_30/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mhpmc5h_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_31/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mhpmc6h_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_33/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mscratch_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_5/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mtdata2_t0_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_22/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mtdata2_t1_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_23/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mtdata2_t2_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_24/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/dec/tlu/mtdata2_t3_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/dec/tlu/csr/rvclkhdr_25/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/brdata0ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_5/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/brdata1ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_4/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/brdata2ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_3/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/f0pcff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_2/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/f1pcff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_1/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/f2pcff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/misc0ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_8/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/misc1ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_7/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/misc2ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_6/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/q0ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_11/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/q1ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_10/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/aln/q2ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/aln_ctl/rvclkhdr_9/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[0].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_522/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[10].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_532/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[11].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_533/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[12].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_534/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[13].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_535/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[14].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_536/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[15].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_537/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[1].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_523/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[2].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_524/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[3].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_525/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[4].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_526/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[5].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_527/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[6].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_528/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[7].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_529/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[8].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_530/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[0].BHT_CLK_GROUP[9].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_531/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[0].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_538/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[10].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_548/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[11].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_549/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[12].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_550/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[13].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_551/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[14].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_552/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[15].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_553/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/faddrf_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_1/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/retstack[0].rets_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_2/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/retstack[1].rets_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_3/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/retstack[2].rets_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_4/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/retstack[3].rets_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_5/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/retstack[4].rets_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_6/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/retstack[5].rets_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_7/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/retstack[6].rets_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_8/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/retstack[7].rets_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_9/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_mul/i_a_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/i_mul/rvclkhdr/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_mul/i_a_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/i_mul/rvclkhdr_1/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_r_ff0/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_10/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_r_ff0/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_11/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_r_ff0/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_12/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_r_ff1/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_13/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_r_ff1/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_14/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_5/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_6/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_7/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_8/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/i_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_9/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/genblk1.i_data_gate_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_15/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/genblk1.i_data_gate_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_16/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/exu/genblk1.i_data_gate_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/exu/rvclkhdr_17/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[9].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_547/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[8].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_546/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[7].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_545/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[6].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_544/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[5].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_543/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[4].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_542/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[3].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_541/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[2].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_540/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/BANKS[1].BHT_CLK_GROUP[1].bht_bank_grp_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr_539/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/bp/btb_lru_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/bp_ctl/rvclkhdr/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[9].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_79/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[8].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_78/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[7].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_77/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[6].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_76/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[5].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_75/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[4].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_74/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[3].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_73/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[2].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_72/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[1].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_71/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[15].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_85/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[14].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_84/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[13].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_83/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[12].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_82/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[11].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_81/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_WAY_STATUS[10].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_80/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_TAG_VALID[3].way_clken[1].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_93/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_TAG_VALID[3].way_clken[0].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_92/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_TAG_VALID[2].way_clken[1].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_91/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_TAG_VALID[2].way_clken[0].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_90/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_TAG_VALID[1].way_clken[1].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_89/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_TAG_VALID[1].way_clken[0].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_88/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_TAG_VALID[0].way_clken[1].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_87/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/bus_clk/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_69/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/ifc/faddrf1_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/ifc_ctl/rvclkhdr/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/debug_c1_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_1/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/fetch_bf_f_c1_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_2/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/ifu/mem_ctl/icache_enabled.CLK_GRP_TAG_VALID[0].way_clken[0].way_status_cgc/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/ifu/mem_ctl/rvclkhdr_86/clkhdr/en_ff_reg
set_user_match r:/WORK/el2_swerv_wrapper/swerv/lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/lsu/stbuf/rvclkhdr_2/clkhdr/en_ff_reg -type cell
set_user_match r:/WORK/el2_swerv_wrapper/swerv/lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/lsu/stbuf/rvclkhdr_3/clkhdr/en_ff_reg -type cell
set_user_match r:/WORK/el2_swerv_wrapper/swerv/lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/lsu/stbuf/rvclkhdr_4/clkhdr/en_ff_reg -type cell
set_user_match r:/WORK/el2_swerv_wrapper/swerv/lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/lsu/stbuf/rvclkhdr_5/clkhdr/en_ff_reg -type cell
set_user_match r:/WORK/el2_swerv_wrapper/swerv/lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/lsu/stbuf/rvclkhdr_6/clkhdr/en_ff_reg -type cell
set_user_match r:/WORK/el2_swerv_wrapper/swerv/lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff_reg i:/WORK/quasar_wrapper/core/lsu/stbuf/rvclkhdr_7/clkhdr/en_ff_reg -type cell