quasar/lsu_dccm_ctl.fir

2436 lines
150 KiB
Plaintext

;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10
circuit lsu_dccm_ctl :
extmodule gated_latch :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_1 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_1 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_1 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_2 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_2 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_2 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_3 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_3 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_3 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_4 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_4 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_4 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_5 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_5 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_5 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_6 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_6 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_6 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_7 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_7 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_7 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_8 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_8 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_8 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_9 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_9 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_9 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
module lsu_dccm_ctl :
input clock : Clock
input reset : AsyncReset
output io : {flip clk_override : UInt<1>, flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_store_c1_r_clk : Clock, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, stack : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, stack : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, stack : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip addr_in_dccm_d : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip addr_in_pic_d : UInt<1>, flip addr_in_pic_m : UInt<1>, flip addr_in_pic_r : UInt<1>, flip lsu_raw_fwd_lo_r : UInt<1>, flip lsu_raw_fwd_hi_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<16>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<16>, flip end_addr_r : UInt<16>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_addr_any : UInt<16>, flip stbuf_data_any : UInt<32>, flip stbuf_ecc_any : UInt<7>, flip stbuf_fwddata_hi_m : UInt<32>, flip stbuf_fwddata_lo_m : UInt<32>, flip stbuf_fwdbyteen_lo_m : UInt<4>, flip stbuf_fwdbyteen_hi_m : UInt<4>, dccm_rdata_hi_r : UInt<32>, dccm_rdata_lo_r : UInt<32>, dccm_data_ecc_hi_r : UInt<7>, dccm_data_ecc_lo_r : UInt<7>, lsu_ld_data_r : UInt<32>, lsu_ld_data_corr_r : UInt<32>, flip lsu_double_ecc_error_r : UInt<1>, flip single_ecc_error_hi_r : UInt<1>, flip single_ecc_error_lo_r : UInt<1>, flip sec_data_hi_r : UInt<32>, flip sec_data_lo_r : UInt<32>, flip sec_data_hi_r_ff : UInt<32>, flip sec_data_lo_r_ff : UInt<32>, flip sec_data_ecc_hi_r_ff : UInt<7>, flip sec_data_ecc_lo_r_ff : UInt<7>, dccm_rdata_hi_m : UInt<32>, dccm_rdata_lo_m : UInt<32>, dccm_data_ecc_hi_m : UInt<7>, dccm_data_ecc_lo_m : UInt<7>, lsu_ld_data_m : UInt<32>, flip lsu_double_ecc_error_m : UInt<1>, flip sec_data_hi_m : UInt<32>, flip sec_data_lo_m : UInt<32>, flip store_data_m : UInt<32>, flip dma_dccm_wen : UInt<1>, flip dma_pic_wen : UInt<1>, flip dma_mem_tag_m : UInt<3>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip dma_dccm_wdata_ecc_hi : UInt<7>, flip dma_dccm_wdata_ecc_lo : UInt<7>, store_data_hi_r : UInt<32>, store_data_lo_r : UInt<32>, store_datafn_hi_r : UInt<32>, store_datafn_lo_r : UInt<32>, store_data_r : UInt<32>, ld_single_ecc_error_r : UInt<1>, ld_single_ecc_error_r_ff : UInt<1>, picm_mask_data_m : UInt<32>, lsu_stbuf_commit_any : UInt<1>, lsu_dccm_rden_m : UInt<1>, lsu_dccm_rden_r : UInt<1>, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, flip scan_mode : UInt<1>}
node picm_rd_data_m = cat(io.lsu_pic.picm_rd_data, io.lsu_pic.picm_rd_data) @[Cat.scala 29:58]
node dccm_rdata_corr_r = cat(io.sec_data_hi_r, io.sec_data_lo_r) @[Cat.scala 29:58]
node dccm_rdata_corr_m = cat(io.sec_data_hi_m, io.sec_data_lo_m) @[Cat.scala 29:58]
node dccm_rdata_r = cat(io.dccm_rdata_hi_r, io.dccm_rdata_lo_r) @[Cat.scala 29:58]
node dccm_rdata_m = cat(io.dccm_rdata_hi_m, io.dccm_rdata_lo_m) @[Cat.scala 29:58]
wire lsu_rdata_r : UInt<64>
lsu_rdata_r <= UInt<1>("h00")
wire lsu_rdata_m : UInt<64>
lsu_rdata_m <= UInt<1>("h00")
wire lsu_rdata_corr_r : UInt<64>
lsu_rdata_corr_r <= UInt<1>("h00")
wire lsu_rdata_corr_m : UInt<64>
lsu_rdata_corr_m <= UInt<1>("h00")
wire stbuf_fwddata_r : UInt<64>
stbuf_fwddata_r <= UInt<1>("h00")
wire stbuf_fwdbyteen_r : UInt<64>
stbuf_fwdbyteen_r <= UInt<1>("h00")
wire picm_rd_data_r_32 : UInt<32>
picm_rd_data_r_32 <= UInt<1>("h00")
wire picm_rd_data_r : UInt<64>
picm_rd_data_r <= UInt<1>("h00")
wire lsu_ld_data_corr_m : UInt<64>
lsu_ld_data_corr_m <= UInt<1>("h00")
wire stbuf_fwddata_en : UInt<1>
stbuf_fwddata_en <= UInt<1>("h00")
wire lsu_double_ecc_error_r_ff : UInt<1>
lsu_double_ecc_error_r_ff <= UInt<1>("h00")
wire ld_single_ecc_error_hi_r_ff : UInt<1>
ld_single_ecc_error_hi_r_ff <= UInt<1>("h00")
wire ld_single_ecc_error_lo_r_ff : UInt<1>
ld_single_ecc_error_lo_r_ff <= UInt<1>("h00")
wire ld_sec_addr_hi_r_ff : UInt<16>
ld_sec_addr_hi_r_ff <= UInt<1>("h00")
wire ld_sec_addr_lo_r_ff : UInt<16>
ld_sec_addr_lo_r_ff <= UInt<1>("h00")
io.lsu_ld_data_m <= UInt<1>("h00") @[lsu_dccm_ctl.scala 121:20]
node _T = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.load) @[lsu_dccm_ctl.scala 124:62]
node _T_1 = and(_T, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 124:87]
io.dma_dccm_ctl.dccm_dma_rvalid <= _T_1 @[lsu_dccm_ctl.scala 124:40]
io.dma_dccm_ctl.dccm_dma_ecc_error <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 125:40]
node _T_2 = bits(io.ldst_dual_r, 0, 0) @[lib.scala 8:44]
node _T_3 = bits(lsu_rdata_corr_r, 31, 0) @[lsu_dccm_ctl.scala 126:103]
node _T_4 = cat(_T_3, _T_3) @[Cat.scala 29:58]
node _T_5 = mux(_T_2, lsu_rdata_corr_r, _T_4) @[lsu_dccm_ctl.scala 126:46]
io.dma_dccm_ctl.dccm_dma_rdata <= _T_5 @[lsu_dccm_ctl.scala 126:40]
node _T_6 = orr(io.stbuf_fwdbyteen_hi_m) @[lsu_dccm_ctl.scala 127:49]
node _T_7 = orr(io.stbuf_fwdbyteen_lo_m) @[lsu_dccm_ctl.scala 127:79]
node _T_8 = or(_T_6, _T_7) @[lsu_dccm_ctl.scala 127:53]
node _T_9 = or(_T_8, io.clk_override) @[lsu_dccm_ctl.scala 127:83]
stbuf_fwddata_en <= _T_9 @[lsu_dccm_ctl.scala 127:22]
node _T_10 = and(io.lsu_dccm_rden_m, io.ldst_dual_m) @[lsu_dccm_ctl.scala 129:77]
node _T_11 = or(_T_10, io.clk_override) @[lsu_dccm_ctl.scala 129:95]
node _T_12 = bits(_T_11, 0, 0) @[lib.scala 8:44]
node _T_13 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 129:133]
inst rvclkhdr of rvclkhdr @[lib.scala 390:23]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[lib.scala 392:18]
rvclkhdr.io.en <= _T_12 @[lib.scala 393:17]
rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_14 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_12 : @[Reg.scala 28:19]
_T_14 <= io.dccm_rdata_hi_m @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
io.dccm_rdata_hi_r <= _T_14 @[lsu_dccm_ctl.scala 129:27]
node _T_15 = or(io.lsu_dccm_rden_m, io.clk_override) @[lsu_dccm_ctl.scala 130:75]
node _T_16 = bits(_T_15, 0, 0) @[lsu_dccm_ctl.scala 130:93]
node _T_17 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 130:119]
inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_1.io.en <= _T_16 @[lib.scala 393:17]
rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_18 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_16 : @[Reg.scala 28:19]
_T_18 <= io.dccm_rdata_lo_m @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
io.dccm_rdata_lo_r <= _T_18 @[lsu_dccm_ctl.scala 130:27]
node _T_19 = or(io.lsu_dccm_rden_m, io.clk_override) @[lsu_dccm_ctl.scala 131:78]
node _T_20 = bits(_T_19, 0, 0) @[lsu_dccm_ctl.scala 131:96]
node _T_21 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 131:122]
inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23]
rvclkhdr_2.clock <= clock
rvclkhdr_2.reset <= reset
rvclkhdr_2.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_2.io.en <= _T_20 @[lib.scala 393:17]
rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_22 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_20 : @[Reg.scala 28:19]
_T_22 <= io.dccm_data_ecc_hi_m @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
io.dccm_data_ecc_hi_r <= _T_22 @[lsu_dccm_ctl.scala 131:27]
node _T_23 = or(io.lsu_dccm_rden_m, io.clk_override) @[lsu_dccm_ctl.scala 132:78]
node _T_24 = bits(_T_23, 0, 0) @[lsu_dccm_ctl.scala 132:96]
node _T_25 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 132:122]
inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23]
rvclkhdr_3.clock <= clock
rvclkhdr_3.reset <= reset
rvclkhdr_3.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_3.io.en <= _T_24 @[lib.scala 393:17]
rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_26 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_24 : @[Reg.scala 28:19]
_T_26 <= io.dccm_data_ecc_lo_m @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
io.dccm_data_ecc_lo_r <= _T_26 @[lsu_dccm_ctl.scala 132:27]
node _T_27 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
reg _T_28 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 133:64]
_T_28 <= _T_27 @[lsu_dccm_ctl.scala 133:64]
stbuf_fwdbyteen_r <= _T_28 @[lsu_dccm_ctl.scala 133:27]
node _T_29 = bits(stbuf_fwddata_en, 0, 0) @[lib.scala 8:44]
node _T_30 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23]
rvclkhdr_4.clock <= clock
rvclkhdr_4.reset <= reset
rvclkhdr_4.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_4.io.en <= _T_29 @[lib.scala 393:17]
rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_31 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_29 : @[Reg.scala 28:19]
_T_31 <= io.stbuf_fwddata_hi_m @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_32 = bits(stbuf_fwddata_en, 0, 0) @[lib.scala 8:44]
node _T_33 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23]
rvclkhdr_5.clock <= clock
rvclkhdr_5.reset <= reset
rvclkhdr_5.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_5.io.en <= _T_32 @[lib.scala 393:17]
rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_34 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_32 : @[Reg.scala 28:19]
_T_34 <= io.stbuf_fwddata_lo_m @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_35 = cat(_T_31, _T_34) @[Cat.scala 29:58]
stbuf_fwddata_r <= _T_35 @[lsu_dccm_ctl.scala 134:27]
node _T_36 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 135:51]
node _T_37 = or(io.addr_in_pic_m, io.clk_override) @[lsu_dccm_ctl.scala 135:76]
node _T_38 = bits(_T_37, 0, 0) @[lib.scala 8:44]
node _T_39 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23]
rvclkhdr_6.clock <= clock
rvclkhdr_6.reset <= reset
rvclkhdr_6.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_6.io.en <= _T_38 @[lib.scala 393:17]
rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_40 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_38 : @[Reg.scala 28:19]
_T_40 <= _T_36 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
picm_rd_data_r_32 <= _T_40 @[lsu_dccm_ctl.scala 135:27]
node _T_41 = cat(picm_rd_data_r_32, picm_rd_data_r_32) @[Cat.scala 29:58]
picm_rd_data_r <= _T_41 @[lsu_dccm_ctl.scala 136:27]
reg _T_42 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 137:77]
_T_42 <= io.dma_mem_tag_m @[lsu_dccm_ctl.scala 137:77]
io.dma_dccm_ctl.dccm_dma_rtag <= _T_42 @[lsu_dccm_ctl.scala 137:40]
node _T_43 = bits(stbuf_fwdbyteen_r, 0, 0) @[lsu_dccm_ctl.scala 138:95]
node _T_44 = bits(_T_43, 0, 0) @[lsu_dccm_ctl.scala 138:99]
node _T_45 = bits(stbuf_fwddata_r, 7, 0) @[lsu_dccm_ctl.scala 138:121]
node _T_46 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 138:157]
node _T_47 = bits(picm_rd_data_r, 7, 0) @[lsu_dccm_ctl.scala 138:178]
node _T_48 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_49 = mux(_T_48, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_50 = bits(dccm_rdata_corr_r, 7, 0) @[lsu_dccm_ctl.scala 138:238]
node _T_51 = and(_T_49, _T_50) @[lsu_dccm_ctl.scala 138:219]
node _T_52 = mux(_T_46, _T_47, _T_51) @[lsu_dccm_ctl.scala 138:139]
node _T_53 = mux(_T_44, _T_45, _T_52) @[lsu_dccm_ctl.scala 138:77]
node _T_54 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_55 = xor(UInt<8>("h0ff"), _T_54) @[Bitwise.scala 102:21]
node _T_56 = shr(_T_53, 4) @[Bitwise.scala 103:21]
node _T_57 = and(_T_56, _T_55) @[Bitwise.scala 103:31]
node _T_58 = bits(_T_53, 3, 0) @[Bitwise.scala 103:46]
node _T_59 = shl(_T_58, 4) @[Bitwise.scala 103:65]
node _T_60 = not(_T_55) @[Bitwise.scala 103:77]
node _T_61 = and(_T_59, _T_60) @[Bitwise.scala 103:75]
node _T_62 = or(_T_57, _T_61) @[Bitwise.scala 103:39]
node _T_63 = bits(_T_55, 5, 0) @[Bitwise.scala 102:28]
node _T_64 = shl(_T_63, 2) @[Bitwise.scala 102:47]
node _T_65 = xor(_T_55, _T_64) @[Bitwise.scala 102:21]
node _T_66 = shr(_T_62, 2) @[Bitwise.scala 103:21]
node _T_67 = and(_T_66, _T_65) @[Bitwise.scala 103:31]
node _T_68 = bits(_T_62, 5, 0) @[Bitwise.scala 103:46]
node _T_69 = shl(_T_68, 2) @[Bitwise.scala 103:65]
node _T_70 = not(_T_65) @[Bitwise.scala 103:77]
node _T_71 = and(_T_69, _T_70) @[Bitwise.scala 103:75]
node _T_72 = or(_T_67, _T_71) @[Bitwise.scala 103:39]
node _T_73 = bits(_T_65, 6, 0) @[Bitwise.scala 102:28]
node _T_74 = shl(_T_73, 1) @[Bitwise.scala 102:47]
node _T_75 = xor(_T_65, _T_74) @[Bitwise.scala 102:21]
node _T_76 = shr(_T_72, 1) @[Bitwise.scala 103:21]
node _T_77 = and(_T_76, _T_75) @[Bitwise.scala 103:31]
node _T_78 = bits(_T_72, 6, 0) @[Bitwise.scala 103:46]
node _T_79 = shl(_T_78, 1) @[Bitwise.scala 103:65]
node _T_80 = not(_T_75) @[Bitwise.scala 103:77]
node _T_81 = and(_T_79, _T_80) @[Bitwise.scala 103:75]
node _T_82 = or(_T_77, _T_81) @[Bitwise.scala 103:39]
node _T_83 = bits(stbuf_fwdbyteen_r, 1, 1) @[lsu_dccm_ctl.scala 138:95]
node _T_84 = bits(_T_83, 0, 0) @[lsu_dccm_ctl.scala 138:99]
node _T_85 = bits(stbuf_fwddata_r, 15, 8) @[lsu_dccm_ctl.scala 138:121]
node _T_86 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 138:157]
node _T_87 = bits(picm_rd_data_r, 15, 8) @[lsu_dccm_ctl.scala 138:178]
node _T_88 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_89 = mux(_T_88, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_90 = bits(dccm_rdata_corr_r, 15, 8) @[lsu_dccm_ctl.scala 138:238]
node _T_91 = and(_T_89, _T_90) @[lsu_dccm_ctl.scala 138:219]
node _T_92 = mux(_T_86, _T_87, _T_91) @[lsu_dccm_ctl.scala 138:139]
node _T_93 = mux(_T_84, _T_85, _T_92) @[lsu_dccm_ctl.scala 138:77]
node _T_94 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_95 = xor(UInt<8>("h0ff"), _T_94) @[Bitwise.scala 102:21]
node _T_96 = shr(_T_93, 4) @[Bitwise.scala 103:21]
node _T_97 = and(_T_96, _T_95) @[Bitwise.scala 103:31]
node _T_98 = bits(_T_93, 3, 0) @[Bitwise.scala 103:46]
node _T_99 = shl(_T_98, 4) @[Bitwise.scala 103:65]
node _T_100 = not(_T_95) @[Bitwise.scala 103:77]
node _T_101 = and(_T_99, _T_100) @[Bitwise.scala 103:75]
node _T_102 = or(_T_97, _T_101) @[Bitwise.scala 103:39]
node _T_103 = bits(_T_95, 5, 0) @[Bitwise.scala 102:28]
node _T_104 = shl(_T_103, 2) @[Bitwise.scala 102:47]
node _T_105 = xor(_T_95, _T_104) @[Bitwise.scala 102:21]
node _T_106 = shr(_T_102, 2) @[Bitwise.scala 103:21]
node _T_107 = and(_T_106, _T_105) @[Bitwise.scala 103:31]
node _T_108 = bits(_T_102, 5, 0) @[Bitwise.scala 103:46]
node _T_109 = shl(_T_108, 2) @[Bitwise.scala 103:65]
node _T_110 = not(_T_105) @[Bitwise.scala 103:77]
node _T_111 = and(_T_109, _T_110) @[Bitwise.scala 103:75]
node _T_112 = or(_T_107, _T_111) @[Bitwise.scala 103:39]
node _T_113 = bits(_T_105, 6, 0) @[Bitwise.scala 102:28]
node _T_114 = shl(_T_113, 1) @[Bitwise.scala 102:47]
node _T_115 = xor(_T_105, _T_114) @[Bitwise.scala 102:21]
node _T_116 = shr(_T_112, 1) @[Bitwise.scala 103:21]
node _T_117 = and(_T_116, _T_115) @[Bitwise.scala 103:31]
node _T_118 = bits(_T_112, 6, 0) @[Bitwise.scala 103:46]
node _T_119 = shl(_T_118, 1) @[Bitwise.scala 103:65]
node _T_120 = not(_T_115) @[Bitwise.scala 103:77]
node _T_121 = and(_T_119, _T_120) @[Bitwise.scala 103:75]
node _T_122 = or(_T_117, _T_121) @[Bitwise.scala 103:39]
node _T_123 = bits(stbuf_fwdbyteen_r, 2, 2) @[lsu_dccm_ctl.scala 138:95]
node _T_124 = bits(_T_123, 0, 0) @[lsu_dccm_ctl.scala 138:99]
node _T_125 = bits(stbuf_fwddata_r, 23, 16) @[lsu_dccm_ctl.scala 138:121]
node _T_126 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 138:157]
node _T_127 = bits(picm_rd_data_r, 23, 16) @[lsu_dccm_ctl.scala 138:178]
node _T_128 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_129 = mux(_T_128, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_130 = bits(dccm_rdata_corr_r, 23, 16) @[lsu_dccm_ctl.scala 138:238]
node _T_131 = and(_T_129, _T_130) @[lsu_dccm_ctl.scala 138:219]
node _T_132 = mux(_T_126, _T_127, _T_131) @[lsu_dccm_ctl.scala 138:139]
node _T_133 = mux(_T_124, _T_125, _T_132) @[lsu_dccm_ctl.scala 138:77]
node _T_134 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_135 = xor(UInt<8>("h0ff"), _T_134) @[Bitwise.scala 102:21]
node _T_136 = shr(_T_133, 4) @[Bitwise.scala 103:21]
node _T_137 = and(_T_136, _T_135) @[Bitwise.scala 103:31]
node _T_138 = bits(_T_133, 3, 0) @[Bitwise.scala 103:46]
node _T_139 = shl(_T_138, 4) @[Bitwise.scala 103:65]
node _T_140 = not(_T_135) @[Bitwise.scala 103:77]
node _T_141 = and(_T_139, _T_140) @[Bitwise.scala 103:75]
node _T_142 = or(_T_137, _T_141) @[Bitwise.scala 103:39]
node _T_143 = bits(_T_135, 5, 0) @[Bitwise.scala 102:28]
node _T_144 = shl(_T_143, 2) @[Bitwise.scala 102:47]
node _T_145 = xor(_T_135, _T_144) @[Bitwise.scala 102:21]
node _T_146 = shr(_T_142, 2) @[Bitwise.scala 103:21]
node _T_147 = and(_T_146, _T_145) @[Bitwise.scala 103:31]
node _T_148 = bits(_T_142, 5, 0) @[Bitwise.scala 103:46]
node _T_149 = shl(_T_148, 2) @[Bitwise.scala 103:65]
node _T_150 = not(_T_145) @[Bitwise.scala 103:77]
node _T_151 = and(_T_149, _T_150) @[Bitwise.scala 103:75]
node _T_152 = or(_T_147, _T_151) @[Bitwise.scala 103:39]
node _T_153 = bits(_T_145, 6, 0) @[Bitwise.scala 102:28]
node _T_154 = shl(_T_153, 1) @[Bitwise.scala 102:47]
node _T_155 = xor(_T_145, _T_154) @[Bitwise.scala 102:21]
node _T_156 = shr(_T_152, 1) @[Bitwise.scala 103:21]
node _T_157 = and(_T_156, _T_155) @[Bitwise.scala 103:31]
node _T_158 = bits(_T_152, 6, 0) @[Bitwise.scala 103:46]
node _T_159 = shl(_T_158, 1) @[Bitwise.scala 103:65]
node _T_160 = not(_T_155) @[Bitwise.scala 103:77]
node _T_161 = and(_T_159, _T_160) @[Bitwise.scala 103:75]
node _T_162 = or(_T_157, _T_161) @[Bitwise.scala 103:39]
node _T_163 = bits(stbuf_fwdbyteen_r, 3, 3) @[lsu_dccm_ctl.scala 138:95]
node _T_164 = bits(_T_163, 0, 0) @[lsu_dccm_ctl.scala 138:99]
node _T_165 = bits(stbuf_fwddata_r, 31, 24) @[lsu_dccm_ctl.scala 138:121]
node _T_166 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 138:157]
node _T_167 = bits(picm_rd_data_r, 31, 24) @[lsu_dccm_ctl.scala 138:178]
node _T_168 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_169 = mux(_T_168, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_170 = bits(dccm_rdata_corr_r, 31, 24) @[lsu_dccm_ctl.scala 138:238]
node _T_171 = and(_T_169, _T_170) @[lsu_dccm_ctl.scala 138:219]
node _T_172 = mux(_T_166, _T_167, _T_171) @[lsu_dccm_ctl.scala 138:139]
node _T_173 = mux(_T_164, _T_165, _T_172) @[lsu_dccm_ctl.scala 138:77]
node _T_174 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_175 = xor(UInt<8>("h0ff"), _T_174) @[Bitwise.scala 102:21]
node _T_176 = shr(_T_173, 4) @[Bitwise.scala 103:21]
node _T_177 = and(_T_176, _T_175) @[Bitwise.scala 103:31]
node _T_178 = bits(_T_173, 3, 0) @[Bitwise.scala 103:46]
node _T_179 = shl(_T_178, 4) @[Bitwise.scala 103:65]
node _T_180 = not(_T_175) @[Bitwise.scala 103:77]
node _T_181 = and(_T_179, _T_180) @[Bitwise.scala 103:75]
node _T_182 = or(_T_177, _T_181) @[Bitwise.scala 103:39]
node _T_183 = bits(_T_175, 5, 0) @[Bitwise.scala 102:28]
node _T_184 = shl(_T_183, 2) @[Bitwise.scala 102:47]
node _T_185 = xor(_T_175, _T_184) @[Bitwise.scala 102:21]
node _T_186 = shr(_T_182, 2) @[Bitwise.scala 103:21]
node _T_187 = and(_T_186, _T_185) @[Bitwise.scala 103:31]
node _T_188 = bits(_T_182, 5, 0) @[Bitwise.scala 103:46]
node _T_189 = shl(_T_188, 2) @[Bitwise.scala 103:65]
node _T_190 = not(_T_185) @[Bitwise.scala 103:77]
node _T_191 = and(_T_189, _T_190) @[Bitwise.scala 103:75]
node _T_192 = or(_T_187, _T_191) @[Bitwise.scala 103:39]
node _T_193 = bits(_T_185, 6, 0) @[Bitwise.scala 102:28]
node _T_194 = shl(_T_193, 1) @[Bitwise.scala 102:47]
node _T_195 = xor(_T_185, _T_194) @[Bitwise.scala 102:21]
node _T_196 = shr(_T_192, 1) @[Bitwise.scala 103:21]
node _T_197 = and(_T_196, _T_195) @[Bitwise.scala 103:31]
node _T_198 = bits(_T_192, 6, 0) @[Bitwise.scala 103:46]
node _T_199 = shl(_T_198, 1) @[Bitwise.scala 103:65]
node _T_200 = not(_T_195) @[Bitwise.scala 103:77]
node _T_201 = and(_T_199, _T_200) @[Bitwise.scala 103:75]
node _T_202 = or(_T_197, _T_201) @[Bitwise.scala 103:39]
node _T_203 = bits(stbuf_fwdbyteen_r, 4, 4) @[lsu_dccm_ctl.scala 138:95]
node _T_204 = bits(_T_203, 0, 0) @[lsu_dccm_ctl.scala 138:99]
node _T_205 = bits(stbuf_fwddata_r, 39, 32) @[lsu_dccm_ctl.scala 138:121]
node _T_206 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 138:157]
node _T_207 = bits(picm_rd_data_r, 39, 32) @[lsu_dccm_ctl.scala 138:178]
node _T_208 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_209 = mux(_T_208, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_210 = bits(dccm_rdata_corr_r, 39, 32) @[lsu_dccm_ctl.scala 138:238]
node _T_211 = and(_T_209, _T_210) @[lsu_dccm_ctl.scala 138:219]
node _T_212 = mux(_T_206, _T_207, _T_211) @[lsu_dccm_ctl.scala 138:139]
node _T_213 = mux(_T_204, _T_205, _T_212) @[lsu_dccm_ctl.scala 138:77]
node _T_214 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_215 = xor(UInt<8>("h0ff"), _T_214) @[Bitwise.scala 102:21]
node _T_216 = shr(_T_213, 4) @[Bitwise.scala 103:21]
node _T_217 = and(_T_216, _T_215) @[Bitwise.scala 103:31]
node _T_218 = bits(_T_213, 3, 0) @[Bitwise.scala 103:46]
node _T_219 = shl(_T_218, 4) @[Bitwise.scala 103:65]
node _T_220 = not(_T_215) @[Bitwise.scala 103:77]
node _T_221 = and(_T_219, _T_220) @[Bitwise.scala 103:75]
node _T_222 = or(_T_217, _T_221) @[Bitwise.scala 103:39]
node _T_223 = bits(_T_215, 5, 0) @[Bitwise.scala 102:28]
node _T_224 = shl(_T_223, 2) @[Bitwise.scala 102:47]
node _T_225 = xor(_T_215, _T_224) @[Bitwise.scala 102:21]
node _T_226 = shr(_T_222, 2) @[Bitwise.scala 103:21]
node _T_227 = and(_T_226, _T_225) @[Bitwise.scala 103:31]
node _T_228 = bits(_T_222, 5, 0) @[Bitwise.scala 103:46]
node _T_229 = shl(_T_228, 2) @[Bitwise.scala 103:65]
node _T_230 = not(_T_225) @[Bitwise.scala 103:77]
node _T_231 = and(_T_229, _T_230) @[Bitwise.scala 103:75]
node _T_232 = or(_T_227, _T_231) @[Bitwise.scala 103:39]
node _T_233 = bits(_T_225, 6, 0) @[Bitwise.scala 102:28]
node _T_234 = shl(_T_233, 1) @[Bitwise.scala 102:47]
node _T_235 = xor(_T_225, _T_234) @[Bitwise.scala 102:21]
node _T_236 = shr(_T_232, 1) @[Bitwise.scala 103:21]
node _T_237 = and(_T_236, _T_235) @[Bitwise.scala 103:31]
node _T_238 = bits(_T_232, 6, 0) @[Bitwise.scala 103:46]
node _T_239 = shl(_T_238, 1) @[Bitwise.scala 103:65]
node _T_240 = not(_T_235) @[Bitwise.scala 103:77]
node _T_241 = and(_T_239, _T_240) @[Bitwise.scala 103:75]
node _T_242 = or(_T_237, _T_241) @[Bitwise.scala 103:39]
node _T_243 = bits(stbuf_fwdbyteen_r, 5, 5) @[lsu_dccm_ctl.scala 138:95]
node _T_244 = bits(_T_243, 0, 0) @[lsu_dccm_ctl.scala 138:99]
node _T_245 = bits(stbuf_fwddata_r, 47, 40) @[lsu_dccm_ctl.scala 138:121]
node _T_246 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 138:157]
node _T_247 = bits(picm_rd_data_r, 47, 40) @[lsu_dccm_ctl.scala 138:178]
node _T_248 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_249 = mux(_T_248, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_250 = bits(dccm_rdata_corr_r, 47, 40) @[lsu_dccm_ctl.scala 138:238]
node _T_251 = and(_T_249, _T_250) @[lsu_dccm_ctl.scala 138:219]
node _T_252 = mux(_T_246, _T_247, _T_251) @[lsu_dccm_ctl.scala 138:139]
node _T_253 = mux(_T_244, _T_245, _T_252) @[lsu_dccm_ctl.scala 138:77]
node _T_254 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_255 = xor(UInt<8>("h0ff"), _T_254) @[Bitwise.scala 102:21]
node _T_256 = shr(_T_253, 4) @[Bitwise.scala 103:21]
node _T_257 = and(_T_256, _T_255) @[Bitwise.scala 103:31]
node _T_258 = bits(_T_253, 3, 0) @[Bitwise.scala 103:46]
node _T_259 = shl(_T_258, 4) @[Bitwise.scala 103:65]
node _T_260 = not(_T_255) @[Bitwise.scala 103:77]
node _T_261 = and(_T_259, _T_260) @[Bitwise.scala 103:75]
node _T_262 = or(_T_257, _T_261) @[Bitwise.scala 103:39]
node _T_263 = bits(_T_255, 5, 0) @[Bitwise.scala 102:28]
node _T_264 = shl(_T_263, 2) @[Bitwise.scala 102:47]
node _T_265 = xor(_T_255, _T_264) @[Bitwise.scala 102:21]
node _T_266 = shr(_T_262, 2) @[Bitwise.scala 103:21]
node _T_267 = and(_T_266, _T_265) @[Bitwise.scala 103:31]
node _T_268 = bits(_T_262, 5, 0) @[Bitwise.scala 103:46]
node _T_269 = shl(_T_268, 2) @[Bitwise.scala 103:65]
node _T_270 = not(_T_265) @[Bitwise.scala 103:77]
node _T_271 = and(_T_269, _T_270) @[Bitwise.scala 103:75]
node _T_272 = or(_T_267, _T_271) @[Bitwise.scala 103:39]
node _T_273 = bits(_T_265, 6, 0) @[Bitwise.scala 102:28]
node _T_274 = shl(_T_273, 1) @[Bitwise.scala 102:47]
node _T_275 = xor(_T_265, _T_274) @[Bitwise.scala 102:21]
node _T_276 = shr(_T_272, 1) @[Bitwise.scala 103:21]
node _T_277 = and(_T_276, _T_275) @[Bitwise.scala 103:31]
node _T_278 = bits(_T_272, 6, 0) @[Bitwise.scala 103:46]
node _T_279 = shl(_T_278, 1) @[Bitwise.scala 103:65]
node _T_280 = not(_T_275) @[Bitwise.scala 103:77]
node _T_281 = and(_T_279, _T_280) @[Bitwise.scala 103:75]
node _T_282 = or(_T_277, _T_281) @[Bitwise.scala 103:39]
node _T_283 = bits(stbuf_fwdbyteen_r, 6, 6) @[lsu_dccm_ctl.scala 138:95]
node _T_284 = bits(_T_283, 0, 0) @[lsu_dccm_ctl.scala 138:99]
node _T_285 = bits(stbuf_fwddata_r, 55, 48) @[lsu_dccm_ctl.scala 138:121]
node _T_286 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 138:157]
node _T_287 = bits(picm_rd_data_r, 55, 48) @[lsu_dccm_ctl.scala 138:178]
node _T_288 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_289 = mux(_T_288, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_290 = bits(dccm_rdata_corr_r, 55, 48) @[lsu_dccm_ctl.scala 138:238]
node _T_291 = and(_T_289, _T_290) @[lsu_dccm_ctl.scala 138:219]
node _T_292 = mux(_T_286, _T_287, _T_291) @[lsu_dccm_ctl.scala 138:139]
node _T_293 = mux(_T_284, _T_285, _T_292) @[lsu_dccm_ctl.scala 138:77]
node _T_294 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_295 = xor(UInt<8>("h0ff"), _T_294) @[Bitwise.scala 102:21]
node _T_296 = shr(_T_293, 4) @[Bitwise.scala 103:21]
node _T_297 = and(_T_296, _T_295) @[Bitwise.scala 103:31]
node _T_298 = bits(_T_293, 3, 0) @[Bitwise.scala 103:46]
node _T_299 = shl(_T_298, 4) @[Bitwise.scala 103:65]
node _T_300 = not(_T_295) @[Bitwise.scala 103:77]
node _T_301 = and(_T_299, _T_300) @[Bitwise.scala 103:75]
node _T_302 = or(_T_297, _T_301) @[Bitwise.scala 103:39]
node _T_303 = bits(_T_295, 5, 0) @[Bitwise.scala 102:28]
node _T_304 = shl(_T_303, 2) @[Bitwise.scala 102:47]
node _T_305 = xor(_T_295, _T_304) @[Bitwise.scala 102:21]
node _T_306 = shr(_T_302, 2) @[Bitwise.scala 103:21]
node _T_307 = and(_T_306, _T_305) @[Bitwise.scala 103:31]
node _T_308 = bits(_T_302, 5, 0) @[Bitwise.scala 103:46]
node _T_309 = shl(_T_308, 2) @[Bitwise.scala 103:65]
node _T_310 = not(_T_305) @[Bitwise.scala 103:77]
node _T_311 = and(_T_309, _T_310) @[Bitwise.scala 103:75]
node _T_312 = or(_T_307, _T_311) @[Bitwise.scala 103:39]
node _T_313 = bits(_T_305, 6, 0) @[Bitwise.scala 102:28]
node _T_314 = shl(_T_313, 1) @[Bitwise.scala 102:47]
node _T_315 = xor(_T_305, _T_314) @[Bitwise.scala 102:21]
node _T_316 = shr(_T_312, 1) @[Bitwise.scala 103:21]
node _T_317 = and(_T_316, _T_315) @[Bitwise.scala 103:31]
node _T_318 = bits(_T_312, 6, 0) @[Bitwise.scala 103:46]
node _T_319 = shl(_T_318, 1) @[Bitwise.scala 103:65]
node _T_320 = not(_T_315) @[Bitwise.scala 103:77]
node _T_321 = and(_T_319, _T_320) @[Bitwise.scala 103:75]
node _T_322 = or(_T_317, _T_321) @[Bitwise.scala 103:39]
node _T_323 = bits(stbuf_fwdbyteen_r, 7, 7) @[lsu_dccm_ctl.scala 138:95]
node _T_324 = bits(_T_323, 0, 0) @[lsu_dccm_ctl.scala 138:99]
node _T_325 = bits(stbuf_fwddata_r, 63, 56) @[lsu_dccm_ctl.scala 138:121]
node _T_326 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 138:157]
node _T_327 = bits(picm_rd_data_r, 63, 56) @[lsu_dccm_ctl.scala 138:178]
node _T_328 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_329 = mux(_T_328, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_330 = bits(dccm_rdata_corr_r, 63, 56) @[lsu_dccm_ctl.scala 138:238]
node _T_331 = and(_T_329, _T_330) @[lsu_dccm_ctl.scala 138:219]
node _T_332 = mux(_T_326, _T_327, _T_331) @[lsu_dccm_ctl.scala 138:139]
node _T_333 = mux(_T_324, _T_325, _T_332) @[lsu_dccm_ctl.scala 138:77]
node _T_334 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_335 = xor(UInt<8>("h0ff"), _T_334) @[Bitwise.scala 102:21]
node _T_336 = shr(_T_333, 4) @[Bitwise.scala 103:21]
node _T_337 = and(_T_336, _T_335) @[Bitwise.scala 103:31]
node _T_338 = bits(_T_333, 3, 0) @[Bitwise.scala 103:46]
node _T_339 = shl(_T_338, 4) @[Bitwise.scala 103:65]
node _T_340 = not(_T_335) @[Bitwise.scala 103:77]
node _T_341 = and(_T_339, _T_340) @[Bitwise.scala 103:75]
node _T_342 = or(_T_337, _T_341) @[Bitwise.scala 103:39]
node _T_343 = bits(_T_335, 5, 0) @[Bitwise.scala 102:28]
node _T_344 = shl(_T_343, 2) @[Bitwise.scala 102:47]
node _T_345 = xor(_T_335, _T_344) @[Bitwise.scala 102:21]
node _T_346 = shr(_T_342, 2) @[Bitwise.scala 103:21]
node _T_347 = and(_T_346, _T_345) @[Bitwise.scala 103:31]
node _T_348 = bits(_T_342, 5, 0) @[Bitwise.scala 103:46]
node _T_349 = shl(_T_348, 2) @[Bitwise.scala 103:65]
node _T_350 = not(_T_345) @[Bitwise.scala 103:77]
node _T_351 = and(_T_349, _T_350) @[Bitwise.scala 103:75]
node _T_352 = or(_T_347, _T_351) @[Bitwise.scala 103:39]
node _T_353 = bits(_T_345, 6, 0) @[Bitwise.scala 102:28]
node _T_354 = shl(_T_353, 1) @[Bitwise.scala 102:47]
node _T_355 = xor(_T_345, _T_354) @[Bitwise.scala 102:21]
node _T_356 = shr(_T_352, 1) @[Bitwise.scala 103:21]
node _T_357 = and(_T_356, _T_355) @[Bitwise.scala 103:31]
node _T_358 = bits(_T_352, 6, 0) @[Bitwise.scala 103:46]
node _T_359 = shl(_T_358, 1) @[Bitwise.scala 103:65]
node _T_360 = not(_T_355) @[Bitwise.scala 103:77]
node _T_361 = and(_T_359, _T_360) @[Bitwise.scala 103:75]
node _T_362 = or(_T_357, _T_361) @[Bitwise.scala 103:39]
wire _T_363 : UInt<8>[8] @[lsu_dccm_ctl.scala 138:61]
_T_363[0] <= _T_82 @[lsu_dccm_ctl.scala 138:61]
_T_363[1] <= _T_122 @[lsu_dccm_ctl.scala 138:61]
_T_363[2] <= _T_162 @[lsu_dccm_ctl.scala 138:61]
_T_363[3] <= _T_202 @[lsu_dccm_ctl.scala 138:61]
_T_363[4] <= _T_242 @[lsu_dccm_ctl.scala 138:61]
_T_363[5] <= _T_282 @[lsu_dccm_ctl.scala 138:61]
_T_363[6] <= _T_322 @[lsu_dccm_ctl.scala 138:61]
_T_363[7] <= _T_362 @[lsu_dccm_ctl.scala 138:61]
node _T_364 = cat(_T_363[6], _T_363[7]) @[Cat.scala 29:58]
node _T_365 = cat(_T_363[4], _T_363[5]) @[Cat.scala 29:58]
node _T_366 = cat(_T_365, _T_364) @[Cat.scala 29:58]
node _T_367 = cat(_T_363[2], _T_363[3]) @[Cat.scala 29:58]
node _T_368 = cat(_T_363[0], _T_363[1]) @[Cat.scala 29:58]
node _T_369 = cat(_T_368, _T_367) @[Cat.scala 29:58]
node _T_370 = cat(_T_369, _T_366) @[Cat.scala 29:58]
node _T_371 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47]
node _T_372 = xor(UInt<64>("h0ffffffffffffffff"), _T_371) @[Bitwise.scala 102:21]
node _T_373 = shr(_T_370, 32) @[Bitwise.scala 103:21]
node _T_374 = and(_T_373, _T_372) @[Bitwise.scala 103:31]
node _T_375 = bits(_T_370, 31, 0) @[Bitwise.scala 103:46]
node _T_376 = shl(_T_375, 32) @[Bitwise.scala 103:65]
node _T_377 = not(_T_372) @[Bitwise.scala 103:77]
node _T_378 = and(_T_376, _T_377) @[Bitwise.scala 103:75]
node _T_379 = or(_T_374, _T_378) @[Bitwise.scala 103:39]
node _T_380 = bits(_T_372, 47, 0) @[Bitwise.scala 102:28]
node _T_381 = shl(_T_380, 16) @[Bitwise.scala 102:47]
node _T_382 = xor(_T_372, _T_381) @[Bitwise.scala 102:21]
node _T_383 = shr(_T_379, 16) @[Bitwise.scala 103:21]
node _T_384 = and(_T_383, _T_382) @[Bitwise.scala 103:31]
node _T_385 = bits(_T_379, 47, 0) @[Bitwise.scala 103:46]
node _T_386 = shl(_T_385, 16) @[Bitwise.scala 103:65]
node _T_387 = not(_T_382) @[Bitwise.scala 103:77]
node _T_388 = and(_T_386, _T_387) @[Bitwise.scala 103:75]
node _T_389 = or(_T_384, _T_388) @[Bitwise.scala 103:39]
node _T_390 = bits(_T_382, 55, 0) @[Bitwise.scala 102:28]
node _T_391 = shl(_T_390, 8) @[Bitwise.scala 102:47]
node _T_392 = xor(_T_382, _T_391) @[Bitwise.scala 102:21]
node _T_393 = shr(_T_389, 8) @[Bitwise.scala 103:21]
node _T_394 = and(_T_393, _T_392) @[Bitwise.scala 103:31]
node _T_395 = bits(_T_389, 55, 0) @[Bitwise.scala 103:46]
node _T_396 = shl(_T_395, 8) @[Bitwise.scala 103:65]
node _T_397 = not(_T_392) @[Bitwise.scala 103:77]
node _T_398 = and(_T_396, _T_397) @[Bitwise.scala 103:75]
node _T_399 = or(_T_394, _T_398) @[Bitwise.scala 103:39]
node _T_400 = bits(_T_392, 59, 0) @[Bitwise.scala 102:28]
node _T_401 = shl(_T_400, 4) @[Bitwise.scala 102:47]
node _T_402 = xor(_T_392, _T_401) @[Bitwise.scala 102:21]
node _T_403 = shr(_T_399, 4) @[Bitwise.scala 103:21]
node _T_404 = and(_T_403, _T_402) @[Bitwise.scala 103:31]
node _T_405 = bits(_T_399, 59, 0) @[Bitwise.scala 103:46]
node _T_406 = shl(_T_405, 4) @[Bitwise.scala 103:65]
node _T_407 = not(_T_402) @[Bitwise.scala 103:77]
node _T_408 = and(_T_406, _T_407) @[Bitwise.scala 103:75]
node _T_409 = or(_T_404, _T_408) @[Bitwise.scala 103:39]
node _T_410 = bits(_T_402, 61, 0) @[Bitwise.scala 102:28]
node _T_411 = shl(_T_410, 2) @[Bitwise.scala 102:47]
node _T_412 = xor(_T_402, _T_411) @[Bitwise.scala 102:21]
node _T_413 = shr(_T_409, 2) @[Bitwise.scala 103:21]
node _T_414 = and(_T_413, _T_412) @[Bitwise.scala 103:31]
node _T_415 = bits(_T_409, 61, 0) @[Bitwise.scala 103:46]
node _T_416 = shl(_T_415, 2) @[Bitwise.scala 103:65]
node _T_417 = not(_T_412) @[Bitwise.scala 103:77]
node _T_418 = and(_T_416, _T_417) @[Bitwise.scala 103:75]
node _T_419 = or(_T_414, _T_418) @[Bitwise.scala 103:39]
node _T_420 = bits(_T_412, 62, 0) @[Bitwise.scala 102:28]
node _T_421 = shl(_T_420, 1) @[Bitwise.scala 102:47]
node _T_422 = xor(_T_412, _T_421) @[Bitwise.scala 102:21]
node _T_423 = shr(_T_419, 1) @[Bitwise.scala 103:21]
node _T_424 = and(_T_423, _T_422) @[Bitwise.scala 103:31]
node _T_425 = bits(_T_419, 62, 0) @[Bitwise.scala 103:46]
node _T_426 = shl(_T_425, 1) @[Bitwise.scala 103:65]
node _T_427 = not(_T_422) @[Bitwise.scala 103:77]
node _T_428 = and(_T_426, _T_427) @[Bitwise.scala 103:75]
node _T_429 = or(_T_424, _T_428) @[Bitwise.scala 103:39]
lsu_rdata_corr_r <= _T_429 @[lsu_dccm_ctl.scala 138:27]
node _T_430 = bits(stbuf_fwdbyteen_r, 0, 0) @[lsu_dccm_ctl.scala 139:95]
node _T_431 = bits(_T_430, 0, 0) @[lsu_dccm_ctl.scala 139:99]
node _T_432 = bits(stbuf_fwddata_r, 7, 0) @[lsu_dccm_ctl.scala 139:121]
node _T_433 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 139:157]
node _T_434 = bits(picm_rd_data_r, 7, 0) @[lsu_dccm_ctl.scala 139:178]
node _T_435 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_436 = mux(_T_435, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_437 = bits(dccm_rdata_r, 7, 0) @[lsu_dccm_ctl.scala 139:233]
node _T_438 = and(_T_436, _T_437) @[lsu_dccm_ctl.scala 139:219]
node _T_439 = mux(_T_433, _T_434, _T_438) @[lsu_dccm_ctl.scala 139:139]
node _T_440 = mux(_T_431, _T_432, _T_439) @[lsu_dccm_ctl.scala 139:77]
node _T_441 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_442 = xor(UInt<8>("h0ff"), _T_441) @[Bitwise.scala 102:21]
node _T_443 = shr(_T_440, 4) @[Bitwise.scala 103:21]
node _T_444 = and(_T_443, _T_442) @[Bitwise.scala 103:31]
node _T_445 = bits(_T_440, 3, 0) @[Bitwise.scala 103:46]
node _T_446 = shl(_T_445, 4) @[Bitwise.scala 103:65]
node _T_447 = not(_T_442) @[Bitwise.scala 103:77]
node _T_448 = and(_T_446, _T_447) @[Bitwise.scala 103:75]
node _T_449 = or(_T_444, _T_448) @[Bitwise.scala 103:39]
node _T_450 = bits(_T_442, 5, 0) @[Bitwise.scala 102:28]
node _T_451 = shl(_T_450, 2) @[Bitwise.scala 102:47]
node _T_452 = xor(_T_442, _T_451) @[Bitwise.scala 102:21]
node _T_453 = shr(_T_449, 2) @[Bitwise.scala 103:21]
node _T_454 = and(_T_453, _T_452) @[Bitwise.scala 103:31]
node _T_455 = bits(_T_449, 5, 0) @[Bitwise.scala 103:46]
node _T_456 = shl(_T_455, 2) @[Bitwise.scala 103:65]
node _T_457 = not(_T_452) @[Bitwise.scala 103:77]
node _T_458 = and(_T_456, _T_457) @[Bitwise.scala 103:75]
node _T_459 = or(_T_454, _T_458) @[Bitwise.scala 103:39]
node _T_460 = bits(_T_452, 6, 0) @[Bitwise.scala 102:28]
node _T_461 = shl(_T_460, 1) @[Bitwise.scala 102:47]
node _T_462 = xor(_T_452, _T_461) @[Bitwise.scala 102:21]
node _T_463 = shr(_T_459, 1) @[Bitwise.scala 103:21]
node _T_464 = and(_T_463, _T_462) @[Bitwise.scala 103:31]
node _T_465 = bits(_T_459, 6, 0) @[Bitwise.scala 103:46]
node _T_466 = shl(_T_465, 1) @[Bitwise.scala 103:65]
node _T_467 = not(_T_462) @[Bitwise.scala 103:77]
node _T_468 = and(_T_466, _T_467) @[Bitwise.scala 103:75]
node _T_469 = or(_T_464, _T_468) @[Bitwise.scala 103:39]
node _T_470 = bits(stbuf_fwdbyteen_r, 1, 1) @[lsu_dccm_ctl.scala 139:95]
node _T_471 = bits(_T_470, 0, 0) @[lsu_dccm_ctl.scala 139:99]
node _T_472 = bits(stbuf_fwddata_r, 15, 8) @[lsu_dccm_ctl.scala 139:121]
node _T_473 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 139:157]
node _T_474 = bits(picm_rd_data_r, 15, 8) @[lsu_dccm_ctl.scala 139:178]
node _T_475 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_476 = mux(_T_475, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_477 = bits(dccm_rdata_r, 15, 8) @[lsu_dccm_ctl.scala 139:233]
node _T_478 = and(_T_476, _T_477) @[lsu_dccm_ctl.scala 139:219]
node _T_479 = mux(_T_473, _T_474, _T_478) @[lsu_dccm_ctl.scala 139:139]
node _T_480 = mux(_T_471, _T_472, _T_479) @[lsu_dccm_ctl.scala 139:77]
node _T_481 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_482 = xor(UInt<8>("h0ff"), _T_481) @[Bitwise.scala 102:21]
node _T_483 = shr(_T_480, 4) @[Bitwise.scala 103:21]
node _T_484 = and(_T_483, _T_482) @[Bitwise.scala 103:31]
node _T_485 = bits(_T_480, 3, 0) @[Bitwise.scala 103:46]
node _T_486 = shl(_T_485, 4) @[Bitwise.scala 103:65]
node _T_487 = not(_T_482) @[Bitwise.scala 103:77]
node _T_488 = and(_T_486, _T_487) @[Bitwise.scala 103:75]
node _T_489 = or(_T_484, _T_488) @[Bitwise.scala 103:39]
node _T_490 = bits(_T_482, 5, 0) @[Bitwise.scala 102:28]
node _T_491 = shl(_T_490, 2) @[Bitwise.scala 102:47]
node _T_492 = xor(_T_482, _T_491) @[Bitwise.scala 102:21]
node _T_493 = shr(_T_489, 2) @[Bitwise.scala 103:21]
node _T_494 = and(_T_493, _T_492) @[Bitwise.scala 103:31]
node _T_495 = bits(_T_489, 5, 0) @[Bitwise.scala 103:46]
node _T_496 = shl(_T_495, 2) @[Bitwise.scala 103:65]
node _T_497 = not(_T_492) @[Bitwise.scala 103:77]
node _T_498 = and(_T_496, _T_497) @[Bitwise.scala 103:75]
node _T_499 = or(_T_494, _T_498) @[Bitwise.scala 103:39]
node _T_500 = bits(_T_492, 6, 0) @[Bitwise.scala 102:28]
node _T_501 = shl(_T_500, 1) @[Bitwise.scala 102:47]
node _T_502 = xor(_T_492, _T_501) @[Bitwise.scala 102:21]
node _T_503 = shr(_T_499, 1) @[Bitwise.scala 103:21]
node _T_504 = and(_T_503, _T_502) @[Bitwise.scala 103:31]
node _T_505 = bits(_T_499, 6, 0) @[Bitwise.scala 103:46]
node _T_506 = shl(_T_505, 1) @[Bitwise.scala 103:65]
node _T_507 = not(_T_502) @[Bitwise.scala 103:77]
node _T_508 = and(_T_506, _T_507) @[Bitwise.scala 103:75]
node _T_509 = or(_T_504, _T_508) @[Bitwise.scala 103:39]
node _T_510 = bits(stbuf_fwdbyteen_r, 2, 2) @[lsu_dccm_ctl.scala 139:95]
node _T_511 = bits(_T_510, 0, 0) @[lsu_dccm_ctl.scala 139:99]
node _T_512 = bits(stbuf_fwddata_r, 23, 16) @[lsu_dccm_ctl.scala 139:121]
node _T_513 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 139:157]
node _T_514 = bits(picm_rd_data_r, 23, 16) @[lsu_dccm_ctl.scala 139:178]
node _T_515 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_516 = mux(_T_515, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_517 = bits(dccm_rdata_r, 23, 16) @[lsu_dccm_ctl.scala 139:233]
node _T_518 = and(_T_516, _T_517) @[lsu_dccm_ctl.scala 139:219]
node _T_519 = mux(_T_513, _T_514, _T_518) @[lsu_dccm_ctl.scala 139:139]
node _T_520 = mux(_T_511, _T_512, _T_519) @[lsu_dccm_ctl.scala 139:77]
node _T_521 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_522 = xor(UInt<8>("h0ff"), _T_521) @[Bitwise.scala 102:21]
node _T_523 = shr(_T_520, 4) @[Bitwise.scala 103:21]
node _T_524 = and(_T_523, _T_522) @[Bitwise.scala 103:31]
node _T_525 = bits(_T_520, 3, 0) @[Bitwise.scala 103:46]
node _T_526 = shl(_T_525, 4) @[Bitwise.scala 103:65]
node _T_527 = not(_T_522) @[Bitwise.scala 103:77]
node _T_528 = and(_T_526, _T_527) @[Bitwise.scala 103:75]
node _T_529 = or(_T_524, _T_528) @[Bitwise.scala 103:39]
node _T_530 = bits(_T_522, 5, 0) @[Bitwise.scala 102:28]
node _T_531 = shl(_T_530, 2) @[Bitwise.scala 102:47]
node _T_532 = xor(_T_522, _T_531) @[Bitwise.scala 102:21]
node _T_533 = shr(_T_529, 2) @[Bitwise.scala 103:21]
node _T_534 = and(_T_533, _T_532) @[Bitwise.scala 103:31]
node _T_535 = bits(_T_529, 5, 0) @[Bitwise.scala 103:46]
node _T_536 = shl(_T_535, 2) @[Bitwise.scala 103:65]
node _T_537 = not(_T_532) @[Bitwise.scala 103:77]
node _T_538 = and(_T_536, _T_537) @[Bitwise.scala 103:75]
node _T_539 = or(_T_534, _T_538) @[Bitwise.scala 103:39]
node _T_540 = bits(_T_532, 6, 0) @[Bitwise.scala 102:28]
node _T_541 = shl(_T_540, 1) @[Bitwise.scala 102:47]
node _T_542 = xor(_T_532, _T_541) @[Bitwise.scala 102:21]
node _T_543 = shr(_T_539, 1) @[Bitwise.scala 103:21]
node _T_544 = and(_T_543, _T_542) @[Bitwise.scala 103:31]
node _T_545 = bits(_T_539, 6, 0) @[Bitwise.scala 103:46]
node _T_546 = shl(_T_545, 1) @[Bitwise.scala 103:65]
node _T_547 = not(_T_542) @[Bitwise.scala 103:77]
node _T_548 = and(_T_546, _T_547) @[Bitwise.scala 103:75]
node _T_549 = or(_T_544, _T_548) @[Bitwise.scala 103:39]
node _T_550 = bits(stbuf_fwdbyteen_r, 3, 3) @[lsu_dccm_ctl.scala 139:95]
node _T_551 = bits(_T_550, 0, 0) @[lsu_dccm_ctl.scala 139:99]
node _T_552 = bits(stbuf_fwddata_r, 31, 24) @[lsu_dccm_ctl.scala 139:121]
node _T_553 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 139:157]
node _T_554 = bits(picm_rd_data_r, 31, 24) @[lsu_dccm_ctl.scala 139:178]
node _T_555 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_556 = mux(_T_555, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_557 = bits(dccm_rdata_r, 31, 24) @[lsu_dccm_ctl.scala 139:233]
node _T_558 = and(_T_556, _T_557) @[lsu_dccm_ctl.scala 139:219]
node _T_559 = mux(_T_553, _T_554, _T_558) @[lsu_dccm_ctl.scala 139:139]
node _T_560 = mux(_T_551, _T_552, _T_559) @[lsu_dccm_ctl.scala 139:77]
node _T_561 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_562 = xor(UInt<8>("h0ff"), _T_561) @[Bitwise.scala 102:21]
node _T_563 = shr(_T_560, 4) @[Bitwise.scala 103:21]
node _T_564 = and(_T_563, _T_562) @[Bitwise.scala 103:31]
node _T_565 = bits(_T_560, 3, 0) @[Bitwise.scala 103:46]
node _T_566 = shl(_T_565, 4) @[Bitwise.scala 103:65]
node _T_567 = not(_T_562) @[Bitwise.scala 103:77]
node _T_568 = and(_T_566, _T_567) @[Bitwise.scala 103:75]
node _T_569 = or(_T_564, _T_568) @[Bitwise.scala 103:39]
node _T_570 = bits(_T_562, 5, 0) @[Bitwise.scala 102:28]
node _T_571 = shl(_T_570, 2) @[Bitwise.scala 102:47]
node _T_572 = xor(_T_562, _T_571) @[Bitwise.scala 102:21]
node _T_573 = shr(_T_569, 2) @[Bitwise.scala 103:21]
node _T_574 = and(_T_573, _T_572) @[Bitwise.scala 103:31]
node _T_575 = bits(_T_569, 5, 0) @[Bitwise.scala 103:46]
node _T_576 = shl(_T_575, 2) @[Bitwise.scala 103:65]
node _T_577 = not(_T_572) @[Bitwise.scala 103:77]
node _T_578 = and(_T_576, _T_577) @[Bitwise.scala 103:75]
node _T_579 = or(_T_574, _T_578) @[Bitwise.scala 103:39]
node _T_580 = bits(_T_572, 6, 0) @[Bitwise.scala 102:28]
node _T_581 = shl(_T_580, 1) @[Bitwise.scala 102:47]
node _T_582 = xor(_T_572, _T_581) @[Bitwise.scala 102:21]
node _T_583 = shr(_T_579, 1) @[Bitwise.scala 103:21]
node _T_584 = and(_T_583, _T_582) @[Bitwise.scala 103:31]
node _T_585 = bits(_T_579, 6, 0) @[Bitwise.scala 103:46]
node _T_586 = shl(_T_585, 1) @[Bitwise.scala 103:65]
node _T_587 = not(_T_582) @[Bitwise.scala 103:77]
node _T_588 = and(_T_586, _T_587) @[Bitwise.scala 103:75]
node _T_589 = or(_T_584, _T_588) @[Bitwise.scala 103:39]
node _T_590 = bits(stbuf_fwdbyteen_r, 4, 4) @[lsu_dccm_ctl.scala 139:95]
node _T_591 = bits(_T_590, 0, 0) @[lsu_dccm_ctl.scala 139:99]
node _T_592 = bits(stbuf_fwddata_r, 39, 32) @[lsu_dccm_ctl.scala 139:121]
node _T_593 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 139:157]
node _T_594 = bits(picm_rd_data_r, 39, 32) @[lsu_dccm_ctl.scala 139:178]
node _T_595 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_597 = bits(dccm_rdata_r, 39, 32) @[lsu_dccm_ctl.scala 139:233]
node _T_598 = and(_T_596, _T_597) @[lsu_dccm_ctl.scala 139:219]
node _T_599 = mux(_T_593, _T_594, _T_598) @[lsu_dccm_ctl.scala 139:139]
node _T_600 = mux(_T_591, _T_592, _T_599) @[lsu_dccm_ctl.scala 139:77]
node _T_601 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_602 = xor(UInt<8>("h0ff"), _T_601) @[Bitwise.scala 102:21]
node _T_603 = shr(_T_600, 4) @[Bitwise.scala 103:21]
node _T_604 = and(_T_603, _T_602) @[Bitwise.scala 103:31]
node _T_605 = bits(_T_600, 3, 0) @[Bitwise.scala 103:46]
node _T_606 = shl(_T_605, 4) @[Bitwise.scala 103:65]
node _T_607 = not(_T_602) @[Bitwise.scala 103:77]
node _T_608 = and(_T_606, _T_607) @[Bitwise.scala 103:75]
node _T_609 = or(_T_604, _T_608) @[Bitwise.scala 103:39]
node _T_610 = bits(_T_602, 5, 0) @[Bitwise.scala 102:28]
node _T_611 = shl(_T_610, 2) @[Bitwise.scala 102:47]
node _T_612 = xor(_T_602, _T_611) @[Bitwise.scala 102:21]
node _T_613 = shr(_T_609, 2) @[Bitwise.scala 103:21]
node _T_614 = and(_T_613, _T_612) @[Bitwise.scala 103:31]
node _T_615 = bits(_T_609, 5, 0) @[Bitwise.scala 103:46]
node _T_616 = shl(_T_615, 2) @[Bitwise.scala 103:65]
node _T_617 = not(_T_612) @[Bitwise.scala 103:77]
node _T_618 = and(_T_616, _T_617) @[Bitwise.scala 103:75]
node _T_619 = or(_T_614, _T_618) @[Bitwise.scala 103:39]
node _T_620 = bits(_T_612, 6, 0) @[Bitwise.scala 102:28]
node _T_621 = shl(_T_620, 1) @[Bitwise.scala 102:47]
node _T_622 = xor(_T_612, _T_621) @[Bitwise.scala 102:21]
node _T_623 = shr(_T_619, 1) @[Bitwise.scala 103:21]
node _T_624 = and(_T_623, _T_622) @[Bitwise.scala 103:31]
node _T_625 = bits(_T_619, 6, 0) @[Bitwise.scala 103:46]
node _T_626 = shl(_T_625, 1) @[Bitwise.scala 103:65]
node _T_627 = not(_T_622) @[Bitwise.scala 103:77]
node _T_628 = and(_T_626, _T_627) @[Bitwise.scala 103:75]
node _T_629 = or(_T_624, _T_628) @[Bitwise.scala 103:39]
node _T_630 = bits(stbuf_fwdbyteen_r, 5, 5) @[lsu_dccm_ctl.scala 139:95]
node _T_631 = bits(_T_630, 0, 0) @[lsu_dccm_ctl.scala 139:99]
node _T_632 = bits(stbuf_fwddata_r, 47, 40) @[lsu_dccm_ctl.scala 139:121]
node _T_633 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 139:157]
node _T_634 = bits(picm_rd_data_r, 47, 40) @[lsu_dccm_ctl.scala 139:178]
node _T_635 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_636 = mux(_T_635, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_637 = bits(dccm_rdata_r, 47, 40) @[lsu_dccm_ctl.scala 139:233]
node _T_638 = and(_T_636, _T_637) @[lsu_dccm_ctl.scala 139:219]
node _T_639 = mux(_T_633, _T_634, _T_638) @[lsu_dccm_ctl.scala 139:139]
node _T_640 = mux(_T_631, _T_632, _T_639) @[lsu_dccm_ctl.scala 139:77]
node _T_641 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_642 = xor(UInt<8>("h0ff"), _T_641) @[Bitwise.scala 102:21]
node _T_643 = shr(_T_640, 4) @[Bitwise.scala 103:21]
node _T_644 = and(_T_643, _T_642) @[Bitwise.scala 103:31]
node _T_645 = bits(_T_640, 3, 0) @[Bitwise.scala 103:46]
node _T_646 = shl(_T_645, 4) @[Bitwise.scala 103:65]
node _T_647 = not(_T_642) @[Bitwise.scala 103:77]
node _T_648 = and(_T_646, _T_647) @[Bitwise.scala 103:75]
node _T_649 = or(_T_644, _T_648) @[Bitwise.scala 103:39]
node _T_650 = bits(_T_642, 5, 0) @[Bitwise.scala 102:28]
node _T_651 = shl(_T_650, 2) @[Bitwise.scala 102:47]
node _T_652 = xor(_T_642, _T_651) @[Bitwise.scala 102:21]
node _T_653 = shr(_T_649, 2) @[Bitwise.scala 103:21]
node _T_654 = and(_T_653, _T_652) @[Bitwise.scala 103:31]
node _T_655 = bits(_T_649, 5, 0) @[Bitwise.scala 103:46]
node _T_656 = shl(_T_655, 2) @[Bitwise.scala 103:65]
node _T_657 = not(_T_652) @[Bitwise.scala 103:77]
node _T_658 = and(_T_656, _T_657) @[Bitwise.scala 103:75]
node _T_659 = or(_T_654, _T_658) @[Bitwise.scala 103:39]
node _T_660 = bits(_T_652, 6, 0) @[Bitwise.scala 102:28]
node _T_661 = shl(_T_660, 1) @[Bitwise.scala 102:47]
node _T_662 = xor(_T_652, _T_661) @[Bitwise.scala 102:21]
node _T_663 = shr(_T_659, 1) @[Bitwise.scala 103:21]
node _T_664 = and(_T_663, _T_662) @[Bitwise.scala 103:31]
node _T_665 = bits(_T_659, 6, 0) @[Bitwise.scala 103:46]
node _T_666 = shl(_T_665, 1) @[Bitwise.scala 103:65]
node _T_667 = not(_T_662) @[Bitwise.scala 103:77]
node _T_668 = and(_T_666, _T_667) @[Bitwise.scala 103:75]
node _T_669 = or(_T_664, _T_668) @[Bitwise.scala 103:39]
node _T_670 = bits(stbuf_fwdbyteen_r, 6, 6) @[lsu_dccm_ctl.scala 139:95]
node _T_671 = bits(_T_670, 0, 0) @[lsu_dccm_ctl.scala 139:99]
node _T_672 = bits(stbuf_fwddata_r, 55, 48) @[lsu_dccm_ctl.scala 139:121]
node _T_673 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 139:157]
node _T_674 = bits(picm_rd_data_r, 55, 48) @[lsu_dccm_ctl.scala 139:178]
node _T_675 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_676 = mux(_T_675, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_677 = bits(dccm_rdata_r, 55, 48) @[lsu_dccm_ctl.scala 139:233]
node _T_678 = and(_T_676, _T_677) @[lsu_dccm_ctl.scala 139:219]
node _T_679 = mux(_T_673, _T_674, _T_678) @[lsu_dccm_ctl.scala 139:139]
node _T_680 = mux(_T_671, _T_672, _T_679) @[lsu_dccm_ctl.scala 139:77]
node _T_681 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_682 = xor(UInt<8>("h0ff"), _T_681) @[Bitwise.scala 102:21]
node _T_683 = shr(_T_680, 4) @[Bitwise.scala 103:21]
node _T_684 = and(_T_683, _T_682) @[Bitwise.scala 103:31]
node _T_685 = bits(_T_680, 3, 0) @[Bitwise.scala 103:46]
node _T_686 = shl(_T_685, 4) @[Bitwise.scala 103:65]
node _T_687 = not(_T_682) @[Bitwise.scala 103:77]
node _T_688 = and(_T_686, _T_687) @[Bitwise.scala 103:75]
node _T_689 = or(_T_684, _T_688) @[Bitwise.scala 103:39]
node _T_690 = bits(_T_682, 5, 0) @[Bitwise.scala 102:28]
node _T_691 = shl(_T_690, 2) @[Bitwise.scala 102:47]
node _T_692 = xor(_T_682, _T_691) @[Bitwise.scala 102:21]
node _T_693 = shr(_T_689, 2) @[Bitwise.scala 103:21]
node _T_694 = and(_T_693, _T_692) @[Bitwise.scala 103:31]
node _T_695 = bits(_T_689, 5, 0) @[Bitwise.scala 103:46]
node _T_696 = shl(_T_695, 2) @[Bitwise.scala 103:65]
node _T_697 = not(_T_692) @[Bitwise.scala 103:77]
node _T_698 = and(_T_696, _T_697) @[Bitwise.scala 103:75]
node _T_699 = or(_T_694, _T_698) @[Bitwise.scala 103:39]
node _T_700 = bits(_T_692, 6, 0) @[Bitwise.scala 102:28]
node _T_701 = shl(_T_700, 1) @[Bitwise.scala 102:47]
node _T_702 = xor(_T_692, _T_701) @[Bitwise.scala 102:21]
node _T_703 = shr(_T_699, 1) @[Bitwise.scala 103:21]
node _T_704 = and(_T_703, _T_702) @[Bitwise.scala 103:31]
node _T_705 = bits(_T_699, 6, 0) @[Bitwise.scala 103:46]
node _T_706 = shl(_T_705, 1) @[Bitwise.scala 103:65]
node _T_707 = not(_T_702) @[Bitwise.scala 103:77]
node _T_708 = and(_T_706, _T_707) @[Bitwise.scala 103:75]
node _T_709 = or(_T_704, _T_708) @[Bitwise.scala 103:39]
node _T_710 = bits(stbuf_fwdbyteen_r, 7, 7) @[lsu_dccm_ctl.scala 139:95]
node _T_711 = bits(_T_710, 0, 0) @[lsu_dccm_ctl.scala 139:99]
node _T_712 = bits(stbuf_fwddata_r, 63, 56) @[lsu_dccm_ctl.scala 139:121]
node _T_713 = bits(io.addr_in_pic_r, 0, 0) @[lsu_dccm_ctl.scala 139:157]
node _T_714 = bits(picm_rd_data_r, 63, 56) @[lsu_dccm_ctl.scala 139:178]
node _T_715 = bits(io.addr_in_dccm_r, 0, 0) @[Bitwise.scala 72:15]
node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_717 = bits(dccm_rdata_r, 63, 56) @[lsu_dccm_ctl.scala 139:233]
node _T_718 = and(_T_716, _T_717) @[lsu_dccm_ctl.scala 139:219]
node _T_719 = mux(_T_713, _T_714, _T_718) @[lsu_dccm_ctl.scala 139:139]
node _T_720 = mux(_T_711, _T_712, _T_719) @[lsu_dccm_ctl.scala 139:77]
node _T_721 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_722 = xor(UInt<8>("h0ff"), _T_721) @[Bitwise.scala 102:21]
node _T_723 = shr(_T_720, 4) @[Bitwise.scala 103:21]
node _T_724 = and(_T_723, _T_722) @[Bitwise.scala 103:31]
node _T_725 = bits(_T_720, 3, 0) @[Bitwise.scala 103:46]
node _T_726 = shl(_T_725, 4) @[Bitwise.scala 103:65]
node _T_727 = not(_T_722) @[Bitwise.scala 103:77]
node _T_728 = and(_T_726, _T_727) @[Bitwise.scala 103:75]
node _T_729 = or(_T_724, _T_728) @[Bitwise.scala 103:39]
node _T_730 = bits(_T_722, 5, 0) @[Bitwise.scala 102:28]
node _T_731 = shl(_T_730, 2) @[Bitwise.scala 102:47]
node _T_732 = xor(_T_722, _T_731) @[Bitwise.scala 102:21]
node _T_733 = shr(_T_729, 2) @[Bitwise.scala 103:21]
node _T_734 = and(_T_733, _T_732) @[Bitwise.scala 103:31]
node _T_735 = bits(_T_729, 5, 0) @[Bitwise.scala 103:46]
node _T_736 = shl(_T_735, 2) @[Bitwise.scala 103:65]
node _T_737 = not(_T_732) @[Bitwise.scala 103:77]
node _T_738 = and(_T_736, _T_737) @[Bitwise.scala 103:75]
node _T_739 = or(_T_734, _T_738) @[Bitwise.scala 103:39]
node _T_740 = bits(_T_732, 6, 0) @[Bitwise.scala 102:28]
node _T_741 = shl(_T_740, 1) @[Bitwise.scala 102:47]
node _T_742 = xor(_T_732, _T_741) @[Bitwise.scala 102:21]
node _T_743 = shr(_T_739, 1) @[Bitwise.scala 103:21]
node _T_744 = and(_T_743, _T_742) @[Bitwise.scala 103:31]
node _T_745 = bits(_T_739, 6, 0) @[Bitwise.scala 103:46]
node _T_746 = shl(_T_745, 1) @[Bitwise.scala 103:65]
node _T_747 = not(_T_742) @[Bitwise.scala 103:77]
node _T_748 = and(_T_746, _T_747) @[Bitwise.scala 103:75]
node _T_749 = or(_T_744, _T_748) @[Bitwise.scala 103:39]
wire _T_750 : UInt<8>[8] @[lsu_dccm_ctl.scala 139:61]
_T_750[0] <= _T_469 @[lsu_dccm_ctl.scala 139:61]
_T_750[1] <= _T_509 @[lsu_dccm_ctl.scala 139:61]
_T_750[2] <= _T_549 @[lsu_dccm_ctl.scala 139:61]
_T_750[3] <= _T_589 @[lsu_dccm_ctl.scala 139:61]
_T_750[4] <= _T_629 @[lsu_dccm_ctl.scala 139:61]
_T_750[5] <= _T_669 @[lsu_dccm_ctl.scala 139:61]
_T_750[6] <= _T_709 @[lsu_dccm_ctl.scala 139:61]
_T_750[7] <= _T_749 @[lsu_dccm_ctl.scala 139:61]
node _T_751 = cat(_T_750[6], _T_750[7]) @[Cat.scala 29:58]
node _T_752 = cat(_T_750[4], _T_750[5]) @[Cat.scala 29:58]
node _T_753 = cat(_T_752, _T_751) @[Cat.scala 29:58]
node _T_754 = cat(_T_750[2], _T_750[3]) @[Cat.scala 29:58]
node _T_755 = cat(_T_750[0], _T_750[1]) @[Cat.scala 29:58]
node _T_756 = cat(_T_755, _T_754) @[Cat.scala 29:58]
node _T_757 = cat(_T_756, _T_753) @[Cat.scala 29:58]
node _T_758 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47]
node _T_759 = xor(UInt<64>("h0ffffffffffffffff"), _T_758) @[Bitwise.scala 102:21]
node _T_760 = shr(_T_757, 32) @[Bitwise.scala 103:21]
node _T_761 = and(_T_760, _T_759) @[Bitwise.scala 103:31]
node _T_762 = bits(_T_757, 31, 0) @[Bitwise.scala 103:46]
node _T_763 = shl(_T_762, 32) @[Bitwise.scala 103:65]
node _T_764 = not(_T_759) @[Bitwise.scala 103:77]
node _T_765 = and(_T_763, _T_764) @[Bitwise.scala 103:75]
node _T_766 = or(_T_761, _T_765) @[Bitwise.scala 103:39]
node _T_767 = bits(_T_759, 47, 0) @[Bitwise.scala 102:28]
node _T_768 = shl(_T_767, 16) @[Bitwise.scala 102:47]
node _T_769 = xor(_T_759, _T_768) @[Bitwise.scala 102:21]
node _T_770 = shr(_T_766, 16) @[Bitwise.scala 103:21]
node _T_771 = and(_T_770, _T_769) @[Bitwise.scala 103:31]
node _T_772 = bits(_T_766, 47, 0) @[Bitwise.scala 103:46]
node _T_773 = shl(_T_772, 16) @[Bitwise.scala 103:65]
node _T_774 = not(_T_769) @[Bitwise.scala 103:77]
node _T_775 = and(_T_773, _T_774) @[Bitwise.scala 103:75]
node _T_776 = or(_T_771, _T_775) @[Bitwise.scala 103:39]
node _T_777 = bits(_T_769, 55, 0) @[Bitwise.scala 102:28]
node _T_778 = shl(_T_777, 8) @[Bitwise.scala 102:47]
node _T_779 = xor(_T_769, _T_778) @[Bitwise.scala 102:21]
node _T_780 = shr(_T_776, 8) @[Bitwise.scala 103:21]
node _T_781 = and(_T_780, _T_779) @[Bitwise.scala 103:31]
node _T_782 = bits(_T_776, 55, 0) @[Bitwise.scala 103:46]
node _T_783 = shl(_T_782, 8) @[Bitwise.scala 103:65]
node _T_784 = not(_T_779) @[Bitwise.scala 103:77]
node _T_785 = and(_T_783, _T_784) @[Bitwise.scala 103:75]
node _T_786 = or(_T_781, _T_785) @[Bitwise.scala 103:39]
node _T_787 = bits(_T_779, 59, 0) @[Bitwise.scala 102:28]
node _T_788 = shl(_T_787, 4) @[Bitwise.scala 102:47]
node _T_789 = xor(_T_779, _T_788) @[Bitwise.scala 102:21]
node _T_790 = shr(_T_786, 4) @[Bitwise.scala 103:21]
node _T_791 = and(_T_790, _T_789) @[Bitwise.scala 103:31]
node _T_792 = bits(_T_786, 59, 0) @[Bitwise.scala 103:46]
node _T_793 = shl(_T_792, 4) @[Bitwise.scala 103:65]
node _T_794 = not(_T_789) @[Bitwise.scala 103:77]
node _T_795 = and(_T_793, _T_794) @[Bitwise.scala 103:75]
node _T_796 = or(_T_791, _T_795) @[Bitwise.scala 103:39]
node _T_797 = bits(_T_789, 61, 0) @[Bitwise.scala 102:28]
node _T_798 = shl(_T_797, 2) @[Bitwise.scala 102:47]
node _T_799 = xor(_T_789, _T_798) @[Bitwise.scala 102:21]
node _T_800 = shr(_T_796, 2) @[Bitwise.scala 103:21]
node _T_801 = and(_T_800, _T_799) @[Bitwise.scala 103:31]
node _T_802 = bits(_T_796, 61, 0) @[Bitwise.scala 103:46]
node _T_803 = shl(_T_802, 2) @[Bitwise.scala 103:65]
node _T_804 = not(_T_799) @[Bitwise.scala 103:77]
node _T_805 = and(_T_803, _T_804) @[Bitwise.scala 103:75]
node _T_806 = or(_T_801, _T_805) @[Bitwise.scala 103:39]
node _T_807 = bits(_T_799, 62, 0) @[Bitwise.scala 102:28]
node _T_808 = shl(_T_807, 1) @[Bitwise.scala 102:47]
node _T_809 = xor(_T_799, _T_808) @[Bitwise.scala 102:21]
node _T_810 = shr(_T_806, 1) @[Bitwise.scala 103:21]
node _T_811 = and(_T_810, _T_809) @[Bitwise.scala 103:31]
node _T_812 = bits(_T_806, 62, 0) @[Bitwise.scala 103:46]
node _T_813 = shl(_T_812, 1) @[Bitwise.scala 103:65]
node _T_814 = not(_T_809) @[Bitwise.scala 103:77]
node _T_815 = and(_T_813, _T_814) @[Bitwise.scala 103:75]
node _T_816 = or(_T_811, _T_815) @[Bitwise.scala 103:39]
lsu_rdata_r <= _T_816 @[lsu_dccm_ctl.scala 139:27]
node _T_817 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 140:61]
node _T_818 = mul(UInt<4>("h08"), _T_817) @[lsu_dccm_ctl.scala 140:47]
node _T_819 = dshr(lsu_rdata_r, _T_818) @[lsu_dccm_ctl.scala 140:41]
io.lsu_ld_data_r <= _T_819 @[lsu_dccm_ctl.scala 140:27]
node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 141:67]
node _T_821 = mul(UInt<4>("h08"), _T_820) @[lsu_dccm_ctl.scala 141:53]
node _T_822 = dshr(lsu_rdata_corr_r, _T_821) @[lsu_dccm_ctl.scala 141:47]
io.lsu_ld_data_corr_r <= _T_822 @[lsu_dccm_ctl.scala 141:27]
node _T_823 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:44]
node _T_824 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:77]
node _T_825 = eq(_T_823, _T_824) @[lsu_dccm_ctl.scala 163:60]
node _T_826 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:117]
node _T_827 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:150]
node _T_828 = eq(_T_826, _T_827) @[lsu_dccm_ctl.scala 163:133]
node _T_829 = or(_T_825, _T_828) @[lsu_dccm_ctl.scala 163:101]
node _T_830 = and(_T_829, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 163:175]
node _T_831 = and(_T_830, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 163:196]
node _T_832 = and(_T_831, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 163:222]
node _T_833 = and(_T_832, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 163:246]
node _T_834 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:21]
node _T_835 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:54]
node _T_836 = eq(_T_834, _T_835) @[lsu_dccm_ctl.scala 164:37]
node _T_837 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:94]
node _T_838 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:127]
node _T_839 = eq(_T_837, _T_838) @[lsu_dccm_ctl.scala 164:110]
node _T_840 = or(_T_836, _T_839) @[lsu_dccm_ctl.scala 164:78]
node _T_841 = and(_T_840, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 164:152]
node _T_842 = and(_T_841, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 164:173]
node _T_843 = and(_T_842, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 164:199]
node _T_844 = and(_T_843, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 164:223]
node kill_ecc_corr_lo_r = or(_T_833, _T_844) @[lsu_dccm_ctl.scala 163:267]
node _T_845 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:44]
node _T_846 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:77]
node _T_847 = eq(_T_845, _T_846) @[lsu_dccm_ctl.scala 166:60]
node _T_848 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:117]
node _T_849 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:150]
node _T_850 = eq(_T_848, _T_849) @[lsu_dccm_ctl.scala 166:133]
node _T_851 = or(_T_847, _T_850) @[lsu_dccm_ctl.scala 166:101]
node _T_852 = and(_T_851, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 166:175]
node _T_853 = and(_T_852, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 166:196]
node _T_854 = and(_T_853, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 166:222]
node _T_855 = and(_T_854, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 166:246]
node _T_856 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:21]
node _T_857 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:54]
node _T_858 = eq(_T_856, _T_857) @[lsu_dccm_ctl.scala 167:37]
node _T_859 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:94]
node _T_860 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:127]
node _T_861 = eq(_T_859, _T_860) @[lsu_dccm_ctl.scala 167:110]
node _T_862 = or(_T_858, _T_861) @[lsu_dccm_ctl.scala 167:78]
node _T_863 = and(_T_862, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 167:152]
node _T_864 = and(_T_863, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 167:173]
node _T_865 = and(_T_864, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 167:199]
node _T_866 = and(_T_865, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 167:223]
node kill_ecc_corr_hi_r = or(_T_855, _T_866) @[lsu_dccm_ctl.scala 166:267]
node _T_867 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[lsu_dccm_ctl.scala 169:60]
node _T_868 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 169:89]
node ld_single_ecc_error_lo_r = and(_T_867, _T_868) @[lsu_dccm_ctl.scala 169:87]
node _T_869 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 170:60]
node _T_870 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 170:89]
node ld_single_ecc_error_hi_r = and(_T_869, _T_870) @[lsu_dccm_ctl.scala 170:87]
node _T_871 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 171:63]
node _T_872 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 171:93]
node _T_873 = and(_T_871, _T_872) @[lsu_dccm_ctl.scala 171:91]
io.ld_single_ecc_error_r <= _T_873 @[lsu_dccm_ctl.scala 171:34]
node _T_874 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 172:81]
node _T_875 = and(ld_single_ecc_error_lo_r, _T_874) @[lsu_dccm_ctl.scala 172:62]
node _T_876 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 172:108]
node ld_single_ecc_error_lo_r_ns = and(_T_875, _T_876) @[lsu_dccm_ctl.scala 172:106]
node _T_877 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 173:81]
node _T_878 = and(ld_single_ecc_error_hi_r, _T_877) @[lsu_dccm_ctl.scala 173:62]
node _T_879 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 173:108]
node ld_single_ecc_error_hi_r_ns = and(_T_878, _T_879) @[lsu_dccm_ctl.scala 173:106]
node _T_880 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[lsu_dccm_ctl.scala 175:125]
node _T_881 = eq(_T_880, UInt<1>("h00")) @[lsu_dccm_ctl.scala 175:100]
node _T_882 = bits(io.lsu_addr_d, 1, 0) @[lsu_dccm_ctl.scala 175:168]
node _T_883 = neq(_T_882, UInt<2>("h00")) @[lsu_dccm_ctl.scala 175:174]
node _T_884 = or(_T_881, _T_883) @[lsu_dccm_ctl.scala 175:152]
node _T_885 = and(io.lsu_pkt_d.bits.store, _T_884) @[lsu_dccm_ctl.scala 175:97]
node _T_886 = or(io.lsu_pkt_d.bits.load, _T_885) @[lsu_dccm_ctl.scala 175:70]
node _T_887 = and(io.lsu_pkt_d.valid, _T_886) @[lsu_dccm_ctl.scala 175:44]
node lsu_dccm_rden_d = and(_T_887, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 175:191]
node _T_888 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[lsu_dccm_ctl.scala 178:63]
node _T_889 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[lsu_dccm_ctl.scala 178:96]
node _T_890 = and(_T_888, _T_889) @[lsu_dccm_ctl.scala 178:94]
io.ld_single_ecc_error_r_ff <= _T_890 @[lsu_dccm_ctl.scala 178:31]
node _T_891 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[lsu_dccm_ctl.scala 179:75]
node _T_892 = or(_T_891, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 179:93]
node _T_893 = eq(_T_892, UInt<1>("h00")) @[lsu_dccm_ctl.scala 179:57]
node _T_894 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 180:44]
node _T_895 = bits(io.lsu_addr_d, 3, 2) @[lsu_dccm_ctl.scala 180:112]
node _T_896 = eq(_T_894, _T_895) @[lsu_dccm_ctl.scala 180:95]
node _T_897 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 181:25]
node _T_898 = bits(io.end_addr_d, 3, 2) @[lsu_dccm_ctl.scala 181:93]
node _T_899 = eq(_T_897, _T_898) @[lsu_dccm_ctl.scala 181:76]
node _T_900 = or(_T_896, _T_899) @[lsu_dccm_ctl.scala 180:171]
node _T_901 = eq(_T_900, UInt<1>("h00")) @[lsu_dccm_ctl.scala 180:24]
node _T_902 = and(lsu_dccm_rden_d, _T_901) @[lsu_dccm_ctl.scala 180:22]
node _T_903 = or(_T_893, _T_902) @[lsu_dccm_ctl.scala 179:124]
node _T_904 = and(io.stbuf_reqvld_any, _T_903) @[lsu_dccm_ctl.scala 179:54]
io.lsu_stbuf_commit_any <= _T_904 @[lsu_dccm_ctl.scala 179:31]
node _T_905 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[lsu_dccm_ctl.scala 185:41]
node _T_906 = or(_T_905, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 185:67]
io.dccm.wren <= _T_906 @[lsu_dccm_ctl.scala 185:22]
node _T_907 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 186:41]
io.dccm.rden <= _T_907 @[lsu_dccm_ctl.scala 186:22]
node _T_908 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 188:57]
node _T_909 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 189:36]
node _T_910 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:62]
node _T_911 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:97]
node _T_912 = mux(_T_909, _T_910, _T_911) @[lsu_dccm_ctl.scala 189:8]
node _T_913 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 190:25]
node _T_914 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 190:45]
node _T_915 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 190:78]
node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_dccm_ctl.scala 190:8]
node _T_917 = mux(_T_908, _T_912, _T_916) @[lsu_dccm_ctl.scala 188:28]
io.dccm.wr_addr_lo <= _T_917 @[lsu_dccm_ctl.scala 188:22]
node _T_918 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 192:57]
node _T_919 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 193:36]
node _T_920 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:63]
node _T_921 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:99]
node _T_922 = mux(_T_919, _T_920, _T_921) @[lsu_dccm_ctl.scala 193:8]
node _T_923 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 194:25]
node _T_924 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 194:46]
node _T_925 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 194:79]
node _T_926 = mux(_T_923, _T_924, _T_925) @[lsu_dccm_ctl.scala 194:8]
node _T_927 = mux(_T_918, _T_922, _T_926) @[lsu_dccm_ctl.scala 192:28]
io.dccm.wr_addr_hi <= _T_927 @[lsu_dccm_ctl.scala 192:22]
node _T_928 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 196:38]
io.dccm.rd_addr_lo <= _T_928 @[lsu_dccm_ctl.scala 196:22]
node _T_929 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 197:38]
io.dccm.rd_addr_hi <= _T_929 @[lsu_dccm_ctl.scala 197:22]
node _T_930 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 199:57]
node _T_931 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 200:36]
node _T_932 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 200:70]
node _T_933 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 200:110]
node _T_934 = cat(_T_932, _T_933) @[Cat.scala 29:58]
node _T_935 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 201:34]
node _T_936 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 201:74]
node _T_937 = cat(_T_935, _T_936) @[Cat.scala 29:58]
node _T_938 = mux(_T_931, _T_934, _T_937) @[lsu_dccm_ctl.scala 200:8]
node _T_939 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 202:25]
node _T_940 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[lsu_dccm_ctl.scala 202:60]
node _T_941 = bits(io.dma_dccm_wdata_lo, 31, 0) @[lsu_dccm_ctl.scala 202:101]
node _T_942 = cat(_T_940, _T_941) @[Cat.scala 29:58]
node _T_943 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 203:27]
node _T_944 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 203:65]
node _T_945 = cat(_T_943, _T_944) @[Cat.scala 29:58]
node _T_946 = mux(_T_939, _T_942, _T_945) @[lsu_dccm_ctl.scala 202:8]
node _T_947 = mux(_T_930, _T_938, _T_946) @[lsu_dccm_ctl.scala 199:28]
io.dccm.wr_data_lo <= _T_947 @[lsu_dccm_ctl.scala 199:22]
node _T_948 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 205:57]
node _T_949 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 206:36]
node _T_950 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 206:71]
node _T_951 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 206:111]
node _T_952 = cat(_T_950, _T_951) @[Cat.scala 29:58]
node _T_953 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 207:34]
node _T_954 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 207:74]
node _T_955 = cat(_T_953, _T_954) @[Cat.scala 29:58]
node _T_956 = mux(_T_949, _T_952, _T_955) @[lsu_dccm_ctl.scala 206:8]
node _T_957 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 208:25]
node _T_958 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[lsu_dccm_ctl.scala 208:61]
node _T_959 = bits(io.dma_dccm_wdata_hi, 31, 0) @[lsu_dccm_ctl.scala 208:102]
node _T_960 = cat(_T_958, _T_959) @[Cat.scala 29:58]
node _T_961 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 209:27]
node _T_962 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 209:65]
node _T_963 = cat(_T_961, _T_962) @[Cat.scala 29:58]
node _T_964 = mux(_T_957, _T_960, _T_963) @[lsu_dccm_ctl.scala 208:8]
node _T_965 = mux(_T_948, _T_956, _T_964) @[lsu_dccm_ctl.scala 205:28]
io.dccm.wr_data_hi <= _T_965 @[lsu_dccm_ctl.scala 205:22]
node _T_966 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_967 = mux(_T_966, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_968 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15]
node _T_969 = mux(_T_968, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_970 = and(_T_969, UInt<4>("h01")) @[lsu_dccm_ctl.scala 212:94]
node _T_971 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_972 = mux(_T_971, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_973 = and(_T_972, UInt<4>("h03")) @[lsu_dccm_ctl.scala 213:38]
node _T_974 = or(_T_970, _T_973) @[lsu_dccm_ctl.scala 212:107]
node _T_975 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_976 = mux(_T_975, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_977 = and(_T_976, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 214:38]
node _T_978 = or(_T_974, _T_977) @[lsu_dccm_ctl.scala 213:51]
node store_byteen_m = and(_T_967, _T_978) @[lsu_dccm_ctl.scala 212:58]
node _T_979 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_980 = mux(_T_979, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_981 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15]
node _T_982 = mux(_T_981, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_983 = and(_T_982, UInt<4>("h01")) @[lsu_dccm_ctl.scala 216:94]
node _T_984 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_985 = mux(_T_984, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_986 = and(_T_985, UInt<4>("h03")) @[lsu_dccm_ctl.scala 217:38]
node _T_987 = or(_T_983, _T_986) @[lsu_dccm_ctl.scala 216:107]
node _T_988 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_989 = mux(_T_988, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_990 = and(_T_989, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 218:38]
node _T_991 = or(_T_987, _T_990) @[lsu_dccm_ctl.scala 217:51]
node store_byteen_r = and(_T_980, _T_991) @[lsu_dccm_ctl.scala 216:58]
wire store_byteen_ext_m : UInt<8>
store_byteen_ext_m <= UInt<1>("h00")
node _T_992 = bits(store_byteen_m, 3, 0) @[lsu_dccm_ctl.scala 220:39]
node _T_993 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 220:61]
node _T_994 = dshl(_T_992, _T_993) @[lsu_dccm_ctl.scala 220:45]
store_byteen_ext_m <= _T_994 @[lsu_dccm_ctl.scala 220:22]
wire store_byteen_ext_r : UInt<8>
store_byteen_ext_r <= UInt<1>("h00")
node _T_995 = bits(store_byteen_r, 3, 0) @[lsu_dccm_ctl.scala 222:39]
node _T_996 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 222:61]
node _T_997 = dshl(_T_995, _T_996) @[lsu_dccm_ctl.scala 222:45]
store_byteen_ext_r <= _T_997 @[lsu_dccm_ctl.scala 222:22]
node _T_998 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 225:51]
node _T_999 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 225:84]
node _T_1000 = eq(_T_998, _T_999) @[lsu_dccm_ctl.scala 225:67]
node dccm_wr_bypass_d_m_lo = and(_T_1000, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 225:101]
node _T_1001 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 226:51]
node _T_1002 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 226:84]
node _T_1003 = eq(_T_1001, _T_1002) @[lsu_dccm_ctl.scala 226:67]
node dccm_wr_bypass_d_m_hi = and(_T_1003, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 226:101]
node _T_1004 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 228:51]
node _T_1005 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 228:84]
node _T_1006 = eq(_T_1004, _T_1005) @[lsu_dccm_ctl.scala 228:67]
node dccm_wr_bypass_d_r_lo = and(_T_1006, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 228:101]
node _T_1007 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 229:51]
node _T_1008 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 229:84]
node _T_1009 = eq(_T_1007, _T_1008) @[lsu_dccm_ctl.scala 229:67]
node dccm_wr_bypass_d_r_hi = and(_T_1009, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 229:101]
wire dccm_wr_bypass_d_m_hi_Q : UInt<1>
dccm_wr_bypass_d_m_hi_Q <= UInt<1>("h00")
wire dccm_wr_bypass_d_m_lo_Q : UInt<1>
dccm_wr_bypass_d_m_lo_Q <= UInt<1>("h00")
wire dccm_wren_Q : UInt<1>
dccm_wren_Q <= UInt<1>("h00")
wire dccm_wr_data_Q : UInt<32>
dccm_wr_data_Q <= UInt<32>("h00")
wire store_data_pre_r : UInt<64>
store_data_pre_r <= UInt<64>("h00")
wire store_data_pre_hi_r : UInt<32>
store_data_pre_hi_r <= UInt<32>("h00")
wire store_data_pre_lo_r : UInt<32>
store_data_pre_lo_r <= UInt<32>("h00")
wire store_data_pre_m : UInt<64>
store_data_pre_m <= UInt<64>("h00")
wire store_data_hi_m : UInt<32>
store_data_hi_m <= UInt<32>("h00")
wire store_data_lo_m : UInt<32>
store_data_lo_m <= UInt<32>("h00")
node _T_1010 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1011 = bits(io.store_data_r, 31, 0) @[lsu_dccm_ctl.scala 243:64]
node _T_1012 = cat(_T_1010, _T_1011) @[Cat.scala 29:58]
node _T_1013 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 243:92]
node _T_1014 = mul(UInt<4>("h08"), _T_1013) @[lsu_dccm_ctl.scala 243:78]
node _T_1015 = dshl(_T_1012, _T_1014) @[lsu_dccm_ctl.scala 243:72]
store_data_pre_r <= _T_1015 @[lsu_dccm_ctl.scala 243:29]
node _T_1016 = bits(store_data_pre_r, 63, 32) @[lsu_dccm_ctl.scala 244:48]
store_data_pre_hi_r <= _T_1016 @[lsu_dccm_ctl.scala 244:29]
node _T_1017 = bits(store_data_pre_r, 31, 0) @[lsu_dccm_ctl.scala 245:48]
store_data_pre_lo_r <= _T_1017 @[lsu_dccm_ctl.scala 245:29]
node _T_1018 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 246:98]
node _T_1019 = bits(_T_1018, 0, 0) @[lsu_dccm_ctl.scala 246:102]
node _T_1020 = bits(store_data_pre_lo_r, 7, 0) @[lsu_dccm_ctl.scala 246:130]
node _T_1021 = and(dccm_wren_Q, dccm_wr_bypass_d_m_lo_Q) @[lsu_dccm_ctl.scala 246:162]
node _T_1022 = bits(_T_1021, 0, 0) @[lsu_dccm_ctl.scala 246:189]
node _T_1023 = bits(dccm_wr_data_Q, 7, 0) @[lsu_dccm_ctl.scala 246:211]
node _T_1024 = bits(io.sec_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 246:241]
node _T_1025 = mux(_T_1022, _T_1023, _T_1024) @[lsu_dccm_ctl.scala 246:148]
node _T_1026 = mux(_T_1019, _T_1020, _T_1025) @[lsu_dccm_ctl.scala 246:79]
node _T_1027 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1028 = xor(UInt<8>("h0ff"), _T_1027) @[Bitwise.scala 102:21]
node _T_1029 = shr(_T_1026, 4) @[Bitwise.scala 103:21]
node _T_1030 = and(_T_1029, _T_1028) @[Bitwise.scala 103:31]
node _T_1031 = bits(_T_1026, 3, 0) @[Bitwise.scala 103:46]
node _T_1032 = shl(_T_1031, 4) @[Bitwise.scala 103:65]
node _T_1033 = not(_T_1028) @[Bitwise.scala 103:77]
node _T_1034 = and(_T_1032, _T_1033) @[Bitwise.scala 103:75]
node _T_1035 = or(_T_1030, _T_1034) @[Bitwise.scala 103:39]
node _T_1036 = bits(_T_1028, 5, 0) @[Bitwise.scala 102:28]
node _T_1037 = shl(_T_1036, 2) @[Bitwise.scala 102:47]
node _T_1038 = xor(_T_1028, _T_1037) @[Bitwise.scala 102:21]
node _T_1039 = shr(_T_1035, 2) @[Bitwise.scala 103:21]
node _T_1040 = and(_T_1039, _T_1038) @[Bitwise.scala 103:31]
node _T_1041 = bits(_T_1035, 5, 0) @[Bitwise.scala 103:46]
node _T_1042 = shl(_T_1041, 2) @[Bitwise.scala 103:65]
node _T_1043 = not(_T_1038) @[Bitwise.scala 103:77]
node _T_1044 = and(_T_1042, _T_1043) @[Bitwise.scala 103:75]
node _T_1045 = or(_T_1040, _T_1044) @[Bitwise.scala 103:39]
node _T_1046 = bits(_T_1038, 6, 0) @[Bitwise.scala 102:28]
node _T_1047 = shl(_T_1046, 1) @[Bitwise.scala 102:47]
node _T_1048 = xor(_T_1038, _T_1047) @[Bitwise.scala 102:21]
node _T_1049 = shr(_T_1045, 1) @[Bitwise.scala 103:21]
node _T_1050 = and(_T_1049, _T_1048) @[Bitwise.scala 103:31]
node _T_1051 = bits(_T_1045, 6, 0) @[Bitwise.scala 103:46]
node _T_1052 = shl(_T_1051, 1) @[Bitwise.scala 103:65]
node _T_1053 = not(_T_1048) @[Bitwise.scala 103:77]
node _T_1054 = and(_T_1052, _T_1053) @[Bitwise.scala 103:75]
node _T_1055 = or(_T_1050, _T_1054) @[Bitwise.scala 103:39]
node _T_1056 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 246:98]
node _T_1057 = bits(_T_1056, 0, 0) @[lsu_dccm_ctl.scala 246:102]
node _T_1058 = bits(store_data_pre_lo_r, 15, 8) @[lsu_dccm_ctl.scala 246:130]
node _T_1059 = and(dccm_wren_Q, dccm_wr_bypass_d_m_lo_Q) @[lsu_dccm_ctl.scala 246:162]
node _T_1060 = bits(_T_1059, 0, 0) @[lsu_dccm_ctl.scala 246:189]
node _T_1061 = bits(dccm_wr_data_Q, 15, 8) @[lsu_dccm_ctl.scala 246:211]
node _T_1062 = bits(io.sec_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 246:241]
node _T_1063 = mux(_T_1060, _T_1061, _T_1062) @[lsu_dccm_ctl.scala 246:148]
node _T_1064 = mux(_T_1057, _T_1058, _T_1063) @[lsu_dccm_ctl.scala 246:79]
node _T_1065 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1066 = xor(UInt<8>("h0ff"), _T_1065) @[Bitwise.scala 102:21]
node _T_1067 = shr(_T_1064, 4) @[Bitwise.scala 103:21]
node _T_1068 = and(_T_1067, _T_1066) @[Bitwise.scala 103:31]
node _T_1069 = bits(_T_1064, 3, 0) @[Bitwise.scala 103:46]
node _T_1070 = shl(_T_1069, 4) @[Bitwise.scala 103:65]
node _T_1071 = not(_T_1066) @[Bitwise.scala 103:77]
node _T_1072 = and(_T_1070, _T_1071) @[Bitwise.scala 103:75]
node _T_1073 = or(_T_1068, _T_1072) @[Bitwise.scala 103:39]
node _T_1074 = bits(_T_1066, 5, 0) @[Bitwise.scala 102:28]
node _T_1075 = shl(_T_1074, 2) @[Bitwise.scala 102:47]
node _T_1076 = xor(_T_1066, _T_1075) @[Bitwise.scala 102:21]
node _T_1077 = shr(_T_1073, 2) @[Bitwise.scala 103:21]
node _T_1078 = and(_T_1077, _T_1076) @[Bitwise.scala 103:31]
node _T_1079 = bits(_T_1073, 5, 0) @[Bitwise.scala 103:46]
node _T_1080 = shl(_T_1079, 2) @[Bitwise.scala 103:65]
node _T_1081 = not(_T_1076) @[Bitwise.scala 103:77]
node _T_1082 = and(_T_1080, _T_1081) @[Bitwise.scala 103:75]
node _T_1083 = or(_T_1078, _T_1082) @[Bitwise.scala 103:39]
node _T_1084 = bits(_T_1076, 6, 0) @[Bitwise.scala 102:28]
node _T_1085 = shl(_T_1084, 1) @[Bitwise.scala 102:47]
node _T_1086 = xor(_T_1076, _T_1085) @[Bitwise.scala 102:21]
node _T_1087 = shr(_T_1083, 1) @[Bitwise.scala 103:21]
node _T_1088 = and(_T_1087, _T_1086) @[Bitwise.scala 103:31]
node _T_1089 = bits(_T_1083, 6, 0) @[Bitwise.scala 103:46]
node _T_1090 = shl(_T_1089, 1) @[Bitwise.scala 103:65]
node _T_1091 = not(_T_1086) @[Bitwise.scala 103:77]
node _T_1092 = and(_T_1090, _T_1091) @[Bitwise.scala 103:75]
node _T_1093 = or(_T_1088, _T_1092) @[Bitwise.scala 103:39]
node _T_1094 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 246:98]
node _T_1095 = bits(_T_1094, 0, 0) @[lsu_dccm_ctl.scala 246:102]
node _T_1096 = bits(store_data_pre_lo_r, 23, 16) @[lsu_dccm_ctl.scala 246:130]
node _T_1097 = and(dccm_wren_Q, dccm_wr_bypass_d_m_lo_Q) @[lsu_dccm_ctl.scala 246:162]
node _T_1098 = bits(_T_1097, 0, 0) @[lsu_dccm_ctl.scala 246:189]
node _T_1099 = bits(dccm_wr_data_Q, 23, 16) @[lsu_dccm_ctl.scala 246:211]
node _T_1100 = bits(io.sec_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 246:241]
node _T_1101 = mux(_T_1098, _T_1099, _T_1100) @[lsu_dccm_ctl.scala 246:148]
node _T_1102 = mux(_T_1095, _T_1096, _T_1101) @[lsu_dccm_ctl.scala 246:79]
node _T_1103 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1104 = xor(UInt<8>("h0ff"), _T_1103) @[Bitwise.scala 102:21]
node _T_1105 = shr(_T_1102, 4) @[Bitwise.scala 103:21]
node _T_1106 = and(_T_1105, _T_1104) @[Bitwise.scala 103:31]
node _T_1107 = bits(_T_1102, 3, 0) @[Bitwise.scala 103:46]
node _T_1108 = shl(_T_1107, 4) @[Bitwise.scala 103:65]
node _T_1109 = not(_T_1104) @[Bitwise.scala 103:77]
node _T_1110 = and(_T_1108, _T_1109) @[Bitwise.scala 103:75]
node _T_1111 = or(_T_1106, _T_1110) @[Bitwise.scala 103:39]
node _T_1112 = bits(_T_1104, 5, 0) @[Bitwise.scala 102:28]
node _T_1113 = shl(_T_1112, 2) @[Bitwise.scala 102:47]
node _T_1114 = xor(_T_1104, _T_1113) @[Bitwise.scala 102:21]
node _T_1115 = shr(_T_1111, 2) @[Bitwise.scala 103:21]
node _T_1116 = and(_T_1115, _T_1114) @[Bitwise.scala 103:31]
node _T_1117 = bits(_T_1111, 5, 0) @[Bitwise.scala 103:46]
node _T_1118 = shl(_T_1117, 2) @[Bitwise.scala 103:65]
node _T_1119 = not(_T_1114) @[Bitwise.scala 103:77]
node _T_1120 = and(_T_1118, _T_1119) @[Bitwise.scala 103:75]
node _T_1121 = or(_T_1116, _T_1120) @[Bitwise.scala 103:39]
node _T_1122 = bits(_T_1114, 6, 0) @[Bitwise.scala 102:28]
node _T_1123 = shl(_T_1122, 1) @[Bitwise.scala 102:47]
node _T_1124 = xor(_T_1114, _T_1123) @[Bitwise.scala 102:21]
node _T_1125 = shr(_T_1121, 1) @[Bitwise.scala 103:21]
node _T_1126 = and(_T_1125, _T_1124) @[Bitwise.scala 103:31]
node _T_1127 = bits(_T_1121, 6, 0) @[Bitwise.scala 103:46]
node _T_1128 = shl(_T_1127, 1) @[Bitwise.scala 103:65]
node _T_1129 = not(_T_1124) @[Bitwise.scala 103:77]
node _T_1130 = and(_T_1128, _T_1129) @[Bitwise.scala 103:75]
node _T_1131 = or(_T_1126, _T_1130) @[Bitwise.scala 103:39]
node _T_1132 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 246:98]
node _T_1133 = bits(_T_1132, 0, 0) @[lsu_dccm_ctl.scala 246:102]
node _T_1134 = bits(store_data_pre_lo_r, 31, 24) @[lsu_dccm_ctl.scala 246:130]
node _T_1135 = and(dccm_wren_Q, dccm_wr_bypass_d_m_lo_Q) @[lsu_dccm_ctl.scala 246:162]
node _T_1136 = bits(_T_1135, 0, 0) @[lsu_dccm_ctl.scala 246:189]
node _T_1137 = bits(dccm_wr_data_Q, 31, 24) @[lsu_dccm_ctl.scala 246:211]
node _T_1138 = bits(io.sec_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 246:241]
node _T_1139 = mux(_T_1136, _T_1137, _T_1138) @[lsu_dccm_ctl.scala 246:148]
node _T_1140 = mux(_T_1133, _T_1134, _T_1139) @[lsu_dccm_ctl.scala 246:79]
node _T_1141 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1142 = xor(UInt<8>("h0ff"), _T_1141) @[Bitwise.scala 102:21]
node _T_1143 = shr(_T_1140, 4) @[Bitwise.scala 103:21]
node _T_1144 = and(_T_1143, _T_1142) @[Bitwise.scala 103:31]
node _T_1145 = bits(_T_1140, 3, 0) @[Bitwise.scala 103:46]
node _T_1146 = shl(_T_1145, 4) @[Bitwise.scala 103:65]
node _T_1147 = not(_T_1142) @[Bitwise.scala 103:77]
node _T_1148 = and(_T_1146, _T_1147) @[Bitwise.scala 103:75]
node _T_1149 = or(_T_1144, _T_1148) @[Bitwise.scala 103:39]
node _T_1150 = bits(_T_1142, 5, 0) @[Bitwise.scala 102:28]
node _T_1151 = shl(_T_1150, 2) @[Bitwise.scala 102:47]
node _T_1152 = xor(_T_1142, _T_1151) @[Bitwise.scala 102:21]
node _T_1153 = shr(_T_1149, 2) @[Bitwise.scala 103:21]
node _T_1154 = and(_T_1153, _T_1152) @[Bitwise.scala 103:31]
node _T_1155 = bits(_T_1149, 5, 0) @[Bitwise.scala 103:46]
node _T_1156 = shl(_T_1155, 2) @[Bitwise.scala 103:65]
node _T_1157 = not(_T_1152) @[Bitwise.scala 103:77]
node _T_1158 = and(_T_1156, _T_1157) @[Bitwise.scala 103:75]
node _T_1159 = or(_T_1154, _T_1158) @[Bitwise.scala 103:39]
node _T_1160 = bits(_T_1152, 6, 0) @[Bitwise.scala 102:28]
node _T_1161 = shl(_T_1160, 1) @[Bitwise.scala 102:47]
node _T_1162 = xor(_T_1152, _T_1161) @[Bitwise.scala 102:21]
node _T_1163 = shr(_T_1159, 1) @[Bitwise.scala 103:21]
node _T_1164 = and(_T_1163, _T_1162) @[Bitwise.scala 103:31]
node _T_1165 = bits(_T_1159, 6, 0) @[Bitwise.scala 103:46]
node _T_1166 = shl(_T_1165, 1) @[Bitwise.scala 103:65]
node _T_1167 = not(_T_1162) @[Bitwise.scala 103:77]
node _T_1168 = and(_T_1166, _T_1167) @[Bitwise.scala 103:75]
node _T_1169 = or(_T_1164, _T_1168) @[Bitwise.scala 103:39]
wire _T_1170 : UInt<8>[4] @[lsu_dccm_ctl.scala 246:63]
_T_1170[0] <= _T_1055 @[lsu_dccm_ctl.scala 246:63]
_T_1170[1] <= _T_1093 @[lsu_dccm_ctl.scala 246:63]
_T_1170[2] <= _T_1131 @[lsu_dccm_ctl.scala 246:63]
_T_1170[3] <= _T_1169 @[lsu_dccm_ctl.scala 246:63]
node _T_1171 = cat(_T_1170[2], _T_1170[3]) @[Cat.scala 29:58]
node _T_1172 = cat(_T_1170[0], _T_1170[1]) @[Cat.scala 29:58]
node _T_1173 = cat(_T_1172, _T_1171) @[Cat.scala 29:58]
node _T_1174 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1175 = xor(UInt<32>("h0ffffffff"), _T_1174) @[Bitwise.scala 102:21]
node _T_1176 = shr(_T_1173, 16) @[Bitwise.scala 103:21]
node _T_1177 = and(_T_1176, _T_1175) @[Bitwise.scala 103:31]
node _T_1178 = bits(_T_1173, 15, 0) @[Bitwise.scala 103:46]
node _T_1179 = shl(_T_1178, 16) @[Bitwise.scala 103:65]
node _T_1180 = not(_T_1175) @[Bitwise.scala 103:77]
node _T_1181 = and(_T_1179, _T_1180) @[Bitwise.scala 103:75]
node _T_1182 = or(_T_1177, _T_1181) @[Bitwise.scala 103:39]
node _T_1183 = bits(_T_1175, 23, 0) @[Bitwise.scala 102:28]
node _T_1184 = shl(_T_1183, 8) @[Bitwise.scala 102:47]
node _T_1185 = xor(_T_1175, _T_1184) @[Bitwise.scala 102:21]
node _T_1186 = shr(_T_1182, 8) @[Bitwise.scala 103:21]
node _T_1187 = and(_T_1186, _T_1185) @[Bitwise.scala 103:31]
node _T_1188 = bits(_T_1182, 23, 0) @[Bitwise.scala 103:46]
node _T_1189 = shl(_T_1188, 8) @[Bitwise.scala 103:65]
node _T_1190 = not(_T_1185) @[Bitwise.scala 103:77]
node _T_1191 = and(_T_1189, _T_1190) @[Bitwise.scala 103:75]
node _T_1192 = or(_T_1187, _T_1191) @[Bitwise.scala 103:39]
node _T_1193 = bits(_T_1185, 27, 0) @[Bitwise.scala 102:28]
node _T_1194 = shl(_T_1193, 4) @[Bitwise.scala 102:47]
node _T_1195 = xor(_T_1185, _T_1194) @[Bitwise.scala 102:21]
node _T_1196 = shr(_T_1192, 4) @[Bitwise.scala 103:21]
node _T_1197 = and(_T_1196, _T_1195) @[Bitwise.scala 103:31]
node _T_1198 = bits(_T_1192, 27, 0) @[Bitwise.scala 103:46]
node _T_1199 = shl(_T_1198, 4) @[Bitwise.scala 103:65]
node _T_1200 = not(_T_1195) @[Bitwise.scala 103:77]
node _T_1201 = and(_T_1199, _T_1200) @[Bitwise.scala 103:75]
node _T_1202 = or(_T_1197, _T_1201) @[Bitwise.scala 103:39]
node _T_1203 = bits(_T_1195, 29, 0) @[Bitwise.scala 102:28]
node _T_1204 = shl(_T_1203, 2) @[Bitwise.scala 102:47]
node _T_1205 = xor(_T_1195, _T_1204) @[Bitwise.scala 102:21]
node _T_1206 = shr(_T_1202, 2) @[Bitwise.scala 103:21]
node _T_1207 = and(_T_1206, _T_1205) @[Bitwise.scala 103:31]
node _T_1208 = bits(_T_1202, 29, 0) @[Bitwise.scala 103:46]
node _T_1209 = shl(_T_1208, 2) @[Bitwise.scala 103:65]
node _T_1210 = not(_T_1205) @[Bitwise.scala 103:77]
node _T_1211 = and(_T_1209, _T_1210) @[Bitwise.scala 103:75]
node _T_1212 = or(_T_1207, _T_1211) @[Bitwise.scala 103:39]
node _T_1213 = bits(_T_1205, 30, 0) @[Bitwise.scala 102:28]
node _T_1214 = shl(_T_1213, 1) @[Bitwise.scala 102:47]
node _T_1215 = xor(_T_1205, _T_1214) @[Bitwise.scala 102:21]
node _T_1216 = shr(_T_1212, 1) @[Bitwise.scala 103:21]
node _T_1217 = and(_T_1216, _T_1215) @[Bitwise.scala 103:31]
node _T_1218 = bits(_T_1212, 30, 0) @[Bitwise.scala 103:46]
node _T_1219 = shl(_T_1218, 1) @[Bitwise.scala 103:65]
node _T_1220 = not(_T_1215) @[Bitwise.scala 103:77]
node _T_1221 = and(_T_1219, _T_1220) @[Bitwise.scala 103:75]
node _T_1222 = or(_T_1217, _T_1221) @[Bitwise.scala 103:39]
io.store_data_lo_r <= _T_1222 @[lsu_dccm_ctl.scala 246:29]
node _T_1223 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 247:98]
node _T_1224 = bits(_T_1223, 0, 0) @[lsu_dccm_ctl.scala 247:104]
node _T_1225 = bits(store_data_pre_hi_r, 7, 0) @[lsu_dccm_ctl.scala 247:130]
node _T_1226 = and(dccm_wren_Q, dccm_wr_bypass_d_m_hi_Q) @[lsu_dccm_ctl.scala 247:162]
node _T_1227 = bits(_T_1226, 0, 0) @[lsu_dccm_ctl.scala 247:189]
node _T_1228 = bits(dccm_wr_data_Q, 7, 0) @[lsu_dccm_ctl.scala 247:211]
node _T_1229 = bits(io.sec_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 247:241]
node _T_1230 = mux(_T_1227, _T_1228, _T_1229) @[lsu_dccm_ctl.scala 247:148]
node _T_1231 = mux(_T_1224, _T_1225, _T_1230) @[lsu_dccm_ctl.scala 247:79]
node _T_1232 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1233 = xor(UInt<8>("h0ff"), _T_1232) @[Bitwise.scala 102:21]
node _T_1234 = shr(_T_1231, 4) @[Bitwise.scala 103:21]
node _T_1235 = and(_T_1234, _T_1233) @[Bitwise.scala 103:31]
node _T_1236 = bits(_T_1231, 3, 0) @[Bitwise.scala 103:46]
node _T_1237 = shl(_T_1236, 4) @[Bitwise.scala 103:65]
node _T_1238 = not(_T_1233) @[Bitwise.scala 103:77]
node _T_1239 = and(_T_1237, _T_1238) @[Bitwise.scala 103:75]
node _T_1240 = or(_T_1235, _T_1239) @[Bitwise.scala 103:39]
node _T_1241 = bits(_T_1233, 5, 0) @[Bitwise.scala 102:28]
node _T_1242 = shl(_T_1241, 2) @[Bitwise.scala 102:47]
node _T_1243 = xor(_T_1233, _T_1242) @[Bitwise.scala 102:21]
node _T_1244 = shr(_T_1240, 2) @[Bitwise.scala 103:21]
node _T_1245 = and(_T_1244, _T_1243) @[Bitwise.scala 103:31]
node _T_1246 = bits(_T_1240, 5, 0) @[Bitwise.scala 103:46]
node _T_1247 = shl(_T_1246, 2) @[Bitwise.scala 103:65]
node _T_1248 = not(_T_1243) @[Bitwise.scala 103:77]
node _T_1249 = and(_T_1247, _T_1248) @[Bitwise.scala 103:75]
node _T_1250 = or(_T_1245, _T_1249) @[Bitwise.scala 103:39]
node _T_1251 = bits(_T_1243, 6, 0) @[Bitwise.scala 102:28]
node _T_1252 = shl(_T_1251, 1) @[Bitwise.scala 102:47]
node _T_1253 = xor(_T_1243, _T_1252) @[Bitwise.scala 102:21]
node _T_1254 = shr(_T_1250, 1) @[Bitwise.scala 103:21]
node _T_1255 = and(_T_1254, _T_1253) @[Bitwise.scala 103:31]
node _T_1256 = bits(_T_1250, 6, 0) @[Bitwise.scala 103:46]
node _T_1257 = shl(_T_1256, 1) @[Bitwise.scala 103:65]
node _T_1258 = not(_T_1253) @[Bitwise.scala 103:77]
node _T_1259 = and(_T_1257, _T_1258) @[Bitwise.scala 103:75]
node _T_1260 = or(_T_1255, _T_1259) @[Bitwise.scala 103:39]
node _T_1261 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 247:98]
node _T_1262 = bits(_T_1261, 0, 0) @[lsu_dccm_ctl.scala 247:104]
node _T_1263 = bits(store_data_pre_hi_r, 15, 8) @[lsu_dccm_ctl.scala 247:130]
node _T_1264 = and(dccm_wren_Q, dccm_wr_bypass_d_m_hi_Q) @[lsu_dccm_ctl.scala 247:162]
node _T_1265 = bits(_T_1264, 0, 0) @[lsu_dccm_ctl.scala 247:189]
node _T_1266 = bits(dccm_wr_data_Q, 15, 8) @[lsu_dccm_ctl.scala 247:211]
node _T_1267 = bits(io.sec_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 247:241]
node _T_1268 = mux(_T_1265, _T_1266, _T_1267) @[lsu_dccm_ctl.scala 247:148]
node _T_1269 = mux(_T_1262, _T_1263, _T_1268) @[lsu_dccm_ctl.scala 247:79]
node _T_1270 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1271 = xor(UInt<8>("h0ff"), _T_1270) @[Bitwise.scala 102:21]
node _T_1272 = shr(_T_1269, 4) @[Bitwise.scala 103:21]
node _T_1273 = and(_T_1272, _T_1271) @[Bitwise.scala 103:31]
node _T_1274 = bits(_T_1269, 3, 0) @[Bitwise.scala 103:46]
node _T_1275 = shl(_T_1274, 4) @[Bitwise.scala 103:65]
node _T_1276 = not(_T_1271) @[Bitwise.scala 103:77]
node _T_1277 = and(_T_1275, _T_1276) @[Bitwise.scala 103:75]
node _T_1278 = or(_T_1273, _T_1277) @[Bitwise.scala 103:39]
node _T_1279 = bits(_T_1271, 5, 0) @[Bitwise.scala 102:28]
node _T_1280 = shl(_T_1279, 2) @[Bitwise.scala 102:47]
node _T_1281 = xor(_T_1271, _T_1280) @[Bitwise.scala 102:21]
node _T_1282 = shr(_T_1278, 2) @[Bitwise.scala 103:21]
node _T_1283 = and(_T_1282, _T_1281) @[Bitwise.scala 103:31]
node _T_1284 = bits(_T_1278, 5, 0) @[Bitwise.scala 103:46]
node _T_1285 = shl(_T_1284, 2) @[Bitwise.scala 103:65]
node _T_1286 = not(_T_1281) @[Bitwise.scala 103:77]
node _T_1287 = and(_T_1285, _T_1286) @[Bitwise.scala 103:75]
node _T_1288 = or(_T_1283, _T_1287) @[Bitwise.scala 103:39]
node _T_1289 = bits(_T_1281, 6, 0) @[Bitwise.scala 102:28]
node _T_1290 = shl(_T_1289, 1) @[Bitwise.scala 102:47]
node _T_1291 = xor(_T_1281, _T_1290) @[Bitwise.scala 102:21]
node _T_1292 = shr(_T_1288, 1) @[Bitwise.scala 103:21]
node _T_1293 = and(_T_1292, _T_1291) @[Bitwise.scala 103:31]
node _T_1294 = bits(_T_1288, 6, 0) @[Bitwise.scala 103:46]
node _T_1295 = shl(_T_1294, 1) @[Bitwise.scala 103:65]
node _T_1296 = not(_T_1291) @[Bitwise.scala 103:77]
node _T_1297 = and(_T_1295, _T_1296) @[Bitwise.scala 103:75]
node _T_1298 = or(_T_1293, _T_1297) @[Bitwise.scala 103:39]
node _T_1299 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 247:98]
node _T_1300 = bits(_T_1299, 0, 0) @[lsu_dccm_ctl.scala 247:104]
node _T_1301 = bits(store_data_pre_hi_r, 23, 16) @[lsu_dccm_ctl.scala 247:130]
node _T_1302 = and(dccm_wren_Q, dccm_wr_bypass_d_m_hi_Q) @[lsu_dccm_ctl.scala 247:162]
node _T_1303 = bits(_T_1302, 0, 0) @[lsu_dccm_ctl.scala 247:189]
node _T_1304 = bits(dccm_wr_data_Q, 23, 16) @[lsu_dccm_ctl.scala 247:211]
node _T_1305 = bits(io.sec_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 247:241]
node _T_1306 = mux(_T_1303, _T_1304, _T_1305) @[lsu_dccm_ctl.scala 247:148]
node _T_1307 = mux(_T_1300, _T_1301, _T_1306) @[lsu_dccm_ctl.scala 247:79]
node _T_1308 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1309 = xor(UInt<8>("h0ff"), _T_1308) @[Bitwise.scala 102:21]
node _T_1310 = shr(_T_1307, 4) @[Bitwise.scala 103:21]
node _T_1311 = and(_T_1310, _T_1309) @[Bitwise.scala 103:31]
node _T_1312 = bits(_T_1307, 3, 0) @[Bitwise.scala 103:46]
node _T_1313 = shl(_T_1312, 4) @[Bitwise.scala 103:65]
node _T_1314 = not(_T_1309) @[Bitwise.scala 103:77]
node _T_1315 = and(_T_1313, _T_1314) @[Bitwise.scala 103:75]
node _T_1316 = or(_T_1311, _T_1315) @[Bitwise.scala 103:39]
node _T_1317 = bits(_T_1309, 5, 0) @[Bitwise.scala 102:28]
node _T_1318 = shl(_T_1317, 2) @[Bitwise.scala 102:47]
node _T_1319 = xor(_T_1309, _T_1318) @[Bitwise.scala 102:21]
node _T_1320 = shr(_T_1316, 2) @[Bitwise.scala 103:21]
node _T_1321 = and(_T_1320, _T_1319) @[Bitwise.scala 103:31]
node _T_1322 = bits(_T_1316, 5, 0) @[Bitwise.scala 103:46]
node _T_1323 = shl(_T_1322, 2) @[Bitwise.scala 103:65]
node _T_1324 = not(_T_1319) @[Bitwise.scala 103:77]
node _T_1325 = and(_T_1323, _T_1324) @[Bitwise.scala 103:75]
node _T_1326 = or(_T_1321, _T_1325) @[Bitwise.scala 103:39]
node _T_1327 = bits(_T_1319, 6, 0) @[Bitwise.scala 102:28]
node _T_1328 = shl(_T_1327, 1) @[Bitwise.scala 102:47]
node _T_1329 = xor(_T_1319, _T_1328) @[Bitwise.scala 102:21]
node _T_1330 = shr(_T_1326, 1) @[Bitwise.scala 103:21]
node _T_1331 = and(_T_1330, _T_1329) @[Bitwise.scala 103:31]
node _T_1332 = bits(_T_1326, 6, 0) @[Bitwise.scala 103:46]
node _T_1333 = shl(_T_1332, 1) @[Bitwise.scala 103:65]
node _T_1334 = not(_T_1329) @[Bitwise.scala 103:77]
node _T_1335 = and(_T_1333, _T_1334) @[Bitwise.scala 103:75]
node _T_1336 = or(_T_1331, _T_1335) @[Bitwise.scala 103:39]
node _T_1337 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 247:98]
node _T_1338 = bits(_T_1337, 0, 0) @[lsu_dccm_ctl.scala 247:104]
node _T_1339 = bits(store_data_pre_hi_r, 31, 24) @[lsu_dccm_ctl.scala 247:130]
node _T_1340 = and(dccm_wren_Q, dccm_wr_bypass_d_m_hi_Q) @[lsu_dccm_ctl.scala 247:162]
node _T_1341 = bits(_T_1340, 0, 0) @[lsu_dccm_ctl.scala 247:189]
node _T_1342 = bits(dccm_wr_data_Q, 31, 24) @[lsu_dccm_ctl.scala 247:211]
node _T_1343 = bits(io.sec_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 247:241]
node _T_1344 = mux(_T_1341, _T_1342, _T_1343) @[lsu_dccm_ctl.scala 247:148]
node _T_1345 = mux(_T_1338, _T_1339, _T_1344) @[lsu_dccm_ctl.scala 247:79]
node _T_1346 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1347 = xor(UInt<8>("h0ff"), _T_1346) @[Bitwise.scala 102:21]
node _T_1348 = shr(_T_1345, 4) @[Bitwise.scala 103:21]
node _T_1349 = and(_T_1348, _T_1347) @[Bitwise.scala 103:31]
node _T_1350 = bits(_T_1345, 3, 0) @[Bitwise.scala 103:46]
node _T_1351 = shl(_T_1350, 4) @[Bitwise.scala 103:65]
node _T_1352 = not(_T_1347) @[Bitwise.scala 103:77]
node _T_1353 = and(_T_1351, _T_1352) @[Bitwise.scala 103:75]
node _T_1354 = or(_T_1349, _T_1353) @[Bitwise.scala 103:39]
node _T_1355 = bits(_T_1347, 5, 0) @[Bitwise.scala 102:28]
node _T_1356 = shl(_T_1355, 2) @[Bitwise.scala 102:47]
node _T_1357 = xor(_T_1347, _T_1356) @[Bitwise.scala 102:21]
node _T_1358 = shr(_T_1354, 2) @[Bitwise.scala 103:21]
node _T_1359 = and(_T_1358, _T_1357) @[Bitwise.scala 103:31]
node _T_1360 = bits(_T_1354, 5, 0) @[Bitwise.scala 103:46]
node _T_1361 = shl(_T_1360, 2) @[Bitwise.scala 103:65]
node _T_1362 = not(_T_1357) @[Bitwise.scala 103:77]
node _T_1363 = and(_T_1361, _T_1362) @[Bitwise.scala 103:75]
node _T_1364 = or(_T_1359, _T_1363) @[Bitwise.scala 103:39]
node _T_1365 = bits(_T_1357, 6, 0) @[Bitwise.scala 102:28]
node _T_1366 = shl(_T_1365, 1) @[Bitwise.scala 102:47]
node _T_1367 = xor(_T_1357, _T_1366) @[Bitwise.scala 102:21]
node _T_1368 = shr(_T_1364, 1) @[Bitwise.scala 103:21]
node _T_1369 = and(_T_1368, _T_1367) @[Bitwise.scala 103:31]
node _T_1370 = bits(_T_1364, 6, 0) @[Bitwise.scala 103:46]
node _T_1371 = shl(_T_1370, 1) @[Bitwise.scala 103:65]
node _T_1372 = not(_T_1367) @[Bitwise.scala 103:77]
node _T_1373 = and(_T_1371, _T_1372) @[Bitwise.scala 103:75]
node _T_1374 = or(_T_1369, _T_1373) @[Bitwise.scala 103:39]
wire _T_1375 : UInt<8>[4] @[lsu_dccm_ctl.scala 247:63]
_T_1375[0] <= _T_1260 @[lsu_dccm_ctl.scala 247:63]
_T_1375[1] <= _T_1298 @[lsu_dccm_ctl.scala 247:63]
_T_1375[2] <= _T_1336 @[lsu_dccm_ctl.scala 247:63]
_T_1375[3] <= _T_1374 @[lsu_dccm_ctl.scala 247:63]
node _T_1376 = cat(_T_1375[2], _T_1375[3]) @[Cat.scala 29:58]
node _T_1377 = cat(_T_1375[0], _T_1375[1]) @[Cat.scala 29:58]
node _T_1378 = cat(_T_1377, _T_1376) @[Cat.scala 29:58]
node _T_1379 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1380 = xor(UInt<32>("h0ffffffff"), _T_1379) @[Bitwise.scala 102:21]
node _T_1381 = shr(_T_1378, 16) @[Bitwise.scala 103:21]
node _T_1382 = and(_T_1381, _T_1380) @[Bitwise.scala 103:31]
node _T_1383 = bits(_T_1378, 15, 0) @[Bitwise.scala 103:46]
node _T_1384 = shl(_T_1383, 16) @[Bitwise.scala 103:65]
node _T_1385 = not(_T_1380) @[Bitwise.scala 103:77]
node _T_1386 = and(_T_1384, _T_1385) @[Bitwise.scala 103:75]
node _T_1387 = or(_T_1382, _T_1386) @[Bitwise.scala 103:39]
node _T_1388 = bits(_T_1380, 23, 0) @[Bitwise.scala 102:28]
node _T_1389 = shl(_T_1388, 8) @[Bitwise.scala 102:47]
node _T_1390 = xor(_T_1380, _T_1389) @[Bitwise.scala 102:21]
node _T_1391 = shr(_T_1387, 8) @[Bitwise.scala 103:21]
node _T_1392 = and(_T_1391, _T_1390) @[Bitwise.scala 103:31]
node _T_1393 = bits(_T_1387, 23, 0) @[Bitwise.scala 103:46]
node _T_1394 = shl(_T_1393, 8) @[Bitwise.scala 103:65]
node _T_1395 = not(_T_1390) @[Bitwise.scala 103:77]
node _T_1396 = and(_T_1394, _T_1395) @[Bitwise.scala 103:75]
node _T_1397 = or(_T_1392, _T_1396) @[Bitwise.scala 103:39]
node _T_1398 = bits(_T_1390, 27, 0) @[Bitwise.scala 102:28]
node _T_1399 = shl(_T_1398, 4) @[Bitwise.scala 102:47]
node _T_1400 = xor(_T_1390, _T_1399) @[Bitwise.scala 102:21]
node _T_1401 = shr(_T_1397, 4) @[Bitwise.scala 103:21]
node _T_1402 = and(_T_1401, _T_1400) @[Bitwise.scala 103:31]
node _T_1403 = bits(_T_1397, 27, 0) @[Bitwise.scala 103:46]
node _T_1404 = shl(_T_1403, 4) @[Bitwise.scala 103:65]
node _T_1405 = not(_T_1400) @[Bitwise.scala 103:77]
node _T_1406 = and(_T_1404, _T_1405) @[Bitwise.scala 103:75]
node _T_1407 = or(_T_1402, _T_1406) @[Bitwise.scala 103:39]
node _T_1408 = bits(_T_1400, 29, 0) @[Bitwise.scala 102:28]
node _T_1409 = shl(_T_1408, 2) @[Bitwise.scala 102:47]
node _T_1410 = xor(_T_1400, _T_1409) @[Bitwise.scala 102:21]
node _T_1411 = shr(_T_1407, 2) @[Bitwise.scala 103:21]
node _T_1412 = and(_T_1411, _T_1410) @[Bitwise.scala 103:31]
node _T_1413 = bits(_T_1407, 29, 0) @[Bitwise.scala 103:46]
node _T_1414 = shl(_T_1413, 2) @[Bitwise.scala 103:65]
node _T_1415 = not(_T_1410) @[Bitwise.scala 103:77]
node _T_1416 = and(_T_1414, _T_1415) @[Bitwise.scala 103:75]
node _T_1417 = or(_T_1412, _T_1416) @[Bitwise.scala 103:39]
node _T_1418 = bits(_T_1410, 30, 0) @[Bitwise.scala 102:28]
node _T_1419 = shl(_T_1418, 1) @[Bitwise.scala 102:47]
node _T_1420 = xor(_T_1410, _T_1419) @[Bitwise.scala 102:21]
node _T_1421 = shr(_T_1417, 1) @[Bitwise.scala 103:21]
node _T_1422 = and(_T_1421, _T_1420) @[Bitwise.scala 103:31]
node _T_1423 = bits(_T_1417, 30, 0) @[Bitwise.scala 103:46]
node _T_1424 = shl(_T_1423, 1) @[Bitwise.scala 103:65]
node _T_1425 = not(_T_1420) @[Bitwise.scala 103:77]
node _T_1426 = and(_T_1424, _T_1425) @[Bitwise.scala 103:75]
node _T_1427 = or(_T_1422, _T_1426) @[Bitwise.scala 103:39]
io.store_data_hi_r <= _T_1427 @[lsu_dccm_ctl.scala 247:29]
node _T_1428 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 248:98]
node _T_1429 = bits(_T_1428, 0, 0) @[lsu_dccm_ctl.scala 248:102]
node _T_1430 = bits(store_data_pre_lo_r, 7, 0) @[lsu_dccm_ctl.scala 248:130]
node _T_1431 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 248:174]
node _T_1432 = bits(_T_1431, 0, 0) @[lsu_dccm_ctl.scala 248:199]
node _T_1433 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 248:223]
node _T_1434 = and(dccm_wren_Q, dccm_wr_bypass_d_m_lo_Q) @[lsu_dccm_ctl.scala 248:256]
node _T_1435 = bits(_T_1434, 0, 0) @[lsu_dccm_ctl.scala 248:283]
node _T_1436 = bits(dccm_wr_data_Q, 7, 0) @[lsu_dccm_ctl.scala 248:305]
node _T_1437 = bits(io.sec_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 248:335]
node _T_1438 = mux(_T_1435, _T_1436, _T_1437) @[lsu_dccm_ctl.scala 248:242]
node _T_1439 = mux(_T_1432, _T_1433, _T_1438) @[lsu_dccm_ctl.scala 248:148]
node _T_1440 = mux(_T_1429, _T_1430, _T_1439) @[lsu_dccm_ctl.scala 248:79]
node _T_1441 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1442 = xor(UInt<8>("h0ff"), _T_1441) @[Bitwise.scala 102:21]
node _T_1443 = shr(_T_1440, 4) @[Bitwise.scala 103:21]
node _T_1444 = and(_T_1443, _T_1442) @[Bitwise.scala 103:31]
node _T_1445 = bits(_T_1440, 3, 0) @[Bitwise.scala 103:46]
node _T_1446 = shl(_T_1445, 4) @[Bitwise.scala 103:65]
node _T_1447 = not(_T_1442) @[Bitwise.scala 103:77]
node _T_1448 = and(_T_1446, _T_1447) @[Bitwise.scala 103:75]
node _T_1449 = or(_T_1444, _T_1448) @[Bitwise.scala 103:39]
node _T_1450 = bits(_T_1442, 5, 0) @[Bitwise.scala 102:28]
node _T_1451 = shl(_T_1450, 2) @[Bitwise.scala 102:47]
node _T_1452 = xor(_T_1442, _T_1451) @[Bitwise.scala 102:21]
node _T_1453 = shr(_T_1449, 2) @[Bitwise.scala 103:21]
node _T_1454 = and(_T_1453, _T_1452) @[Bitwise.scala 103:31]
node _T_1455 = bits(_T_1449, 5, 0) @[Bitwise.scala 103:46]
node _T_1456 = shl(_T_1455, 2) @[Bitwise.scala 103:65]
node _T_1457 = not(_T_1452) @[Bitwise.scala 103:77]
node _T_1458 = and(_T_1456, _T_1457) @[Bitwise.scala 103:75]
node _T_1459 = or(_T_1454, _T_1458) @[Bitwise.scala 103:39]
node _T_1460 = bits(_T_1452, 6, 0) @[Bitwise.scala 102:28]
node _T_1461 = shl(_T_1460, 1) @[Bitwise.scala 102:47]
node _T_1462 = xor(_T_1452, _T_1461) @[Bitwise.scala 102:21]
node _T_1463 = shr(_T_1459, 1) @[Bitwise.scala 103:21]
node _T_1464 = and(_T_1463, _T_1462) @[Bitwise.scala 103:31]
node _T_1465 = bits(_T_1459, 6, 0) @[Bitwise.scala 103:46]
node _T_1466 = shl(_T_1465, 1) @[Bitwise.scala 103:65]
node _T_1467 = not(_T_1462) @[Bitwise.scala 103:77]
node _T_1468 = and(_T_1466, _T_1467) @[Bitwise.scala 103:75]
node _T_1469 = or(_T_1464, _T_1468) @[Bitwise.scala 103:39]
node _T_1470 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 248:98]
node _T_1471 = bits(_T_1470, 0, 0) @[lsu_dccm_ctl.scala 248:102]
node _T_1472 = bits(store_data_pre_lo_r, 15, 8) @[lsu_dccm_ctl.scala 248:130]
node _T_1473 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 248:174]
node _T_1474 = bits(_T_1473, 0, 0) @[lsu_dccm_ctl.scala 248:199]
node _T_1475 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 248:223]
node _T_1476 = and(dccm_wren_Q, dccm_wr_bypass_d_m_lo_Q) @[lsu_dccm_ctl.scala 248:256]
node _T_1477 = bits(_T_1476, 0, 0) @[lsu_dccm_ctl.scala 248:283]
node _T_1478 = bits(dccm_wr_data_Q, 15, 8) @[lsu_dccm_ctl.scala 248:305]
node _T_1479 = bits(io.sec_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 248:335]
node _T_1480 = mux(_T_1477, _T_1478, _T_1479) @[lsu_dccm_ctl.scala 248:242]
node _T_1481 = mux(_T_1474, _T_1475, _T_1480) @[lsu_dccm_ctl.scala 248:148]
node _T_1482 = mux(_T_1471, _T_1472, _T_1481) @[lsu_dccm_ctl.scala 248:79]
node _T_1483 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1484 = xor(UInt<8>("h0ff"), _T_1483) @[Bitwise.scala 102:21]
node _T_1485 = shr(_T_1482, 4) @[Bitwise.scala 103:21]
node _T_1486 = and(_T_1485, _T_1484) @[Bitwise.scala 103:31]
node _T_1487 = bits(_T_1482, 3, 0) @[Bitwise.scala 103:46]
node _T_1488 = shl(_T_1487, 4) @[Bitwise.scala 103:65]
node _T_1489 = not(_T_1484) @[Bitwise.scala 103:77]
node _T_1490 = and(_T_1488, _T_1489) @[Bitwise.scala 103:75]
node _T_1491 = or(_T_1486, _T_1490) @[Bitwise.scala 103:39]
node _T_1492 = bits(_T_1484, 5, 0) @[Bitwise.scala 102:28]
node _T_1493 = shl(_T_1492, 2) @[Bitwise.scala 102:47]
node _T_1494 = xor(_T_1484, _T_1493) @[Bitwise.scala 102:21]
node _T_1495 = shr(_T_1491, 2) @[Bitwise.scala 103:21]
node _T_1496 = and(_T_1495, _T_1494) @[Bitwise.scala 103:31]
node _T_1497 = bits(_T_1491, 5, 0) @[Bitwise.scala 103:46]
node _T_1498 = shl(_T_1497, 2) @[Bitwise.scala 103:65]
node _T_1499 = not(_T_1494) @[Bitwise.scala 103:77]
node _T_1500 = and(_T_1498, _T_1499) @[Bitwise.scala 103:75]
node _T_1501 = or(_T_1496, _T_1500) @[Bitwise.scala 103:39]
node _T_1502 = bits(_T_1494, 6, 0) @[Bitwise.scala 102:28]
node _T_1503 = shl(_T_1502, 1) @[Bitwise.scala 102:47]
node _T_1504 = xor(_T_1494, _T_1503) @[Bitwise.scala 102:21]
node _T_1505 = shr(_T_1501, 1) @[Bitwise.scala 103:21]
node _T_1506 = and(_T_1505, _T_1504) @[Bitwise.scala 103:31]
node _T_1507 = bits(_T_1501, 6, 0) @[Bitwise.scala 103:46]
node _T_1508 = shl(_T_1507, 1) @[Bitwise.scala 103:65]
node _T_1509 = not(_T_1504) @[Bitwise.scala 103:77]
node _T_1510 = and(_T_1508, _T_1509) @[Bitwise.scala 103:75]
node _T_1511 = or(_T_1506, _T_1510) @[Bitwise.scala 103:39]
node _T_1512 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 248:98]
node _T_1513 = bits(_T_1512, 0, 0) @[lsu_dccm_ctl.scala 248:102]
node _T_1514 = bits(store_data_pre_lo_r, 23, 16) @[lsu_dccm_ctl.scala 248:130]
node _T_1515 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 248:174]
node _T_1516 = bits(_T_1515, 0, 0) @[lsu_dccm_ctl.scala 248:199]
node _T_1517 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 248:223]
node _T_1518 = and(dccm_wren_Q, dccm_wr_bypass_d_m_lo_Q) @[lsu_dccm_ctl.scala 248:256]
node _T_1519 = bits(_T_1518, 0, 0) @[lsu_dccm_ctl.scala 248:283]
node _T_1520 = bits(dccm_wr_data_Q, 23, 16) @[lsu_dccm_ctl.scala 248:305]
node _T_1521 = bits(io.sec_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 248:335]
node _T_1522 = mux(_T_1519, _T_1520, _T_1521) @[lsu_dccm_ctl.scala 248:242]
node _T_1523 = mux(_T_1516, _T_1517, _T_1522) @[lsu_dccm_ctl.scala 248:148]
node _T_1524 = mux(_T_1513, _T_1514, _T_1523) @[lsu_dccm_ctl.scala 248:79]
node _T_1525 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1526 = xor(UInt<8>("h0ff"), _T_1525) @[Bitwise.scala 102:21]
node _T_1527 = shr(_T_1524, 4) @[Bitwise.scala 103:21]
node _T_1528 = and(_T_1527, _T_1526) @[Bitwise.scala 103:31]
node _T_1529 = bits(_T_1524, 3, 0) @[Bitwise.scala 103:46]
node _T_1530 = shl(_T_1529, 4) @[Bitwise.scala 103:65]
node _T_1531 = not(_T_1526) @[Bitwise.scala 103:77]
node _T_1532 = and(_T_1530, _T_1531) @[Bitwise.scala 103:75]
node _T_1533 = or(_T_1528, _T_1532) @[Bitwise.scala 103:39]
node _T_1534 = bits(_T_1526, 5, 0) @[Bitwise.scala 102:28]
node _T_1535 = shl(_T_1534, 2) @[Bitwise.scala 102:47]
node _T_1536 = xor(_T_1526, _T_1535) @[Bitwise.scala 102:21]
node _T_1537 = shr(_T_1533, 2) @[Bitwise.scala 103:21]
node _T_1538 = and(_T_1537, _T_1536) @[Bitwise.scala 103:31]
node _T_1539 = bits(_T_1533, 5, 0) @[Bitwise.scala 103:46]
node _T_1540 = shl(_T_1539, 2) @[Bitwise.scala 103:65]
node _T_1541 = not(_T_1536) @[Bitwise.scala 103:77]
node _T_1542 = and(_T_1540, _T_1541) @[Bitwise.scala 103:75]
node _T_1543 = or(_T_1538, _T_1542) @[Bitwise.scala 103:39]
node _T_1544 = bits(_T_1536, 6, 0) @[Bitwise.scala 102:28]
node _T_1545 = shl(_T_1544, 1) @[Bitwise.scala 102:47]
node _T_1546 = xor(_T_1536, _T_1545) @[Bitwise.scala 102:21]
node _T_1547 = shr(_T_1543, 1) @[Bitwise.scala 103:21]
node _T_1548 = and(_T_1547, _T_1546) @[Bitwise.scala 103:31]
node _T_1549 = bits(_T_1543, 6, 0) @[Bitwise.scala 103:46]
node _T_1550 = shl(_T_1549, 1) @[Bitwise.scala 103:65]
node _T_1551 = not(_T_1546) @[Bitwise.scala 103:77]
node _T_1552 = and(_T_1550, _T_1551) @[Bitwise.scala 103:75]
node _T_1553 = or(_T_1548, _T_1552) @[Bitwise.scala 103:39]
node _T_1554 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 248:98]
node _T_1555 = bits(_T_1554, 0, 0) @[lsu_dccm_ctl.scala 248:102]
node _T_1556 = bits(store_data_pre_lo_r, 31, 24) @[lsu_dccm_ctl.scala 248:130]
node _T_1557 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 248:174]
node _T_1558 = bits(_T_1557, 0, 0) @[lsu_dccm_ctl.scala 248:199]
node _T_1559 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 248:223]
node _T_1560 = and(dccm_wren_Q, dccm_wr_bypass_d_m_lo_Q) @[lsu_dccm_ctl.scala 248:256]
node _T_1561 = bits(_T_1560, 0, 0) @[lsu_dccm_ctl.scala 248:283]
node _T_1562 = bits(dccm_wr_data_Q, 31, 24) @[lsu_dccm_ctl.scala 248:305]
node _T_1563 = bits(io.sec_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 248:335]
node _T_1564 = mux(_T_1561, _T_1562, _T_1563) @[lsu_dccm_ctl.scala 248:242]
node _T_1565 = mux(_T_1558, _T_1559, _T_1564) @[lsu_dccm_ctl.scala 248:148]
node _T_1566 = mux(_T_1555, _T_1556, _T_1565) @[lsu_dccm_ctl.scala 248:79]
node _T_1567 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1568 = xor(UInt<8>("h0ff"), _T_1567) @[Bitwise.scala 102:21]
node _T_1569 = shr(_T_1566, 4) @[Bitwise.scala 103:21]
node _T_1570 = and(_T_1569, _T_1568) @[Bitwise.scala 103:31]
node _T_1571 = bits(_T_1566, 3, 0) @[Bitwise.scala 103:46]
node _T_1572 = shl(_T_1571, 4) @[Bitwise.scala 103:65]
node _T_1573 = not(_T_1568) @[Bitwise.scala 103:77]
node _T_1574 = and(_T_1572, _T_1573) @[Bitwise.scala 103:75]
node _T_1575 = or(_T_1570, _T_1574) @[Bitwise.scala 103:39]
node _T_1576 = bits(_T_1568, 5, 0) @[Bitwise.scala 102:28]
node _T_1577 = shl(_T_1576, 2) @[Bitwise.scala 102:47]
node _T_1578 = xor(_T_1568, _T_1577) @[Bitwise.scala 102:21]
node _T_1579 = shr(_T_1575, 2) @[Bitwise.scala 103:21]
node _T_1580 = and(_T_1579, _T_1578) @[Bitwise.scala 103:31]
node _T_1581 = bits(_T_1575, 5, 0) @[Bitwise.scala 103:46]
node _T_1582 = shl(_T_1581, 2) @[Bitwise.scala 103:65]
node _T_1583 = not(_T_1578) @[Bitwise.scala 103:77]
node _T_1584 = and(_T_1582, _T_1583) @[Bitwise.scala 103:75]
node _T_1585 = or(_T_1580, _T_1584) @[Bitwise.scala 103:39]
node _T_1586 = bits(_T_1578, 6, 0) @[Bitwise.scala 102:28]
node _T_1587 = shl(_T_1586, 1) @[Bitwise.scala 102:47]
node _T_1588 = xor(_T_1578, _T_1587) @[Bitwise.scala 102:21]
node _T_1589 = shr(_T_1585, 1) @[Bitwise.scala 103:21]
node _T_1590 = and(_T_1589, _T_1588) @[Bitwise.scala 103:31]
node _T_1591 = bits(_T_1585, 6, 0) @[Bitwise.scala 103:46]
node _T_1592 = shl(_T_1591, 1) @[Bitwise.scala 103:65]
node _T_1593 = not(_T_1588) @[Bitwise.scala 103:77]
node _T_1594 = and(_T_1592, _T_1593) @[Bitwise.scala 103:75]
node _T_1595 = or(_T_1590, _T_1594) @[Bitwise.scala 103:39]
wire _T_1596 : UInt<8>[4] @[lsu_dccm_ctl.scala 248:63]
_T_1596[0] <= _T_1469 @[lsu_dccm_ctl.scala 248:63]
_T_1596[1] <= _T_1511 @[lsu_dccm_ctl.scala 248:63]
_T_1596[2] <= _T_1553 @[lsu_dccm_ctl.scala 248:63]
_T_1596[3] <= _T_1595 @[lsu_dccm_ctl.scala 248:63]
node _T_1597 = cat(_T_1596[2], _T_1596[3]) @[Cat.scala 29:58]
node _T_1598 = cat(_T_1596[0], _T_1596[1]) @[Cat.scala 29:58]
node _T_1599 = cat(_T_1598, _T_1597) @[Cat.scala 29:58]
node _T_1600 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1601 = xor(UInt<32>("h0ffffffff"), _T_1600) @[Bitwise.scala 102:21]
node _T_1602 = shr(_T_1599, 16) @[Bitwise.scala 103:21]
node _T_1603 = and(_T_1602, _T_1601) @[Bitwise.scala 103:31]
node _T_1604 = bits(_T_1599, 15, 0) @[Bitwise.scala 103:46]
node _T_1605 = shl(_T_1604, 16) @[Bitwise.scala 103:65]
node _T_1606 = not(_T_1601) @[Bitwise.scala 103:77]
node _T_1607 = and(_T_1605, _T_1606) @[Bitwise.scala 103:75]
node _T_1608 = or(_T_1603, _T_1607) @[Bitwise.scala 103:39]
node _T_1609 = bits(_T_1601, 23, 0) @[Bitwise.scala 102:28]
node _T_1610 = shl(_T_1609, 8) @[Bitwise.scala 102:47]
node _T_1611 = xor(_T_1601, _T_1610) @[Bitwise.scala 102:21]
node _T_1612 = shr(_T_1608, 8) @[Bitwise.scala 103:21]
node _T_1613 = and(_T_1612, _T_1611) @[Bitwise.scala 103:31]
node _T_1614 = bits(_T_1608, 23, 0) @[Bitwise.scala 103:46]
node _T_1615 = shl(_T_1614, 8) @[Bitwise.scala 103:65]
node _T_1616 = not(_T_1611) @[Bitwise.scala 103:77]
node _T_1617 = and(_T_1615, _T_1616) @[Bitwise.scala 103:75]
node _T_1618 = or(_T_1613, _T_1617) @[Bitwise.scala 103:39]
node _T_1619 = bits(_T_1611, 27, 0) @[Bitwise.scala 102:28]
node _T_1620 = shl(_T_1619, 4) @[Bitwise.scala 102:47]
node _T_1621 = xor(_T_1611, _T_1620) @[Bitwise.scala 102:21]
node _T_1622 = shr(_T_1618, 4) @[Bitwise.scala 103:21]
node _T_1623 = and(_T_1622, _T_1621) @[Bitwise.scala 103:31]
node _T_1624 = bits(_T_1618, 27, 0) @[Bitwise.scala 103:46]
node _T_1625 = shl(_T_1624, 4) @[Bitwise.scala 103:65]
node _T_1626 = not(_T_1621) @[Bitwise.scala 103:77]
node _T_1627 = and(_T_1625, _T_1626) @[Bitwise.scala 103:75]
node _T_1628 = or(_T_1623, _T_1627) @[Bitwise.scala 103:39]
node _T_1629 = bits(_T_1621, 29, 0) @[Bitwise.scala 102:28]
node _T_1630 = shl(_T_1629, 2) @[Bitwise.scala 102:47]
node _T_1631 = xor(_T_1621, _T_1630) @[Bitwise.scala 102:21]
node _T_1632 = shr(_T_1628, 2) @[Bitwise.scala 103:21]
node _T_1633 = and(_T_1632, _T_1631) @[Bitwise.scala 103:31]
node _T_1634 = bits(_T_1628, 29, 0) @[Bitwise.scala 103:46]
node _T_1635 = shl(_T_1634, 2) @[Bitwise.scala 103:65]
node _T_1636 = not(_T_1631) @[Bitwise.scala 103:77]
node _T_1637 = and(_T_1635, _T_1636) @[Bitwise.scala 103:75]
node _T_1638 = or(_T_1633, _T_1637) @[Bitwise.scala 103:39]
node _T_1639 = bits(_T_1631, 30, 0) @[Bitwise.scala 102:28]
node _T_1640 = shl(_T_1639, 1) @[Bitwise.scala 102:47]
node _T_1641 = xor(_T_1631, _T_1640) @[Bitwise.scala 102:21]
node _T_1642 = shr(_T_1638, 1) @[Bitwise.scala 103:21]
node _T_1643 = and(_T_1642, _T_1641) @[Bitwise.scala 103:31]
node _T_1644 = bits(_T_1638, 30, 0) @[Bitwise.scala 103:46]
node _T_1645 = shl(_T_1644, 1) @[Bitwise.scala 103:65]
node _T_1646 = not(_T_1641) @[Bitwise.scala 103:77]
node _T_1647 = and(_T_1645, _T_1646) @[Bitwise.scala 103:75]
node _T_1648 = or(_T_1643, _T_1647) @[Bitwise.scala 103:39]
io.store_datafn_lo_r <= _T_1648 @[lsu_dccm_ctl.scala 248:29]
node _T_1649 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 249:98]
node _T_1650 = bits(_T_1649, 0, 0) @[lsu_dccm_ctl.scala 249:104]
node _T_1651 = bits(store_data_pre_hi_r, 7, 0) @[lsu_dccm_ctl.scala 249:130]
node _T_1652 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 249:174]
node _T_1653 = bits(_T_1652, 0, 0) @[lsu_dccm_ctl.scala 249:199]
node _T_1654 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 249:223]
node _T_1655 = and(dccm_wren_Q, dccm_wr_bypass_d_m_hi_Q) @[lsu_dccm_ctl.scala 249:256]
node _T_1656 = bits(_T_1655, 0, 0) @[lsu_dccm_ctl.scala 249:283]
node _T_1657 = bits(dccm_wr_data_Q, 7, 0) @[lsu_dccm_ctl.scala 249:305]
node _T_1658 = bits(io.sec_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 249:335]
node _T_1659 = mux(_T_1656, _T_1657, _T_1658) @[lsu_dccm_ctl.scala 249:242]
node _T_1660 = mux(_T_1653, _T_1654, _T_1659) @[lsu_dccm_ctl.scala 249:148]
node _T_1661 = mux(_T_1650, _T_1651, _T_1660) @[lsu_dccm_ctl.scala 249:79]
node _T_1662 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1663 = xor(UInt<8>("h0ff"), _T_1662) @[Bitwise.scala 102:21]
node _T_1664 = shr(_T_1661, 4) @[Bitwise.scala 103:21]
node _T_1665 = and(_T_1664, _T_1663) @[Bitwise.scala 103:31]
node _T_1666 = bits(_T_1661, 3, 0) @[Bitwise.scala 103:46]
node _T_1667 = shl(_T_1666, 4) @[Bitwise.scala 103:65]
node _T_1668 = not(_T_1663) @[Bitwise.scala 103:77]
node _T_1669 = and(_T_1667, _T_1668) @[Bitwise.scala 103:75]
node _T_1670 = or(_T_1665, _T_1669) @[Bitwise.scala 103:39]
node _T_1671 = bits(_T_1663, 5, 0) @[Bitwise.scala 102:28]
node _T_1672 = shl(_T_1671, 2) @[Bitwise.scala 102:47]
node _T_1673 = xor(_T_1663, _T_1672) @[Bitwise.scala 102:21]
node _T_1674 = shr(_T_1670, 2) @[Bitwise.scala 103:21]
node _T_1675 = and(_T_1674, _T_1673) @[Bitwise.scala 103:31]
node _T_1676 = bits(_T_1670, 5, 0) @[Bitwise.scala 103:46]
node _T_1677 = shl(_T_1676, 2) @[Bitwise.scala 103:65]
node _T_1678 = not(_T_1673) @[Bitwise.scala 103:77]
node _T_1679 = and(_T_1677, _T_1678) @[Bitwise.scala 103:75]
node _T_1680 = or(_T_1675, _T_1679) @[Bitwise.scala 103:39]
node _T_1681 = bits(_T_1673, 6, 0) @[Bitwise.scala 102:28]
node _T_1682 = shl(_T_1681, 1) @[Bitwise.scala 102:47]
node _T_1683 = xor(_T_1673, _T_1682) @[Bitwise.scala 102:21]
node _T_1684 = shr(_T_1680, 1) @[Bitwise.scala 103:21]
node _T_1685 = and(_T_1684, _T_1683) @[Bitwise.scala 103:31]
node _T_1686 = bits(_T_1680, 6, 0) @[Bitwise.scala 103:46]
node _T_1687 = shl(_T_1686, 1) @[Bitwise.scala 103:65]
node _T_1688 = not(_T_1683) @[Bitwise.scala 103:77]
node _T_1689 = and(_T_1687, _T_1688) @[Bitwise.scala 103:75]
node _T_1690 = or(_T_1685, _T_1689) @[Bitwise.scala 103:39]
node _T_1691 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 249:98]
node _T_1692 = bits(_T_1691, 0, 0) @[lsu_dccm_ctl.scala 249:104]
node _T_1693 = bits(store_data_pre_hi_r, 15, 8) @[lsu_dccm_ctl.scala 249:130]
node _T_1694 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 249:174]
node _T_1695 = bits(_T_1694, 0, 0) @[lsu_dccm_ctl.scala 249:199]
node _T_1696 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 249:223]
node _T_1697 = and(dccm_wren_Q, dccm_wr_bypass_d_m_hi_Q) @[lsu_dccm_ctl.scala 249:256]
node _T_1698 = bits(_T_1697, 0, 0) @[lsu_dccm_ctl.scala 249:283]
node _T_1699 = bits(dccm_wr_data_Q, 15, 8) @[lsu_dccm_ctl.scala 249:305]
node _T_1700 = bits(io.sec_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 249:335]
node _T_1701 = mux(_T_1698, _T_1699, _T_1700) @[lsu_dccm_ctl.scala 249:242]
node _T_1702 = mux(_T_1695, _T_1696, _T_1701) @[lsu_dccm_ctl.scala 249:148]
node _T_1703 = mux(_T_1692, _T_1693, _T_1702) @[lsu_dccm_ctl.scala 249:79]
node _T_1704 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1705 = xor(UInt<8>("h0ff"), _T_1704) @[Bitwise.scala 102:21]
node _T_1706 = shr(_T_1703, 4) @[Bitwise.scala 103:21]
node _T_1707 = and(_T_1706, _T_1705) @[Bitwise.scala 103:31]
node _T_1708 = bits(_T_1703, 3, 0) @[Bitwise.scala 103:46]
node _T_1709 = shl(_T_1708, 4) @[Bitwise.scala 103:65]
node _T_1710 = not(_T_1705) @[Bitwise.scala 103:77]
node _T_1711 = and(_T_1709, _T_1710) @[Bitwise.scala 103:75]
node _T_1712 = or(_T_1707, _T_1711) @[Bitwise.scala 103:39]
node _T_1713 = bits(_T_1705, 5, 0) @[Bitwise.scala 102:28]
node _T_1714 = shl(_T_1713, 2) @[Bitwise.scala 102:47]
node _T_1715 = xor(_T_1705, _T_1714) @[Bitwise.scala 102:21]
node _T_1716 = shr(_T_1712, 2) @[Bitwise.scala 103:21]
node _T_1717 = and(_T_1716, _T_1715) @[Bitwise.scala 103:31]
node _T_1718 = bits(_T_1712, 5, 0) @[Bitwise.scala 103:46]
node _T_1719 = shl(_T_1718, 2) @[Bitwise.scala 103:65]
node _T_1720 = not(_T_1715) @[Bitwise.scala 103:77]
node _T_1721 = and(_T_1719, _T_1720) @[Bitwise.scala 103:75]
node _T_1722 = or(_T_1717, _T_1721) @[Bitwise.scala 103:39]
node _T_1723 = bits(_T_1715, 6, 0) @[Bitwise.scala 102:28]
node _T_1724 = shl(_T_1723, 1) @[Bitwise.scala 102:47]
node _T_1725 = xor(_T_1715, _T_1724) @[Bitwise.scala 102:21]
node _T_1726 = shr(_T_1722, 1) @[Bitwise.scala 103:21]
node _T_1727 = and(_T_1726, _T_1725) @[Bitwise.scala 103:31]
node _T_1728 = bits(_T_1722, 6, 0) @[Bitwise.scala 103:46]
node _T_1729 = shl(_T_1728, 1) @[Bitwise.scala 103:65]
node _T_1730 = not(_T_1725) @[Bitwise.scala 103:77]
node _T_1731 = and(_T_1729, _T_1730) @[Bitwise.scala 103:75]
node _T_1732 = or(_T_1727, _T_1731) @[Bitwise.scala 103:39]
node _T_1733 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 249:98]
node _T_1734 = bits(_T_1733, 0, 0) @[lsu_dccm_ctl.scala 249:104]
node _T_1735 = bits(store_data_pre_hi_r, 23, 16) @[lsu_dccm_ctl.scala 249:130]
node _T_1736 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 249:174]
node _T_1737 = bits(_T_1736, 0, 0) @[lsu_dccm_ctl.scala 249:199]
node _T_1738 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 249:223]
node _T_1739 = and(dccm_wren_Q, dccm_wr_bypass_d_m_hi_Q) @[lsu_dccm_ctl.scala 249:256]
node _T_1740 = bits(_T_1739, 0, 0) @[lsu_dccm_ctl.scala 249:283]
node _T_1741 = bits(dccm_wr_data_Q, 23, 16) @[lsu_dccm_ctl.scala 249:305]
node _T_1742 = bits(io.sec_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 249:335]
node _T_1743 = mux(_T_1740, _T_1741, _T_1742) @[lsu_dccm_ctl.scala 249:242]
node _T_1744 = mux(_T_1737, _T_1738, _T_1743) @[lsu_dccm_ctl.scala 249:148]
node _T_1745 = mux(_T_1734, _T_1735, _T_1744) @[lsu_dccm_ctl.scala 249:79]
node _T_1746 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1747 = xor(UInt<8>("h0ff"), _T_1746) @[Bitwise.scala 102:21]
node _T_1748 = shr(_T_1745, 4) @[Bitwise.scala 103:21]
node _T_1749 = and(_T_1748, _T_1747) @[Bitwise.scala 103:31]
node _T_1750 = bits(_T_1745, 3, 0) @[Bitwise.scala 103:46]
node _T_1751 = shl(_T_1750, 4) @[Bitwise.scala 103:65]
node _T_1752 = not(_T_1747) @[Bitwise.scala 103:77]
node _T_1753 = and(_T_1751, _T_1752) @[Bitwise.scala 103:75]
node _T_1754 = or(_T_1749, _T_1753) @[Bitwise.scala 103:39]
node _T_1755 = bits(_T_1747, 5, 0) @[Bitwise.scala 102:28]
node _T_1756 = shl(_T_1755, 2) @[Bitwise.scala 102:47]
node _T_1757 = xor(_T_1747, _T_1756) @[Bitwise.scala 102:21]
node _T_1758 = shr(_T_1754, 2) @[Bitwise.scala 103:21]
node _T_1759 = and(_T_1758, _T_1757) @[Bitwise.scala 103:31]
node _T_1760 = bits(_T_1754, 5, 0) @[Bitwise.scala 103:46]
node _T_1761 = shl(_T_1760, 2) @[Bitwise.scala 103:65]
node _T_1762 = not(_T_1757) @[Bitwise.scala 103:77]
node _T_1763 = and(_T_1761, _T_1762) @[Bitwise.scala 103:75]
node _T_1764 = or(_T_1759, _T_1763) @[Bitwise.scala 103:39]
node _T_1765 = bits(_T_1757, 6, 0) @[Bitwise.scala 102:28]
node _T_1766 = shl(_T_1765, 1) @[Bitwise.scala 102:47]
node _T_1767 = xor(_T_1757, _T_1766) @[Bitwise.scala 102:21]
node _T_1768 = shr(_T_1764, 1) @[Bitwise.scala 103:21]
node _T_1769 = and(_T_1768, _T_1767) @[Bitwise.scala 103:31]
node _T_1770 = bits(_T_1764, 6, 0) @[Bitwise.scala 103:46]
node _T_1771 = shl(_T_1770, 1) @[Bitwise.scala 103:65]
node _T_1772 = not(_T_1767) @[Bitwise.scala 103:77]
node _T_1773 = and(_T_1771, _T_1772) @[Bitwise.scala 103:75]
node _T_1774 = or(_T_1769, _T_1773) @[Bitwise.scala 103:39]
node _T_1775 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 249:98]
node _T_1776 = bits(_T_1775, 0, 0) @[lsu_dccm_ctl.scala 249:104]
node _T_1777 = bits(store_data_pre_hi_r, 31, 24) @[lsu_dccm_ctl.scala 249:130]
node _T_1778 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 249:174]
node _T_1779 = bits(_T_1778, 0, 0) @[lsu_dccm_ctl.scala 249:199]
node _T_1780 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 249:223]
node _T_1781 = and(dccm_wren_Q, dccm_wr_bypass_d_m_hi_Q) @[lsu_dccm_ctl.scala 249:256]
node _T_1782 = bits(_T_1781, 0, 0) @[lsu_dccm_ctl.scala 249:283]
node _T_1783 = bits(dccm_wr_data_Q, 31, 24) @[lsu_dccm_ctl.scala 249:305]
node _T_1784 = bits(io.sec_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 249:335]
node _T_1785 = mux(_T_1782, _T_1783, _T_1784) @[lsu_dccm_ctl.scala 249:242]
node _T_1786 = mux(_T_1779, _T_1780, _T_1785) @[lsu_dccm_ctl.scala 249:148]
node _T_1787 = mux(_T_1776, _T_1777, _T_1786) @[lsu_dccm_ctl.scala 249:79]
node _T_1788 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1789 = xor(UInt<8>("h0ff"), _T_1788) @[Bitwise.scala 102:21]
node _T_1790 = shr(_T_1787, 4) @[Bitwise.scala 103:21]
node _T_1791 = and(_T_1790, _T_1789) @[Bitwise.scala 103:31]
node _T_1792 = bits(_T_1787, 3, 0) @[Bitwise.scala 103:46]
node _T_1793 = shl(_T_1792, 4) @[Bitwise.scala 103:65]
node _T_1794 = not(_T_1789) @[Bitwise.scala 103:77]
node _T_1795 = and(_T_1793, _T_1794) @[Bitwise.scala 103:75]
node _T_1796 = or(_T_1791, _T_1795) @[Bitwise.scala 103:39]
node _T_1797 = bits(_T_1789, 5, 0) @[Bitwise.scala 102:28]
node _T_1798 = shl(_T_1797, 2) @[Bitwise.scala 102:47]
node _T_1799 = xor(_T_1789, _T_1798) @[Bitwise.scala 102:21]
node _T_1800 = shr(_T_1796, 2) @[Bitwise.scala 103:21]
node _T_1801 = and(_T_1800, _T_1799) @[Bitwise.scala 103:31]
node _T_1802 = bits(_T_1796, 5, 0) @[Bitwise.scala 103:46]
node _T_1803 = shl(_T_1802, 2) @[Bitwise.scala 103:65]
node _T_1804 = not(_T_1799) @[Bitwise.scala 103:77]
node _T_1805 = and(_T_1803, _T_1804) @[Bitwise.scala 103:75]
node _T_1806 = or(_T_1801, _T_1805) @[Bitwise.scala 103:39]
node _T_1807 = bits(_T_1799, 6, 0) @[Bitwise.scala 102:28]
node _T_1808 = shl(_T_1807, 1) @[Bitwise.scala 102:47]
node _T_1809 = xor(_T_1799, _T_1808) @[Bitwise.scala 102:21]
node _T_1810 = shr(_T_1806, 1) @[Bitwise.scala 103:21]
node _T_1811 = and(_T_1810, _T_1809) @[Bitwise.scala 103:31]
node _T_1812 = bits(_T_1806, 6, 0) @[Bitwise.scala 103:46]
node _T_1813 = shl(_T_1812, 1) @[Bitwise.scala 103:65]
node _T_1814 = not(_T_1809) @[Bitwise.scala 103:77]
node _T_1815 = and(_T_1813, _T_1814) @[Bitwise.scala 103:75]
node _T_1816 = or(_T_1811, _T_1815) @[Bitwise.scala 103:39]
wire _T_1817 : UInt<8>[4] @[lsu_dccm_ctl.scala 249:63]
_T_1817[0] <= _T_1690 @[lsu_dccm_ctl.scala 249:63]
_T_1817[1] <= _T_1732 @[lsu_dccm_ctl.scala 249:63]
_T_1817[2] <= _T_1774 @[lsu_dccm_ctl.scala 249:63]
_T_1817[3] <= _T_1816 @[lsu_dccm_ctl.scala 249:63]
node _T_1818 = cat(_T_1817[2], _T_1817[3]) @[Cat.scala 29:58]
node _T_1819 = cat(_T_1817[0], _T_1817[1]) @[Cat.scala 29:58]
node _T_1820 = cat(_T_1819, _T_1818) @[Cat.scala 29:58]
node _T_1821 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1822 = xor(UInt<32>("h0ffffffff"), _T_1821) @[Bitwise.scala 102:21]
node _T_1823 = shr(_T_1820, 16) @[Bitwise.scala 103:21]
node _T_1824 = and(_T_1823, _T_1822) @[Bitwise.scala 103:31]
node _T_1825 = bits(_T_1820, 15, 0) @[Bitwise.scala 103:46]
node _T_1826 = shl(_T_1825, 16) @[Bitwise.scala 103:65]
node _T_1827 = not(_T_1822) @[Bitwise.scala 103:77]
node _T_1828 = and(_T_1826, _T_1827) @[Bitwise.scala 103:75]
node _T_1829 = or(_T_1824, _T_1828) @[Bitwise.scala 103:39]
node _T_1830 = bits(_T_1822, 23, 0) @[Bitwise.scala 102:28]
node _T_1831 = shl(_T_1830, 8) @[Bitwise.scala 102:47]
node _T_1832 = xor(_T_1822, _T_1831) @[Bitwise.scala 102:21]
node _T_1833 = shr(_T_1829, 8) @[Bitwise.scala 103:21]
node _T_1834 = and(_T_1833, _T_1832) @[Bitwise.scala 103:31]
node _T_1835 = bits(_T_1829, 23, 0) @[Bitwise.scala 103:46]
node _T_1836 = shl(_T_1835, 8) @[Bitwise.scala 103:65]
node _T_1837 = not(_T_1832) @[Bitwise.scala 103:77]
node _T_1838 = and(_T_1836, _T_1837) @[Bitwise.scala 103:75]
node _T_1839 = or(_T_1834, _T_1838) @[Bitwise.scala 103:39]
node _T_1840 = bits(_T_1832, 27, 0) @[Bitwise.scala 102:28]
node _T_1841 = shl(_T_1840, 4) @[Bitwise.scala 102:47]
node _T_1842 = xor(_T_1832, _T_1841) @[Bitwise.scala 102:21]
node _T_1843 = shr(_T_1839, 4) @[Bitwise.scala 103:21]
node _T_1844 = and(_T_1843, _T_1842) @[Bitwise.scala 103:31]
node _T_1845 = bits(_T_1839, 27, 0) @[Bitwise.scala 103:46]
node _T_1846 = shl(_T_1845, 4) @[Bitwise.scala 103:65]
node _T_1847 = not(_T_1842) @[Bitwise.scala 103:77]
node _T_1848 = and(_T_1846, _T_1847) @[Bitwise.scala 103:75]
node _T_1849 = or(_T_1844, _T_1848) @[Bitwise.scala 103:39]
node _T_1850 = bits(_T_1842, 29, 0) @[Bitwise.scala 102:28]
node _T_1851 = shl(_T_1850, 2) @[Bitwise.scala 102:47]
node _T_1852 = xor(_T_1842, _T_1851) @[Bitwise.scala 102:21]
node _T_1853 = shr(_T_1849, 2) @[Bitwise.scala 103:21]
node _T_1854 = and(_T_1853, _T_1852) @[Bitwise.scala 103:31]
node _T_1855 = bits(_T_1849, 29, 0) @[Bitwise.scala 103:46]
node _T_1856 = shl(_T_1855, 2) @[Bitwise.scala 103:65]
node _T_1857 = not(_T_1852) @[Bitwise.scala 103:77]
node _T_1858 = and(_T_1856, _T_1857) @[Bitwise.scala 103:75]
node _T_1859 = or(_T_1854, _T_1858) @[Bitwise.scala 103:39]
node _T_1860 = bits(_T_1852, 30, 0) @[Bitwise.scala 102:28]
node _T_1861 = shl(_T_1860, 1) @[Bitwise.scala 102:47]
node _T_1862 = xor(_T_1852, _T_1861) @[Bitwise.scala 102:21]
node _T_1863 = shr(_T_1859, 1) @[Bitwise.scala 103:21]
node _T_1864 = and(_T_1863, _T_1862) @[Bitwise.scala 103:31]
node _T_1865 = bits(_T_1859, 30, 0) @[Bitwise.scala 103:46]
node _T_1866 = shl(_T_1865, 1) @[Bitwise.scala 103:65]
node _T_1867 = not(_T_1862) @[Bitwise.scala 103:77]
node _T_1868 = and(_T_1866, _T_1867) @[Bitwise.scala 103:75]
node _T_1869 = or(_T_1864, _T_1868) @[Bitwise.scala 103:39]
io.store_datafn_hi_r <= _T_1869 @[lsu_dccm_ctl.scala 249:29]
reg _T_1870 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 250:69]
_T_1870 <= io.lsu_stbuf_commit_any @[lsu_dccm_ctl.scala 250:69]
dccm_wren_Q <= _T_1870 @[lsu_dccm_ctl.scala 250:29]
node _T_1871 = or(io.lsu_stbuf_commit_any, io.clk_override) @[lsu_dccm_ctl.scala 251:82]
node _T_1872 = bits(_T_1871, 0, 0) @[lsu_dccm_ctl.scala 251:101]
node _T_1873 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 251:127]
inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23]
rvclkhdr_7.clock <= clock
rvclkhdr_7.reset <= reset
rvclkhdr_7.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_7.io.en <= _T_1872 @[lib.scala 393:17]
rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1874 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1872 : @[Reg.scala 28:19]
_T_1874 <= io.stbuf_data_any @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
dccm_wr_data_Q <= _T_1874 @[lsu_dccm_ctl.scala 251:29]
reg _T_1875 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 252:69]
_T_1875 <= dccm_wr_bypass_d_m_lo @[lsu_dccm_ctl.scala 252:69]
dccm_wr_bypass_d_m_lo_Q <= _T_1875 @[lsu_dccm_ctl.scala 252:29]
reg _T_1876 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 253:69]
_T_1876 <= dccm_wr_bypass_d_m_hi @[lsu_dccm_ctl.scala 253:69]
dccm_wr_bypass_d_m_hi_Q <= _T_1876 @[lsu_dccm_ctl.scala 253:29]
reg _T_1877 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 254:72]
_T_1877 <= io.store_data_m @[lsu_dccm_ctl.scala 254:72]
io.store_data_r <= _T_1877 @[lsu_dccm_ctl.scala 254:29]
node _T_1878 = bits(io.dccm.rd_data_lo, 31, 0) @[lsu_dccm_ctl.scala 267:48]
io.dccm_rdata_lo_m <= _T_1878 @[lsu_dccm_ctl.scala 267:27]
node _T_1879 = bits(io.dccm.rd_data_hi, 31, 0) @[lsu_dccm_ctl.scala 268:48]
io.dccm_rdata_hi_m <= _T_1879 @[lsu_dccm_ctl.scala 268:27]
node _T_1880 = bits(io.dccm.rd_data_lo, 38, 32) @[lsu_dccm_ctl.scala 269:48]
io.dccm_data_ecc_lo_m <= _T_1880 @[lsu_dccm_ctl.scala 269:27]
node _T_1881 = bits(io.dccm.rd_data_hi, 38, 32) @[lsu_dccm_ctl.scala 270:48]
io.dccm_data_ecc_hi_m <= _T_1881 @[lsu_dccm_ctl.scala 270:27]
node _T_1882 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_dccm_ctl.scala 272:58]
node _T_1883 = and(_T_1882, io.addr_in_pic_r) @[lsu_dccm_ctl.scala 272:84]
node _T_1884 = and(_T_1883, io.lsu_commit_r) @[lsu_dccm_ctl.scala 272:103]
node _T_1885 = or(_T_1884, io.dma_pic_wen) @[lsu_dccm_ctl.scala 272:122]
io.lsu_pic.picm_wren <= _T_1885 @[lsu_dccm_ctl.scala 272:35]
node _T_1886 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[lsu_dccm_ctl.scala 273:58]
node _T_1887 = and(_T_1886, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 273:84]
io.lsu_pic.picm_rden <= _T_1887 @[lsu_dccm_ctl.scala 273:35]
node _T_1888 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 274:58]
node _T_1889 = and(_T_1888, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 274:84]
io.lsu_pic.picm_mken <= _T_1889 @[lsu_dccm_ctl.scala 274:35]
node _T_1890 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_1891 = bits(io.lsu_addr_d, 14, 0) @[lsu_dccm_ctl.scala 275:103]
node _T_1892 = cat(_T_1890, _T_1891) @[Cat.scala 29:58]
node _T_1893 = or(UInt<32>("h0f00c0000"), _T_1892) @[lsu_dccm_ctl.scala 275:62]
io.lsu_pic.picm_rdaddr <= _T_1893 @[lsu_dccm_ctl.scala 275:35]
node _T_1894 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_1895 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 276:109]
node _T_1896 = bits(io.dma_dccm_ctl.dma_mem_addr, 14, 0) @[lsu_dccm_ctl.scala 276:144]
node _T_1897 = bits(io.lsu_addr_r, 14, 0) @[lsu_dccm_ctl.scala 276:172]
node _T_1898 = mux(_T_1895, _T_1896, _T_1897) @[lsu_dccm_ctl.scala 276:93]
node _T_1899 = cat(_T_1894, _T_1898) @[Cat.scala 29:58]
node _T_1900 = or(UInt<32>("h0f00c0000"), _T_1899) @[lsu_dccm_ctl.scala 276:62]
io.lsu_pic.picm_wraddr <= _T_1900 @[lsu_dccm_ctl.scala 276:35]
node _T_1901 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 277:44]
io.picm_mask_data_m <= _T_1901 @[lsu_dccm_ctl.scala 277:27]
node _T_1902 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 278:57]
node _T_1903 = bits(io.dma_dccm_ctl.dma_mem_wdata, 31, 0) @[lsu_dccm_ctl.scala 278:93]
node _T_1904 = bits(io.store_datafn_lo_r, 31, 0) @[lsu_dccm_ctl.scala 278:120]
node _T_1905 = mux(_T_1902, _T_1903, _T_1904) @[lsu_dccm_ctl.scala 278:41]
io.lsu_pic.picm_wr_data <= _T_1905 @[lsu_dccm_ctl.scala 278:35]
reg _T_1906 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 280:61]
_T_1906 <= lsu_dccm_rden_d @[lsu_dccm_ctl.scala 280:61]
io.lsu_dccm_rden_m <= _T_1906 @[lsu_dccm_ctl.scala 280:24]
reg _T_1907 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 281:61]
_T_1907 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 281:61]
io.lsu_dccm_rden_r <= _T_1907 @[lsu_dccm_ctl.scala 281:24]
reg _T_1908 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 282:73]
_T_1908 <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 282:73]
lsu_double_ecc_error_r_ff <= _T_1908 @[lsu_dccm_ctl.scala 282:33]
reg _T_1909 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 283:73]
_T_1909 <= ld_single_ecc_error_hi_r_ns @[lsu_dccm_ctl.scala 283:73]
ld_single_ecc_error_hi_r_ff <= _T_1909 @[lsu_dccm_ctl.scala 283:33]
reg _T_1910 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 284:73]
_T_1910 <= ld_single_ecc_error_lo_r_ns @[lsu_dccm_ctl.scala 284:73]
ld_single_ecc_error_lo_r_ff <= _T_1910 @[lsu_dccm_ctl.scala 284:33]
node _T_1911 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 285:48]
node _T_1912 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 285:90]
node _T_1913 = bits(_T_1912, 0, 0) @[lib.scala 8:44]
node _T_1914 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 285:128]
inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23]
rvclkhdr_8.clock <= clock
rvclkhdr_8.reset <= reset
rvclkhdr_8.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_8.io.en <= _T_1913 @[lib.scala 393:17]
rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1915 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1913 : @[Reg.scala 28:19]
_T_1915 <= _T_1911 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
ld_sec_addr_hi_r_ff <= _T_1915 @[lsu_dccm_ctl.scala 285:25]
node _T_1916 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 286:48]
node _T_1917 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 286:90]
node _T_1918 = bits(_T_1917, 0, 0) @[lib.scala 8:44]
node _T_1919 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 286:128]
inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23]
rvclkhdr_9.clock <= clock
rvclkhdr_9.reset <= reset
rvclkhdr_9.io.clk <= clock @[lib.scala 392:18]
rvclkhdr_9.io.en <= _T_1918 @[lib.scala 393:17]
rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24]
reg _T_1920 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_1918 : @[Reg.scala 28:19]
_T_1920 <= _T_1916 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
ld_sec_addr_lo_r_ff <= _T_1920 @[lsu_dccm_ctl.scala 286:25]