diff --git a/settings.json b/settings.json index 686a2d7..a15ce8b 100644 --- a/settings.json +++ b/settings.json @@ -132,4 +132,6 @@ "terminal.integrated.defaultProfile.linux": "zsh", "editor.bracketPairColorization.enabled": true, "systemverilog.formatCommand": "verible-verilog-format --column_limit 80", + "diffEditor.maxComputationTime": 0, + "diffEditor.ignoreTrimWhitespace": false, } \ No newline at end of file