diff --git a/nitefury_pcie_xdma_ddr/.gitignore b/nitefury_pcie_xdma_ddr/.gitignore
new file mode 100644
index 0000000..b195813
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/.gitignore
@@ -0,0 +1 @@
+nitefury_xdma_ddr
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/LICENSE b/nitefury_pcie_xdma_ddr/LICENSE
new file mode 100644
index 0000000..9d8bcd7
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/LICENSE
@@ -0,0 +1,21 @@
+MIT License
+
+Copyright (c) 2022 FPGANinjas
+
+Permission is hereby granted, free of charge, to any person obtaining a copy
+of this software and associated documentation files (the "Software"), to deal
+in the Software without restriction, including without limitation the rights
+to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
+copies of the Software, and to permit persons to whom the Software is
+furnished to do so, subject to the following conditions:
+
+The above copyright notice and this permission notice shall be included in all
+copies or substantial portions of the Software.
+
+THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
+FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
+AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
+LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
+OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
+SOFTWARE.
diff --git a/nitefury_pcie_xdma_ddr/README.md b/nitefury_pcie_xdma_ddr/README.md
new file mode 100644
index 0000000..537b507
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/README.md
@@ -0,0 +1,4 @@
+# nitefury_pcie_xdma_ddr
+Interface Xilinx XDMA PCIe with DDR3 using MIG-IP on Artix-7 FPGA using Nitefury dev board
+
+Follow the step by step guide on our website:- https://fpganinjas.io/xdma-pcie-vivado/
diff --git a/nitefury_pcie_xdma_ddr/dma_test.py b/nitefury_pcie_xdma_ddr/dma_test.py
new file mode 100644
index 0000000..70920a7
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/dma_test.py
@@ -0,0 +1,52 @@
+#!/usr/bin/env python3
+import os
+import time
+
+##############################################
+def main():
+
+ # Generate some data
+ TRANSFER_SIZE = 0x40000000
+ tx_data = bytearray(os.urandom(TRANSFER_SIZE))
+
+ # Open files
+ fd_h2c = os.open("/dev/xdma0_h2c_0", os.O_WRONLY)
+ fd_c2h = os.open("/dev/xdma0_c2h_0", os.O_RDONLY)
+
+ # Send data to FPGA
+ start = time.time()
+ os.pwrite(fd_h2c, tx_data, 0x80000000);
+ end = time.time()
+ duration = end-start;
+
+ # Print time
+ BPS = TRANSFER_SIZE / (duration);
+ print("Sent in " + str((duration)*1000.0) + " milliseconds (" + str(BPS/1000000) + " MBPS)")
+
+ # Receive data from FPGA
+ start = time.time()
+ rx_data = os.pread(fd_c2h, TRANSFER_SIZE, 0x80000000);
+ end = time.time()
+ duration = end-start;
+
+ # Print time
+ BPS = TRANSFER_SIZE / (duration);
+ print("Received in " + str((duration)*1000.0) + " milliseconds (" + str(BPS/1000000) + " MBPS)")
+
+ # Make sure data matches
+ if tx_data != rx_data:
+ print ("Whoops")
+ else:
+ print ("OK")
+
+ # done
+ os.close(fd_h2c)
+ os.close(fd_c2h)
+
+
+##############################################
+
+if __name__ == '__main__':
+ main()
+
+
diff --git a/nitefury_pcie_xdma_ddr/nitefury_xdma_ddr.zip b/nitefury_pcie_xdma_ddr/nitefury_xdma_ddr.zip
new file mode 100644
index 0000000..d74daeb
Binary files /dev/null and b/nitefury_pcie_xdma_ddr/nitefury_xdma_ddr.zip differ
diff --git a/nitefury_pcie_xdma_ddr/project/.gitignore b/nitefury_pcie_xdma_ddr/project/.gitignore
new file mode 100644
index 0000000..c795b05
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/.gitignore
@@ -0,0 +1 @@
+build
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/Makefile b/nitefury_pcie_xdma_ddr/project/Makefile
new file mode 100644
index 0000000..1313f3c
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/Makefile
@@ -0,0 +1,7 @@
+
+
+impl:
+ cd build && vivado -mode batch -notrace -quiet -source ../test.tcl
+
+prog:
+ cd build && vivado -mode batch -notrace -quiet -source ../xdma480t_prog.tcl
diff --git a/nitefury_pcie_xdma_ddr/project/normal.xdc b/nitefury_pcie_xdma_ddr/project/normal.xdc
new file mode 100644
index 0000000..9762443
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/normal.xdc
@@ -0,0 +1,20 @@
+
+set_property -dict { PACKAGE_PIN P30 IOSTANDARD LVCMOS18 } [get_ports { user_lnk_up_0 }];
+
+# set_property -dict { PACKAGE_PIN M30 IOSTANDARD LVCMOS18 } [get_ports { o_led_blink }];
+
+set_property -dict { PACKAGE_PIN Y26 IOSTANDARD LVCMOS33 PULLUP true } [get_ports {pci_reset}]
+
+
+# set_property PACKAGE_PIN J8 [get_ports { SYS_CLK_0_clk_p }];
+
+
+set_property PACKAGE_PIN J8 [get_ports { pcie_clkin_clk_p }];
+create_clock -name sys_clk -period 10 [get_ports pcie_clkin_clk_p]
+
+set_property PACKAGE_PIN F2 [get_ports { pcie_mgt_0_txp[0] }];
+
+set_property BITSTREAM.CONFIG.UNUSEDPIN Pullup [current_design]
+
+
+
diff --git a/nitefury_pcie_xdma_ddr/project/pcie_xdma_ddr.tcl b/nitefury_pcie_xdma_ddr/project/pcie_xdma_ddr.tcl
new file mode 100644
index 0000000..2770bf1
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/pcie_xdma_ddr.tcl
@@ -0,0 +1,96 @@
+
+
+# Project configuration -------------------------------------------------------
+
+create_project -force xdma480t
+set_property SOURCE_MGMT_MODE None [current_project]
+set_property STEPS.SYNTH_DESIGN.ARGS.ASSERT true [get_runs synth_1]
+set_property PART xc7k480tffg1156-2L [current_project]
+
+# Files inclusion
+
+# add_file ../sources/Top_wrapper.v
+
+
+
+# set_property GENERATE_SYNTH_CHECKPOINT true [get_files ../sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci]
+generate_target all [get_files ../sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci]
+
+# add_file ../sources/Top.bd
+
+# generate_target all [get_files ../sources/Top.bd]
+
+
+# read_ip ../sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci
+# read_ip ../sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci
+# read_ip ../sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci
+# read_ip ../sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci
+# read_ip ../sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci
+# read_ip ../sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci
+# read_ip ../sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci
+# read_ip ../sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci
+# read_ip ../sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci
+# read_ip ../sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci
+# read_ip ../sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci
+# read_ip ../sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci
+# read_ip ../sources/ip/Top_xbar_0/Top_xbar_0.xci
+# read_ip ../sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci
+# read_ip ../sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci
+# read_ip ../sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci
+# read_ip ../sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci
+# read_ip ../sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci
+# read_ip ../sources/ip/Top_auto_us_0/Top_auto_us_0.xci
+# read_ip ../sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci
+# read_ip ../sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci
+
+
+
+# generate_target all
+
+# Constraints inclusion
+
+add_file -fileset constrs_1 ../normal.xdc
+# set_property TARGET_CONSTRS_FILE /home/colin/develop/abstractaccelerator/fpga/xc7z010/timing.xdc [current_fileset -constrset]
+
+# Top-level specification
+set_property TOP Top_wrapper [current_fileset]
+
+# Verilog Includes
+# set_property INCLUDE_DIRS { /home/colin/develop/abstractaccelerator/fpga/xc7z010 } [current_fileset]
+
+# Verilog Parameters / VHDL Generics
+set_property GENERIC { FREQ=100000000 SECS=1 } -objects [get_filesets sources_1]
+
+
+close_project
+
+# Design flow -----------------------------------------------------------------
+
+open_project xdma480t
+
+# Synthesis
+
+# PRESYNTH
+# set_property DESIGN_MODE GateLvl [current_fileset]
+reset_run synth_1
+launch_runs synth_1
+wait_on_run synth_1
+#report_property [get_runs synth_1]
+if { [get_property STATUS [get_runs synth_1]] ne "synth_design Complete!" } { exit 1 }
+
+# Place and Route
+
+reset_run impl_1
+launch_runs impl_1
+wait_on_run impl_1
+#report_property [get_runs impl_1]
+if { [get_property STATUS [get_runs impl_1]] ne "route_design Complete!" } { exit 1 }
+
+# Bitstream generation
+
+open_run impl_1
+write_bitstream -force xdma480t
+write_debug_probes -force -quiet xdma480t.ltx
+
+close_project
+
diff --git a/nitefury_pcie_xdma_ddr/project/sources/Top.bd b/nitefury_pcie_xdma_ddr/project/sources/Top.bd
new file mode 100644
index 0000000..6f0a9b0
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/Top.bd
@@ -0,0 +1,1889 @@
+{
+ "design": {
+ "design_info": {
+ "boundary_crc": "0x8F1AA258A84BB33F",
+ "device": "xc7k480tffg1156-2L",
+ "gen_directory": "../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top",
+ "name": "Top",
+ "rev_ctrl_bd_flag": "RevCtrlBdOff",
+ "synth_flow_mode": "Hierarchical",
+ "tool_version": "2022.2",
+ "validated": "true"
+ },
+ "design_tree": {
+ "xdma_1": "",
+ "axi_interconnect_0": {
+ "xbar": "",
+ "s00_couplers": {
+ "auto_us": ""
+ },
+ "m00_couplers": {
+ "auto_cc": "",
+ "auto_ds": "",
+ "auto_pc": ""
+ },
+ "m01_couplers": {
+ "auto_cc": ""
+ },
+ "m02_couplers": {
+ "auto_cc": "",
+ "auto_ds": "",
+ "auto_pc": ""
+ },
+ "m03_couplers": {
+ "auto_cc": ""
+ },
+ "m04_couplers": {
+ "auto_ds": ""
+ }
+ },
+ "mig_7series_1": "",
+ "util_vector_logic_1": "",
+ "xlconstant_0": "",
+ "xlconstant_2": "",
+ "util_ds_buf_0": "",
+ "util_vector_logic_2": "",
+ "axi_bram_ctrl_0": "",
+ "blk_mem_gen_0": ""
+ },
+ "interface_ports": {
+ "pcie_clkin": {
+ "mode": "Slave",
+ "vlnv_bus_definition": "xilinx.com:interface:diff_clock:1.0",
+ "vlnv": "xilinx.com:interface:diff_clock_rtl:1.0",
+ "parameters": {
+ "CAN_DEBUG": {
+ "value": "false",
+ "value_src": "default"
+ },
+ "FREQ_HZ": {
+ "value": "100000000"
+ }
+ },
+ "port_maps": {
+ "CLK_P": {
+ "physical_name": "pcie_clkin_clk_p",
+ "direction": "I",
+ "left": "0",
+ "right": "0"
+ },
+ "CLK_N": {
+ "physical_name": "pcie_clkin_clk_n",
+ "direction": "I",
+ "left": "0",
+ "right": "0"
+ }
+ }
+ },
+ "pcie_mgt_0": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:pcie_7x_mgt:1.0",
+ "vlnv": "xilinx.com:interface:pcie_7x_mgt_rtl:1.0",
+ "port_maps": {
+ "rxn": {
+ "physical_name": "pcie_mgt_0_rxn",
+ "direction": "I",
+ "left": "0",
+ "right": "0"
+ },
+ "rxp": {
+ "physical_name": "pcie_mgt_0_rxp",
+ "direction": "I",
+ "left": "0",
+ "right": "0"
+ },
+ "txn": {
+ "physical_name": "pcie_mgt_0_txn",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ },
+ "txp": {
+ "physical_name": "pcie_mgt_0_txp",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ }
+ }
+ },
+ "C0_DDR3_0": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:ddrx:1.0",
+ "vlnv": "xilinx.com:interface:ddrx_rtl:1.0",
+ "parameters": {
+ "AXI_ARBITRATION_SCHEME": {
+ "value": "TDM",
+ "value_src": "default"
+ },
+ "BURST_LENGTH": {
+ "value": "8",
+ "value_src": "default"
+ },
+ "CAN_DEBUG": {
+ "value": "false",
+ "value_src": "default"
+ },
+ "CAS_LATENCY": {
+ "value": "11",
+ "value_src": "default"
+ },
+ "CAS_WRITE_LATENCY": {
+ "value": "11",
+ "value_src": "default"
+ },
+ "CS_ENABLED": {
+ "value": "true",
+ "value_src": "default"
+ },
+ "DATA_MASK_ENABLED": {
+ "value": "true",
+ "value_src": "default"
+ },
+ "DATA_WIDTH": {
+ "value": "8",
+ "value_src": "default"
+ },
+ "MEMORY_TYPE": {
+ "value": "COMPONENTS",
+ "value_src": "default"
+ },
+ "MEM_ADDR_MAP": {
+ "value": "ROW_COLUMN_BANK",
+ "value_src": "default"
+ },
+ "SLOT": {
+ "value": "Single",
+ "value_src": "default"
+ },
+ "TIMEPERIOD_PS": {
+ "value": "1250",
+ "value_src": "default"
+ }
+ },
+ "port_maps": {
+ "DQ": {
+ "physical_name": "C0_DDR3_0_dq",
+ "direction": "IO",
+ "left": "71",
+ "right": "0"
+ },
+ "DQS_P": {
+ "physical_name": "C0_DDR3_0_dqs_p",
+ "direction": "IO",
+ "left": "8",
+ "right": "0"
+ },
+ "DQS_N": {
+ "physical_name": "C0_DDR3_0_dqs_n",
+ "direction": "IO",
+ "left": "8",
+ "right": "0"
+ },
+ "ADDR": {
+ "physical_name": "C0_DDR3_0_addr",
+ "direction": "O",
+ "left": "14",
+ "right": "0"
+ },
+ "BA": {
+ "physical_name": "C0_DDR3_0_ba",
+ "direction": "O",
+ "left": "2",
+ "right": "0"
+ },
+ "RAS_N": {
+ "physical_name": "C0_DDR3_0_ras_n",
+ "direction": "O"
+ },
+ "CAS_N": {
+ "physical_name": "C0_DDR3_0_cas_n",
+ "direction": "O"
+ },
+ "WE_N": {
+ "physical_name": "C0_DDR3_0_we_n",
+ "direction": "O"
+ },
+ "RESET_N": {
+ "physical_name": "C0_DDR3_0_reset_n",
+ "direction": "O"
+ },
+ "CK_P": {
+ "physical_name": "C0_DDR3_0_ck_p",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ },
+ "CK_N": {
+ "physical_name": "C0_DDR3_0_ck_n",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ },
+ "CKE": {
+ "physical_name": "C0_DDR3_0_cke",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ },
+ "CS_N": {
+ "physical_name": "C0_DDR3_0_cs_n",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ },
+ "ODT": {
+ "physical_name": "C0_DDR3_0_odt",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ }
+ }
+ },
+ "C1_DDR3_0": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:ddrx:1.0",
+ "vlnv": "xilinx.com:interface:ddrx_rtl:1.0",
+ "parameters": {
+ "AXI_ARBITRATION_SCHEME": {
+ "value": "TDM",
+ "value_src": "default"
+ },
+ "BURST_LENGTH": {
+ "value": "8",
+ "value_src": "default"
+ },
+ "CAN_DEBUG": {
+ "value": "false",
+ "value_src": "default"
+ },
+ "CAS_LATENCY": {
+ "value": "11",
+ "value_src": "default"
+ },
+ "CAS_WRITE_LATENCY": {
+ "value": "11",
+ "value_src": "default"
+ },
+ "CS_ENABLED": {
+ "value": "true",
+ "value_src": "default"
+ },
+ "DATA_MASK_ENABLED": {
+ "value": "true",
+ "value_src": "default"
+ },
+ "DATA_WIDTH": {
+ "value": "8",
+ "value_src": "default"
+ },
+ "MEMORY_TYPE": {
+ "value": "COMPONENTS",
+ "value_src": "default"
+ },
+ "MEM_ADDR_MAP": {
+ "value": "ROW_COLUMN_BANK",
+ "value_src": "default"
+ },
+ "SLOT": {
+ "value": "Single",
+ "value_src": "default"
+ },
+ "TIMEPERIOD_PS": {
+ "value": "1250",
+ "value_src": "default"
+ }
+ },
+ "port_maps": {
+ "DQ": {
+ "physical_name": "C1_DDR3_0_dq",
+ "direction": "IO",
+ "left": "71",
+ "right": "0"
+ },
+ "DQS_P": {
+ "physical_name": "C1_DDR3_0_dqs_p",
+ "direction": "IO",
+ "left": "8",
+ "right": "0"
+ },
+ "DQS_N": {
+ "physical_name": "C1_DDR3_0_dqs_n",
+ "direction": "IO",
+ "left": "8",
+ "right": "0"
+ },
+ "ADDR": {
+ "physical_name": "C1_DDR3_0_addr",
+ "direction": "O",
+ "left": "14",
+ "right": "0"
+ },
+ "BA": {
+ "physical_name": "C1_DDR3_0_ba",
+ "direction": "O",
+ "left": "2",
+ "right": "0"
+ },
+ "RAS_N": {
+ "physical_name": "C1_DDR3_0_ras_n",
+ "direction": "O"
+ },
+ "CAS_N": {
+ "physical_name": "C1_DDR3_0_cas_n",
+ "direction": "O"
+ },
+ "WE_N": {
+ "physical_name": "C1_DDR3_0_we_n",
+ "direction": "O"
+ },
+ "RESET_N": {
+ "physical_name": "C1_DDR3_0_reset_n",
+ "direction": "O"
+ },
+ "CK_P": {
+ "physical_name": "C1_DDR3_0_ck_p",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ },
+ "CK_N": {
+ "physical_name": "C1_DDR3_0_ck_n",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ },
+ "CKE": {
+ "physical_name": "C1_DDR3_0_cke",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ },
+ "CS_N": {
+ "physical_name": "C1_DDR3_0_cs_n",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ },
+ "ODT": {
+ "physical_name": "C1_DDR3_0_odt",
+ "direction": "O",
+ "left": "0",
+ "right": "0"
+ }
+ }
+ },
+ "C0_SYS_CLK_0": {
+ "mode": "Slave",
+ "vlnv_bus_definition": "xilinx.com:interface:diff_clock:1.0",
+ "vlnv": "xilinx.com:interface:diff_clock_rtl:1.0",
+ "parameters": {
+ "CAN_DEBUG": {
+ "value": "false",
+ "value_src": "default"
+ },
+ "FREQ_HZ": {
+ "value": "100000000",
+ "value_src": "default"
+ }
+ },
+ "port_maps": {
+ "CLK_P": {
+ "physical_name": "C0_SYS_CLK_0_clk_p",
+ "direction": "I"
+ },
+ "CLK_N": {
+ "physical_name": "C0_SYS_CLK_0_clk_n",
+ "direction": "I"
+ }
+ }
+ },
+ "C1_SYS_CLK_0": {
+ "mode": "Slave",
+ "vlnv_bus_definition": "xilinx.com:interface:diff_clock:1.0",
+ "vlnv": "xilinx.com:interface:diff_clock_rtl:1.0",
+ "parameters": {
+ "CAN_DEBUG": {
+ "value": "false",
+ "value_src": "default"
+ },
+ "FREQ_HZ": {
+ "value": "100000000",
+ "value_src": "default"
+ }
+ },
+ "port_maps": {
+ "CLK_P": {
+ "physical_name": "C1_SYS_CLK_0_clk_p",
+ "direction": "I"
+ },
+ "CLK_N": {
+ "physical_name": "C1_SYS_CLK_0_clk_n",
+ "direction": "I"
+ }
+ }
+ }
+ },
+ "ports": {
+ "pci_reset": {
+ "type": "rst",
+ "direction": "I",
+ "parameters": {
+ "INSERT_VIP": {
+ "value": "0",
+ "value_src": "default"
+ },
+ "POLARITY": {
+ "value": "ACTIVE_LOW"
+ }
+ }
+ },
+ "user_lnk_up_0": {
+ "direction": "O"
+ }
+ },
+ "components": {
+ "xdma_1": {
+ "vlnv": "xilinx.com:ip:xdma:4.1",
+ "xci_name": "Top_xdma_1_0",
+ "xci_path": "ip/Top_xdma_1_0/Top_xdma_1_0.xci",
+ "inst_hier_path": "xdma_1",
+ "parameters": {
+ "pl_link_cap_max_link_speed": {
+ "value": "5.0_GT/s"
+ }
+ },
+ "interface_ports": {
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Master",
+ "address_space_ref": "M_AXI",
+ "base_address": {
+ "minimum": "0x00000000",
+ "maximum": "0xFFFFFFFFFFFFFFFF",
+ "width": "64"
+ }
+ }
+ },
+ "addressing": {
+ "address_spaces": {
+ "M_AXI": {
+ "range": "16E",
+ "width": "64"
+ }
+ }
+ }
+ },
+ "axi_interconnect_0": {
+ "vlnv": "xilinx.com:ip:axi_interconnect:2.1",
+ "xci_path": "ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci",
+ "inst_hier_path": "axi_interconnect_0",
+ "xci_name": "Top_axi_interconnect_0_0",
+ "parameters": {
+ "NUM_MI": {
+ "value": "5"
+ },
+ "NUM_SI": {
+ "value": "1"
+ }
+ },
+ "interface_ports": {
+ "S00_AXI": {
+ "mode": "Slave",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "M00_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "M01_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "M02_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "M03_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "M04_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ }
+ },
+ "ports": {
+ "ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_RESET": {
+ "value": "ARESETN"
+ }
+ }
+ },
+ "ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ },
+ "S00_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "S00_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "S00_ARESETN"
+ }
+ }
+ },
+ "S00_ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ },
+ "M00_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M00_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M00_ARESETN"
+ }
+ }
+ },
+ "M00_ARESETN": {
+ "type": "rst",
+ "direction": "I",
+ "left": "7",
+ "right": "0"
+ },
+ "M01_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M01_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M01_ARESETN"
+ }
+ }
+ },
+ "M01_ARESETN": {
+ "type": "rst",
+ "direction": "I",
+ "left": "7",
+ "right": "0"
+ },
+ "M02_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M02_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M02_ARESETN"
+ }
+ }
+ },
+ "M02_ARESETN": {
+ "type": "rst",
+ "direction": "I",
+ "left": "7",
+ "right": "0"
+ },
+ "M03_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M03_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M03_ARESETN"
+ }
+ }
+ },
+ "M03_ARESETN": {
+ "type": "rst",
+ "direction": "I",
+ "left": "7",
+ "right": "0"
+ },
+ "M04_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M04_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M04_ARESETN"
+ }
+ }
+ },
+ "M04_ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ }
+ },
+ "components": {
+ "xbar": {
+ "vlnv": "xilinx.com:ip:axi_crossbar:2.1",
+ "xci_name": "Top_xbar_0",
+ "xci_path": "ip/Top_xbar_0/Top_xbar_0.xci",
+ "inst_hier_path": "axi_interconnect_0/xbar",
+ "parameters": {
+ "NUM_MI": {
+ "value": "5"
+ },
+ "NUM_SI": {
+ "value": "1"
+ },
+ "STRATEGY": {
+ "value": "0"
+ }
+ },
+ "interface_ports": {
+ "S00_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M00_AXI",
+ "M01_AXI",
+ "M02_AXI",
+ "M03_AXI",
+ "M04_AXI"
+ ]
+ }
+ }
+ },
+ "s00_couplers": {
+ "interface_ports": {
+ "M_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "S_AXI": {
+ "mode": "Slave",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ }
+ },
+ "ports": {
+ "M_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M_ARESETN"
+ }
+ }
+ },
+ "M_ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ },
+ "S_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "S_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "S_ARESETN"
+ }
+ }
+ },
+ "S_ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ }
+ },
+ "components": {
+ "auto_us": {
+ "vlnv": "xilinx.com:ip:axi_dwidth_converter:2.1",
+ "xci_name": "Top_auto_us_0",
+ "xci_path": "ip/Top_auto_us_0/Top_auto_us_0.xci",
+ "inst_hier_path": "axi_interconnect_0/s00_couplers/auto_us",
+ "parameters": {
+ "MI_DATA_WIDTH": {
+ "value": "512"
+ },
+ "SI_DATA_WIDTH": {
+ "value": "64"
+ }
+ },
+ "interface_ports": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M_AXI"
+ ]
+ }
+ }
+ }
+ },
+ "interface_nets": {
+ "auto_us_to_s00_couplers": {
+ "interface_ports": [
+ "M_AXI",
+ "auto_us/M_AXI"
+ ]
+ },
+ "s00_couplers_to_auto_us": {
+ "interface_ports": [
+ "S_AXI",
+ "auto_us/S_AXI"
+ ]
+ }
+ },
+ "nets": {
+ "S_ACLK_1": {
+ "ports": [
+ "S_ACLK",
+ "auto_us/s_axi_aclk"
+ ]
+ },
+ "S_ARESETN_1": {
+ "ports": [
+ "S_ARESETN",
+ "auto_us/s_axi_aresetn"
+ ]
+ }
+ }
+ },
+ "m00_couplers": {
+ "interface_ports": {
+ "M_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "S_AXI": {
+ "mode": "Slave",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ }
+ },
+ "ports": {
+ "M_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M_ARESETN"
+ }
+ }
+ },
+ "M_ARESETN": {
+ "type": "rst",
+ "direction": "I",
+ "left": "7",
+ "right": "0"
+ },
+ "S_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "S_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "S_ARESETN"
+ }
+ }
+ },
+ "S_ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ }
+ },
+ "components": {
+ "auto_cc": {
+ "vlnv": "xilinx.com:ip:axi_clock_converter:2.1",
+ "xci_name": "Top_auto_cc_0",
+ "xci_path": "ip/Top_auto_cc_0/Top_auto_cc_0.xci",
+ "inst_hier_path": "axi_interconnect_0/m00_couplers/auto_cc",
+ "interface_ports": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M_AXI"
+ ]
+ }
+ }
+ },
+ "auto_ds": {
+ "vlnv": "xilinx.com:ip:axi_dwidth_converter:2.1",
+ "xci_name": "Top_auto_ds_0",
+ "xci_path": "ip/Top_auto_ds_0/Top_auto_ds_0.xci",
+ "inst_hier_path": "axi_interconnect_0/m00_couplers/auto_ds",
+ "parameters": {
+ "MI_DATA_WIDTH": {
+ "value": "32"
+ },
+ "SI_DATA_WIDTH": {
+ "value": "512"
+ }
+ },
+ "interface_ports": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M_AXI"
+ ]
+ }
+ }
+ },
+ "auto_pc": {
+ "vlnv": "xilinx.com:ip:axi_protocol_converter:2.1",
+ "xci_name": "Top_auto_pc_0",
+ "xci_path": "ip/Top_auto_pc_0/Top_auto_pc_0.xci",
+ "inst_hier_path": "axi_interconnect_0/m00_couplers/auto_pc",
+ "parameters": {
+ "MI_PROTOCOL": {
+ "value": "AXI4LITE"
+ },
+ "SI_PROTOCOL": {
+ "value": "AXI4"
+ }
+ },
+ "interface_ports": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M_AXI"
+ ]
+ }
+ }
+ }
+ },
+ "interface_nets": {
+ "auto_cc_to_auto_ds": {
+ "interface_ports": [
+ "auto_cc/M_AXI",
+ "auto_ds/S_AXI"
+ ]
+ },
+ "auto_ds_to_auto_pc": {
+ "interface_ports": [
+ "auto_ds/M_AXI",
+ "auto_pc/S_AXI"
+ ]
+ },
+ "auto_pc_to_m00_couplers": {
+ "interface_ports": [
+ "M_AXI",
+ "auto_pc/M_AXI"
+ ]
+ },
+ "m00_couplers_to_auto_cc": {
+ "interface_ports": [
+ "S_AXI",
+ "auto_cc/S_AXI"
+ ]
+ }
+ },
+ "nets": {
+ "M_ACLK_1": {
+ "ports": [
+ "M_ACLK",
+ "auto_cc/m_axi_aclk",
+ "auto_ds/s_axi_aclk",
+ "auto_pc/aclk"
+ ]
+ },
+ "M_ARESETN_1": {
+ "ports": [
+ "M_ARESETN",
+ "auto_cc/m_axi_aresetn",
+ "auto_ds/s_axi_aresetn",
+ "auto_pc/aresetn"
+ ]
+ },
+ "S_ACLK_1": {
+ "ports": [
+ "S_ACLK",
+ "auto_cc/s_axi_aclk"
+ ]
+ },
+ "S_ARESETN_1": {
+ "ports": [
+ "S_ARESETN",
+ "auto_cc/s_axi_aresetn"
+ ]
+ }
+ }
+ },
+ "m01_couplers": {
+ "interface_ports": {
+ "M_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "S_AXI": {
+ "mode": "Slave",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ }
+ },
+ "ports": {
+ "M_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M_ARESETN"
+ }
+ }
+ },
+ "M_ARESETN": {
+ "type": "rst",
+ "direction": "I",
+ "left": "7",
+ "right": "0"
+ },
+ "S_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "S_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "S_ARESETN"
+ }
+ }
+ },
+ "S_ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ }
+ },
+ "components": {
+ "auto_cc": {
+ "vlnv": "xilinx.com:ip:axi_clock_converter:2.1",
+ "xci_name": "Top_auto_cc_1",
+ "xci_path": "ip/Top_auto_cc_1/Top_auto_cc_1.xci",
+ "inst_hier_path": "axi_interconnect_0/m01_couplers/auto_cc",
+ "interface_ports": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M_AXI"
+ ]
+ }
+ }
+ }
+ },
+ "interface_nets": {
+ "auto_cc_to_m01_couplers": {
+ "interface_ports": [
+ "M_AXI",
+ "auto_cc/M_AXI"
+ ]
+ },
+ "m01_couplers_to_auto_cc": {
+ "interface_ports": [
+ "S_AXI",
+ "auto_cc/S_AXI"
+ ]
+ }
+ },
+ "nets": {
+ "M_ACLK_1": {
+ "ports": [
+ "M_ACLK",
+ "auto_cc/m_axi_aclk"
+ ]
+ },
+ "M_ARESETN_1": {
+ "ports": [
+ "M_ARESETN",
+ "auto_cc/m_axi_aresetn"
+ ]
+ },
+ "S_ACLK_1": {
+ "ports": [
+ "S_ACLK",
+ "auto_cc/s_axi_aclk"
+ ]
+ },
+ "S_ARESETN_1": {
+ "ports": [
+ "S_ARESETN",
+ "auto_cc/s_axi_aresetn"
+ ]
+ }
+ }
+ },
+ "m02_couplers": {
+ "interface_ports": {
+ "M_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "S_AXI": {
+ "mode": "Slave",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ }
+ },
+ "ports": {
+ "M_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M_ARESETN"
+ }
+ }
+ },
+ "M_ARESETN": {
+ "type": "rst",
+ "direction": "I",
+ "left": "7",
+ "right": "0"
+ },
+ "S_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "S_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "S_ARESETN"
+ }
+ }
+ },
+ "S_ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ }
+ },
+ "components": {
+ "auto_cc": {
+ "vlnv": "xilinx.com:ip:axi_clock_converter:2.1",
+ "xci_name": "Top_auto_cc_2",
+ "xci_path": "ip/Top_auto_cc_2/Top_auto_cc_2.xci",
+ "inst_hier_path": "axi_interconnect_0/m02_couplers/auto_cc",
+ "interface_ports": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M_AXI"
+ ]
+ }
+ }
+ },
+ "auto_ds": {
+ "vlnv": "xilinx.com:ip:axi_dwidth_converter:2.1",
+ "xci_name": "Top_auto_ds_1",
+ "xci_path": "ip/Top_auto_ds_1/Top_auto_ds_1.xci",
+ "inst_hier_path": "axi_interconnect_0/m02_couplers/auto_ds",
+ "parameters": {
+ "MI_DATA_WIDTH": {
+ "value": "32"
+ },
+ "SI_DATA_WIDTH": {
+ "value": "512"
+ }
+ },
+ "interface_ports": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M_AXI"
+ ]
+ }
+ }
+ },
+ "auto_pc": {
+ "vlnv": "xilinx.com:ip:axi_protocol_converter:2.1",
+ "xci_name": "Top_auto_pc_1",
+ "xci_path": "ip/Top_auto_pc_1/Top_auto_pc_1.xci",
+ "inst_hier_path": "axi_interconnect_0/m02_couplers/auto_pc",
+ "parameters": {
+ "MI_PROTOCOL": {
+ "value": "AXI4LITE"
+ },
+ "SI_PROTOCOL": {
+ "value": "AXI4"
+ }
+ },
+ "interface_ports": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M_AXI"
+ ]
+ }
+ }
+ }
+ },
+ "interface_nets": {
+ "auto_cc_to_auto_ds": {
+ "interface_ports": [
+ "auto_cc/M_AXI",
+ "auto_ds/S_AXI"
+ ]
+ },
+ "auto_ds_to_auto_pc": {
+ "interface_ports": [
+ "auto_ds/M_AXI",
+ "auto_pc/S_AXI"
+ ]
+ },
+ "auto_pc_to_m02_couplers": {
+ "interface_ports": [
+ "M_AXI",
+ "auto_pc/M_AXI"
+ ]
+ },
+ "m02_couplers_to_auto_cc": {
+ "interface_ports": [
+ "S_AXI",
+ "auto_cc/S_AXI"
+ ]
+ }
+ },
+ "nets": {
+ "M_ACLK_1": {
+ "ports": [
+ "M_ACLK",
+ "auto_cc/m_axi_aclk",
+ "auto_ds/s_axi_aclk",
+ "auto_pc/aclk"
+ ]
+ },
+ "M_ARESETN_1": {
+ "ports": [
+ "M_ARESETN",
+ "auto_cc/m_axi_aresetn",
+ "auto_ds/s_axi_aresetn",
+ "auto_pc/aresetn"
+ ]
+ },
+ "S_ACLK_1": {
+ "ports": [
+ "S_ACLK",
+ "auto_cc/s_axi_aclk"
+ ]
+ },
+ "S_ARESETN_1": {
+ "ports": [
+ "S_ARESETN",
+ "auto_cc/s_axi_aresetn"
+ ]
+ }
+ }
+ },
+ "m03_couplers": {
+ "interface_ports": {
+ "M_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "S_AXI": {
+ "mode": "Slave",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ }
+ },
+ "ports": {
+ "M_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M_ARESETN"
+ }
+ }
+ },
+ "M_ARESETN": {
+ "type": "rst",
+ "direction": "I",
+ "left": "7",
+ "right": "0"
+ },
+ "S_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "S_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "S_ARESETN"
+ }
+ }
+ },
+ "S_ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ }
+ },
+ "components": {
+ "auto_cc": {
+ "vlnv": "xilinx.com:ip:axi_clock_converter:2.1",
+ "xci_name": "Top_auto_cc_3",
+ "xci_path": "ip/Top_auto_cc_3/Top_auto_cc_3.xci",
+ "inst_hier_path": "axi_interconnect_0/m03_couplers/auto_cc",
+ "interface_ports": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M_AXI"
+ ]
+ }
+ }
+ }
+ },
+ "interface_nets": {
+ "auto_cc_to_m03_couplers": {
+ "interface_ports": [
+ "M_AXI",
+ "auto_cc/M_AXI"
+ ]
+ },
+ "m03_couplers_to_auto_cc": {
+ "interface_ports": [
+ "S_AXI",
+ "auto_cc/S_AXI"
+ ]
+ }
+ },
+ "nets": {
+ "M_ACLK_1": {
+ "ports": [
+ "M_ACLK",
+ "auto_cc/m_axi_aclk"
+ ]
+ },
+ "M_ARESETN_1": {
+ "ports": [
+ "M_ARESETN",
+ "auto_cc/m_axi_aresetn"
+ ]
+ },
+ "S_ACLK_1": {
+ "ports": [
+ "S_ACLK",
+ "auto_cc/s_axi_aclk"
+ ]
+ },
+ "S_ARESETN_1": {
+ "ports": [
+ "S_ARESETN",
+ "auto_cc/s_axi_aresetn"
+ ]
+ }
+ }
+ },
+ "m04_couplers": {
+ "interface_ports": {
+ "M_AXI": {
+ "mode": "Master",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ },
+ "S_AXI": {
+ "mode": "Slave",
+ "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0",
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0"
+ }
+ },
+ "ports": {
+ "M_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "M_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "M_ARESETN"
+ }
+ }
+ },
+ "M_ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ },
+ "S_ACLK": {
+ "type": "clk",
+ "direction": "I",
+ "parameters": {
+ "ASSOCIATED_BUSIF": {
+ "value": "S_AXI"
+ },
+ "ASSOCIATED_RESET": {
+ "value": "S_ARESETN"
+ }
+ }
+ },
+ "S_ARESETN": {
+ "type": "rst",
+ "direction": "I"
+ }
+ },
+ "components": {
+ "auto_ds": {
+ "vlnv": "xilinx.com:ip:axi_dwidth_converter:2.1",
+ "xci_name": "Top_auto_ds_2",
+ "xci_path": "ip/Top_auto_ds_2/Top_auto_ds_2.xci",
+ "inst_hier_path": "axi_interconnect_0/m04_couplers/auto_ds",
+ "parameters": {
+ "MI_DATA_WIDTH": {
+ "value": "32"
+ },
+ "SI_DATA_WIDTH": {
+ "value": "512"
+ }
+ },
+ "interface_ports": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "Slave",
+ "bridges": [
+ "M_AXI"
+ ]
+ }
+ }
+ }
+ },
+ "interface_nets": {
+ "auto_ds_to_m04_couplers": {
+ "interface_ports": [
+ "M_AXI",
+ "auto_ds/M_AXI"
+ ]
+ },
+ "m04_couplers_to_auto_ds": {
+ "interface_ports": [
+ "S_AXI",
+ "auto_ds/S_AXI"
+ ]
+ }
+ },
+ "nets": {
+ "S_ACLK_1": {
+ "ports": [
+ "S_ACLK",
+ "auto_ds/s_axi_aclk"
+ ]
+ },
+ "S_ARESETN_1": {
+ "ports": [
+ "S_ARESETN",
+ "auto_ds/s_axi_aresetn"
+ ]
+ }
+ }
+ }
+ },
+ "interface_nets": {
+ "axi_interconnect_0_to_s00_couplers": {
+ "interface_ports": [
+ "S00_AXI",
+ "s00_couplers/S_AXI"
+ ]
+ },
+ "m00_couplers_to_axi_interconnect_0": {
+ "interface_ports": [
+ "M00_AXI",
+ "m00_couplers/M_AXI"
+ ]
+ },
+ "m01_couplers_to_axi_interconnect_0": {
+ "interface_ports": [
+ "M01_AXI",
+ "m01_couplers/M_AXI"
+ ]
+ },
+ "m02_couplers_to_axi_interconnect_0": {
+ "interface_ports": [
+ "M02_AXI",
+ "m02_couplers/M_AXI"
+ ]
+ },
+ "m03_couplers_to_axi_interconnect_0": {
+ "interface_ports": [
+ "M03_AXI",
+ "m03_couplers/M_AXI"
+ ]
+ },
+ "m04_couplers_to_axi_interconnect_0": {
+ "interface_ports": [
+ "M04_AXI",
+ "m04_couplers/M_AXI"
+ ]
+ },
+ "s00_couplers_to_xbar": {
+ "interface_ports": [
+ "s00_couplers/M_AXI",
+ "xbar/S00_AXI"
+ ]
+ },
+ "xbar_to_m00_couplers": {
+ "interface_ports": [
+ "xbar/M00_AXI",
+ "m00_couplers/S_AXI"
+ ]
+ },
+ "xbar_to_m01_couplers": {
+ "interface_ports": [
+ "xbar/M01_AXI",
+ "m01_couplers/S_AXI"
+ ]
+ },
+ "xbar_to_m02_couplers": {
+ "interface_ports": [
+ "xbar/M02_AXI",
+ "m02_couplers/S_AXI"
+ ]
+ },
+ "xbar_to_m03_couplers": {
+ "interface_ports": [
+ "xbar/M03_AXI",
+ "m03_couplers/S_AXI"
+ ]
+ },
+ "xbar_to_m04_couplers": {
+ "interface_ports": [
+ "xbar/M04_AXI",
+ "m04_couplers/S_AXI"
+ ]
+ }
+ },
+ "nets": {
+ "M00_ACLK_1": {
+ "ports": [
+ "M00_ACLK",
+ "m00_couplers/M_ACLK"
+ ]
+ },
+ "M00_ARESETN_1": {
+ "ports": [
+ "M00_ARESETN",
+ "m00_couplers/M_ARESETN"
+ ]
+ },
+ "M01_ACLK_1": {
+ "ports": [
+ "M01_ACLK",
+ "m01_couplers/M_ACLK"
+ ]
+ },
+ "M01_ARESETN_1": {
+ "ports": [
+ "M01_ARESETN",
+ "m01_couplers/M_ARESETN"
+ ]
+ },
+ "M02_ACLK_1": {
+ "ports": [
+ "M02_ACLK",
+ "m02_couplers/M_ACLK"
+ ]
+ },
+ "M02_ARESETN_1": {
+ "ports": [
+ "M02_ARESETN",
+ "m02_couplers/M_ARESETN"
+ ]
+ },
+ "M03_ACLK_1": {
+ "ports": [
+ "M03_ACLK",
+ "m03_couplers/M_ACLK"
+ ]
+ },
+ "M03_ARESETN_1": {
+ "ports": [
+ "M03_ARESETN",
+ "m03_couplers/M_ARESETN"
+ ]
+ },
+ "M04_ACLK_1": {
+ "ports": [
+ "M04_ACLK",
+ "m04_couplers/M_ACLK"
+ ]
+ },
+ "M04_ARESETN_1": {
+ "ports": [
+ "M04_ARESETN",
+ "m04_couplers/M_ARESETN"
+ ]
+ },
+ "S00_ACLK_1": {
+ "ports": [
+ "S00_ACLK",
+ "s00_couplers/S_ACLK"
+ ]
+ },
+ "S00_ARESETN_1": {
+ "ports": [
+ "S00_ARESETN",
+ "s00_couplers/S_ARESETN"
+ ]
+ },
+ "axi_interconnect_0_ACLK_net": {
+ "ports": [
+ "ACLK",
+ "xbar/aclk",
+ "s00_couplers/M_ACLK",
+ "m00_couplers/S_ACLK",
+ "m01_couplers/S_ACLK",
+ "m02_couplers/S_ACLK",
+ "m03_couplers/S_ACLK",
+ "m04_couplers/S_ACLK"
+ ]
+ },
+ "axi_interconnect_0_ARESETN_net": {
+ "ports": [
+ "ARESETN",
+ "xbar/aresetn",
+ "s00_couplers/M_ARESETN",
+ "m00_couplers/S_ARESETN",
+ "m01_couplers/S_ARESETN",
+ "m02_couplers/S_ARESETN",
+ "m03_couplers/S_ARESETN",
+ "m04_couplers/S_ARESETN"
+ ]
+ }
+ }
+ },
+ "mig_7series_1": {
+ "vlnv": "xilinx.com:ip:mig_7series:4.2",
+ "xci_name": "Top_mig_7series_1_0",
+ "xci_path": "ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci",
+ "inst_hier_path": "mig_7series_1",
+ "parameters": {
+ "BOARD_MIG_PARAM": {
+ "value": "Custom"
+ },
+ "MIG_DONT_TOUCH_PARAM": {
+ "value": "Custom"
+ },
+ "RESET_BOARD_INTERFACE": {
+ "value": "Custom"
+ },
+ "XML_INPUT_FILE": {
+ "value": "mig_b.prj"
+ }
+ }
+ },
+ "util_vector_logic_1": {
+ "vlnv": "xilinx.com:ip:util_vector_logic:2.0",
+ "xci_name": "Top_util_vector_logic_1_3",
+ "xci_path": "ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci",
+ "inst_hier_path": "util_vector_logic_1",
+ "parameters": {
+ "C_OPERATION": {
+ "value": "not"
+ }
+ }
+ },
+ "xlconstant_0": {
+ "vlnv": "xilinx.com:ip:xlconstant:1.1",
+ "xci_name": "Top_xlconstant_0_0",
+ "xci_path": "ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci",
+ "inst_hier_path": "xlconstant_0"
+ },
+ "xlconstant_2": {
+ "vlnv": "xilinx.com:ip:xlconstant:1.1",
+ "xci_name": "Top_xlconstant_2_0",
+ "xci_path": "ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci",
+ "inst_hier_path": "xlconstant_2"
+ },
+ "util_ds_buf_0": {
+ "vlnv": "xilinx.com:ip:util_ds_buf:2.2",
+ "xci_name": "Top_util_ds_buf_0_0",
+ "xci_path": "ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci",
+ "inst_hier_path": "util_ds_buf_0",
+ "parameters": {
+ "C_BUF_TYPE": {
+ "value": "IBUFDSGTE"
+ }
+ }
+ },
+ "util_vector_logic_2": {
+ "vlnv": "xilinx.com:ip:util_vector_logic:2.0",
+ "xci_name": "Top_util_vector_logic_1_4",
+ "xci_path": "ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci",
+ "inst_hier_path": "util_vector_logic_2",
+ "parameters": {
+ "C_OPERATION": {
+ "value": "not"
+ }
+ }
+ },
+ "axi_bram_ctrl_0": {
+ "vlnv": "xilinx.com:ip:axi_bram_ctrl:4.1",
+ "xci_name": "Top_axi_bram_ctrl_0_0",
+ "xci_path": "ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci",
+ "inst_hier_path": "axi_bram_ctrl_0",
+ "parameters": {
+ "SINGLE_PORT_BRAM": {
+ "value": "1"
+ }
+ }
+ },
+ "blk_mem_gen_0": {
+ "vlnv": "xilinx.com:ip:blk_mem_gen:8.4",
+ "xci_name": "Top_blk_mem_gen_0_0",
+ "xci_path": "ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci",
+ "inst_hier_path": "blk_mem_gen_0"
+ }
+ },
+ "interface_nets": {
+ "C0_SYS_CLK_0_1": {
+ "interface_ports": [
+ "C0_SYS_CLK_0",
+ "mig_7series_1/C0_SYS_CLK"
+ ]
+ },
+ "C1_SYS_CLK_0_1": {
+ "interface_ports": [
+ "C1_SYS_CLK_0",
+ "mig_7series_1/C1_SYS_CLK"
+ ]
+ },
+ "S00_AXI_1": {
+ "interface_ports": [
+ "axi_interconnect_0/S00_AXI",
+ "xdma_1/M_AXI"
+ ]
+ },
+ "axi_bram_ctrl_0_BRAM_PORTA": {
+ "interface_ports": [
+ "axi_bram_ctrl_0/BRAM_PORTA",
+ "blk_mem_gen_0/BRAM_PORTA"
+ ]
+ },
+ "axi_interconnect_0_M00_AXI": {
+ "interface_ports": [
+ "axi_interconnect_0/M00_AXI",
+ "mig_7series_1/S0_AXI_CTRL"
+ ]
+ },
+ "axi_interconnect_0_M01_AXI": {
+ "interface_ports": [
+ "axi_interconnect_0/M01_AXI",
+ "mig_7series_1/S0_AXI"
+ ]
+ },
+ "axi_interconnect_0_M02_AXI": {
+ "interface_ports": [
+ "axi_interconnect_0/M02_AXI",
+ "mig_7series_1/S1_AXI_CTRL"
+ ]
+ },
+ "axi_interconnect_0_M03_AXI": {
+ "interface_ports": [
+ "axi_interconnect_0/M03_AXI",
+ "mig_7series_1/S1_AXI"
+ ]
+ },
+ "axi_interconnect_0_M04_AXI": {
+ "interface_ports": [
+ "axi_interconnect_0/M04_AXI",
+ "axi_bram_ctrl_0/S_AXI"
+ ]
+ },
+ "mig_7series_1_C0_DDR3": {
+ "interface_ports": [
+ "C0_DDR3_0",
+ "mig_7series_1/C0_DDR3"
+ ]
+ },
+ "mig_7series_1_C1_DDR3": {
+ "interface_ports": [
+ "C1_DDR3_0",
+ "mig_7series_1/C1_DDR3"
+ ]
+ },
+ "pcie_clkin_1": {
+ "interface_ports": [
+ "pcie_clkin",
+ "util_ds_buf_0/CLK_IN_D"
+ ]
+ },
+ "xdma_1_pcie_mgt": {
+ "interface_ports": [
+ "pcie_mgt_0",
+ "xdma_1/pcie_mgt"
+ ]
+ }
+ },
+ "nets": {
+ "M00_ARESETN_2": {
+ "ports": [
+ "util_vector_logic_1/Res",
+ "axi_interconnect_0/M00_ARESETN",
+ "axi_interconnect_0/M01_ARESETN"
+ ]
+ },
+ "mig_7series_1_c0_ui_clk_sync_rst": {
+ "ports": [
+ "mig_7series_1/c0_ui_clk_sync_rst",
+ "util_vector_logic_1/Op1"
+ ]
+ },
+ "mig_7series_1_c1_ui_clk": {
+ "ports": [
+ "mig_7series_1/c1_ui_clk",
+ "axi_interconnect_0/M02_ACLK",
+ "axi_interconnect_0/M03_ACLK"
+ ]
+ },
+ "mig_7series_1_c1_ui_clk_sync_rst": {
+ "ports": [
+ "mig_7series_1/c1_ui_clk_sync_rst",
+ "util_vector_logic_2/Op1"
+ ]
+ },
+ "mig_7series_1_ui_clk": {
+ "ports": [
+ "mig_7series_1/c0_ui_clk",
+ "axi_interconnect_0/M00_ACLK",
+ "axi_interconnect_0/M01_ACLK"
+ ]
+ },
+ "pci_reset_1": {
+ "ports": [
+ "pci_reset",
+ "xdma_1/sys_rst_n"
+ ]
+ },
+ "util_ds_buf_0_IBUF_OUT": {
+ "ports": [
+ "util_ds_buf_0/IBUF_OUT",
+ "xdma_1/sys_clk"
+ ]
+ },
+ "util_vector_logic_2_Res": {
+ "ports": [
+ "util_vector_logic_2/Res",
+ "axi_interconnect_0/M02_ARESETN",
+ "axi_interconnect_0/M03_ARESETN"
+ ]
+ },
+ "xdma_1_axi_aclk": {
+ "ports": [
+ "xdma_1/axi_aclk",
+ "axi_interconnect_0/ACLK",
+ "axi_interconnect_0/S00_ACLK",
+ "axi_bram_ctrl_0/s_axi_aclk",
+ "axi_interconnect_0/M04_ACLK"
+ ]
+ },
+ "xdma_1_axi_aresetn": {
+ "ports": [
+ "xdma_1/axi_aresetn",
+ "axi_interconnect_0/S00_ARESETN",
+ "axi_interconnect_0/ARESETN",
+ "axi_bram_ctrl_0/s_axi_aresetn",
+ "axi_interconnect_0/M04_ARESETN"
+ ]
+ },
+ "xdma_1_user_lnk_up": {
+ "ports": [
+ "xdma_1/user_lnk_up",
+ "user_lnk_up_0"
+ ]
+ },
+ "xlconstant_0_dout": {
+ "ports": [
+ "xlconstant_0/dout",
+ "mig_7series_1/c0_aresetn",
+ "mig_7series_1/c1_aresetn"
+ ]
+ },
+ "xlconstant_2_dout": {
+ "ports": [
+ "xlconstant_2/dout",
+ "mig_7series_1/sys_rst"
+ ]
+ }
+ },
+ "addressing": {
+ "/xdma_1": {
+ "address_spaces": {
+ "M_AXI": {
+ "segments": {
+ "SEG_axi_bram_ctrl_0_Mem0": {
+ "address_block": "/axi_bram_ctrl_0/S_AXI/Mem0",
+ "offset": "0x0000000200000000",
+ "range": "8K"
+ },
+ "SEG_mig_7series_1_c0_memaddr": {
+ "address_block": "/mig_7series_1/c0_memmap/c0_memaddr",
+ "offset": "0x0000000080000000",
+ "range": "2G"
+ },
+ "SEG_mig_7series_1_c0_s_axi_ctrl_memaddr": {
+ "address_block": "/mig_7series_1/c0_s_axi_ctrl_memmap/c0_s_axi_ctrl_memaddr",
+ "offset": "0x0000000100000000",
+ "range": "1M"
+ },
+ "SEG_mig_7series_1_c1_memaddr": {
+ "address_block": "/mig_7series_1/c1_memmap/c1_memaddr",
+ "offset": "0x0000000000000000",
+ "range": "2G"
+ },
+ "SEG_mig_7series_1_c1_s_axi_ctrl_memaddr": {
+ "address_block": "/mig_7series_1/c1_s_axi_ctrl_memmap/c1_s_axi_ctrl_memaddr",
+ "offset": "0x0000000100100000",
+ "range": "1M"
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/Top.bda b/nitefury_pcie_xdma_ddr/project/sources/Top.bda
new file mode 100644
index 0000000..035e9db
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/Top.bda
@@ -0,0 +1,151 @@
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ 0x0000000000000000
+ C_BASEADDR
+ 0x000000007FFFFFFF
+ C_HIGHADDR
+ M_AXI
+ /xdma_1
+ M_AXI
+ SEG_mig_7series_1_c1_memaddr
+ xilinx.com:ip:xdma:4.1
+ both
+ /mig_7series_1
+ S1_AXI
+ c1_memmap
+ c1_memaddr
+ xilinx.com:ip:mig_7series:4.2
+ memory
+ AC
+
+
+ 0x0000000100100000
+ C_BASEADDR
+ 0x00000001001FFFFF
+ C_HIGHADDR
+ M_AXI
+ /xdma_1
+ M_AXI
+ SEG_mig_7series_1_c1_s_axi_ctrl_memaddr
+ xilinx.com:ip:xdma:4.1
+ both
+ /mig_7series_1
+ S1_AXI_CTRL
+ c1_s_axi_ctrl_memmap
+ c1_s_axi_ctrl_memaddr
+ xilinx.com:ip:mig_7series:4.2
+ register
+ AC
+
+
+ 0x0000000100000000
+ C_BASEADDR
+ 0x00000001000FFFFF
+ C_HIGHADDR
+ M_AXI
+ /xdma_1
+ M_AXI
+ SEG_mig_7series_1_c0_s_axi_ctrl_memaddr
+ xilinx.com:ip:xdma:4.1
+ both
+ /mig_7series_1
+ S0_AXI_CTRL
+ c0_s_axi_ctrl_memmap
+ c0_s_axi_ctrl_memaddr
+ xilinx.com:ip:mig_7series:4.2
+ register
+ AC
+
+
+ 0x0000000200000000
+ C_S_AXI_BASEADDR
+ 0x0000000200001FFF
+ C_S_AXI_HIGHADDR
+ M_AXI
+ /xdma_1
+ M_AXI
+ SEG_axi_bram_ctrl_0_Mem0
+ xilinx.com:ip:xdma:4.1
+ both
+ /axi_bram_ctrl_0
+ S_AXI
+ Mem0
+ xilinx.com:ip:axi_bram_ctrl:4.1
+ memory
+ AC
+
+
+ 0x0000000080000000
+ C_BASEADDR
+ 0x00000000FFFFFFFF
+ C_HIGHADDR
+ M_AXI
+ /xdma_1
+ M_AXI
+ SEG_mig_7series_1_c0_memaddr
+ xilinx.com:ip:xdma:4.1
+ both
+ /mig_7series_1
+ S0_AXI
+ c0_memmap
+ c0_memaddr
+ xilinx.com:ip:mig_7series:4.2
+ memory
+ AC
+
+
+ active
+ 2
+ PM
+
+
+ 2
+ Top
+ VR
+
+
+ Top
+ BC
+
+
+
+
+ 2
+
+
+ 2
+
+
+ 2
+
+
+ 2
+
+
+ 2
+
+
+
diff --git a/nitefury_pcie_xdma_ddr/project/sources/Top_wrapper.v b/nitefury_pcie_xdma_ddr/project/sources/Top_wrapper.v
new file mode 100644
index 0000000..b318c09
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/Top_wrapper.v
@@ -0,0 +1,196 @@
+//Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
+//--------------------------------------------------------------------------------
+//Tool Version: Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
+//Date : Wed Apr 24 10:52:27 2019
+//Host : dr-lt2 running 64-bit major release (build 9200)
+//Command : generate_target Top_wrapper.bd
+//Design : Top_wrapper
+//Purpose : IP block netlist
+//--------------------------------------------------------------------------------
+`timescale 1 ps / 1 ps
+
+module Top_wrapper
+ (DDR3_addr,
+ DDR3_ba,
+ DDR3_cas_n,
+ DDR3_ck_n,
+ DDR3_ck_p,
+ DDR3_cke,
+ DDR3_dm,
+ DDR3_dq,
+ DDR3_dqs_n,
+ DDR3_dqs_p,
+ DDR3_odt,
+ DDR3_ras_n,
+ DDR3_reset_n,
+ DDR3_we_n,
+ LED_A1,
+ LED_A2,
+ LED_A3,
+ LED_A4,
+ SPI_0_io0_io,
+ SPI_0_io1_io,
+ SPI_0_io2_io,
+ SPI_0_io3_io,
+ SPI_0_ss_i,
+ SPI_0_ss_t,
+ pci_reset,
+ pcie_clkin_clk_n,
+ pcie_clkin_clk_p,
+ pcie_clkreq_l,
+ pcie_mgt_rxn,
+ pcie_mgt_rxp,
+ pcie_mgt_txn,
+ pcie_mgt_txp,
+ real_spi_ss,
+ sys_clk_clk_n,
+ sys_clk_clk_p);
+ output [14:0]DDR3_addr;
+ output [2:0]DDR3_ba;
+ output DDR3_cas_n;
+ output [0:0]DDR3_ck_n;
+ output [0:0]DDR3_ck_p;
+ output [0:0]DDR3_cke;
+ output [1:0]DDR3_dm;
+ inout [15:0]DDR3_dq;
+ inout [1:0]DDR3_dqs_n;
+ inout [1:0]DDR3_dqs_p;
+ output [0:0]DDR3_odt;
+ output DDR3_ras_n;
+ output DDR3_reset_n;
+ output DDR3_we_n;
+ output [0:0]LED_A1;
+ output [0:0]LED_A2;
+ output [0:0]LED_A3;
+ output [0:0]LED_A4;
+ inout SPI_0_io0_io;
+ inout SPI_0_io1_io;
+ inout SPI_0_io2_io;
+ inout SPI_0_io3_io;
+ input [0:0]SPI_0_ss_i;
+ output SPI_0_ss_t;
+ input pci_reset;
+ input [0:0]pcie_clkin_clk_n;
+ input [0:0]pcie_clkin_clk_p;
+ output [0:0]pcie_clkreq_l;
+ input [3:0]pcie_mgt_rxn;
+ input [3:0]pcie_mgt_rxp;
+ output [3:0]pcie_mgt_txn;
+ output [3:0]pcie_mgt_txp;
+ output [0:0]real_spi_ss;
+ input sys_clk_clk_n;
+ input sys_clk_clk_p;
+
+ wire [14:0]DDR3_addr;
+ wire [2:0]DDR3_ba;
+ wire DDR3_cas_n;
+ wire [0:0]DDR3_ck_n;
+ wire [0:0]DDR3_ck_p;
+ wire [0:0]DDR3_cke;
+ wire [1:0]DDR3_dm;
+ wire [15:0]DDR3_dq;
+ wire [1:0]DDR3_dqs_n;
+ wire [1:0]DDR3_dqs_p;
+ wire [0:0]DDR3_odt;
+ wire DDR3_ras_n;
+ wire DDR3_reset_n;
+ wire DDR3_we_n;
+ wire [0:0]LED_A1;
+ wire [0:0]LED_A2;
+ wire [0:0]LED_A3;
+ wire [0:0]LED_A4;
+ wire SPI_0_io0_i;
+ wire SPI_0_io0_io;
+ wire SPI_0_io0_o;
+ wire SPI_0_io0_t;
+ wire SPI_0_io1_i;
+ wire SPI_0_io1_io;
+ wire SPI_0_io1_o;
+ wire SPI_0_io1_t;
+ wire SPI_0_io2_i;
+ wire SPI_0_io2_io;
+ wire SPI_0_io2_o;
+ wire SPI_0_io2_t;
+ wire SPI_0_io3_i;
+ wire SPI_0_io3_io;
+ wire SPI_0_io3_o;
+ wire SPI_0_io3_t;
+ wire [0:0]SPI_0_ss_i;
+ wire SPI_0_ss_t;
+ wire pci_reset;
+ wire [0:0]pcie_clkin_clk_n;
+ wire [0:0]pcie_clkin_clk_p;
+ wire [0:0]pcie_clkreq_l;
+ wire [3:0]pcie_mgt_rxn;
+ wire [3:0]pcie_mgt_rxp;
+ wire [3:0]pcie_mgt_txn;
+ wire [3:0]pcie_mgt_txp;
+ wire [0:0]real_spi_ss;
+ wire sys_clk_clk_n;
+ wire sys_clk_clk_p;
+
+ IOBUF SPI_0_io0_iobuf
+ (.I(SPI_0_io0_o),
+ .IO(SPI_0_io0_io),
+ .O(SPI_0_io0_i),
+ .T(SPI_0_io0_t));
+ IOBUF SPI_0_io1_iobuf
+ (.I(SPI_0_io1_o),
+ .IO(SPI_0_io1_io),
+ .O(SPI_0_io1_i),
+ .T(SPI_0_io1_t));
+ IOBUF SPI_0_io2_iobuf
+ (.I(SPI_0_io2_o),
+ .IO(SPI_0_io2_io),
+ .O(SPI_0_io2_i),
+ .T(SPI_0_io2_t));
+ IOBUF SPI_0_io3_iobuf
+ (.I(SPI_0_io3_o),
+ .IO(SPI_0_io3_io),
+ .O(SPI_0_io3_i),
+ .T(SPI_0_io3_t));
+ Top Top_i
+ (.DDR3_addr(DDR3_addr),
+ .DDR3_ba(DDR3_ba),
+ .DDR3_cas_n(DDR3_cas_n),
+ .DDR3_ck_n(DDR3_ck_n),
+ .DDR3_ck_p(DDR3_ck_p),
+ .DDR3_cke(DDR3_cke),
+ .DDR3_dm(DDR3_dm),
+ .DDR3_dq(DDR3_dq),
+ .DDR3_dqs_n(DDR3_dqs_n),
+ .DDR3_dqs_p(DDR3_dqs_p),
+ .DDR3_odt(DDR3_odt),
+ .DDR3_ras_n(DDR3_ras_n),
+ .DDR3_reset_n(DDR3_reset_n),
+ .DDR3_we_n(DDR3_we_n),
+ .LED_A1(LED_A1),
+ .LED_A2(LED_A2),
+ .LED_A3(LED_A3),
+ .LED_A4(LED_A4),
+ .SPI_0_io0_i(SPI_0_io0_i),
+ .SPI_0_io0_o(SPI_0_io0_o),
+ .SPI_0_io0_t(SPI_0_io0_t),
+ .SPI_0_io1_i(SPI_0_io1_i),
+ .SPI_0_io1_o(SPI_0_io1_o),
+ .SPI_0_io1_t(SPI_0_io1_t),
+ .SPI_0_io2_i(SPI_0_io2_i),
+ .SPI_0_io2_o(SPI_0_io2_o),
+ .SPI_0_io2_t(SPI_0_io2_t),
+ .SPI_0_io3_i(SPI_0_io3_i),
+ .SPI_0_io3_o(SPI_0_io3_o),
+ .SPI_0_io3_t(SPI_0_io3_t),
+ .SPI_0_ss_i(SPI_0_ss_i),
+ .SPI_0_ss_t(SPI_0_ss_t),
+ .pci_reset(pci_reset),
+ .pcie_clkin_clk_n(pcie_clkin_clk_n),
+ .pcie_clkin_clk_p(pcie_clkin_clk_p),
+ .pcie_clkreq_l(pcie_clkreq_l),
+ .pcie_mgt_rxn(pcie_mgt_rxn),
+ .pcie_mgt_rxp(pcie_mgt_rxp),
+ .pcie_mgt_txn(pcie_mgt_txn),
+ .pcie_mgt_txp(pcie_mgt_txp),
+ .real_spi_ss(real_spi_ss),
+ .sys_clk_clk_n(sys_clk_clk_n),
+ .sys_clk_clk_p(sys_clk_clk_p));
+endmodule
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci
new file mode 100644
index 0000000..bc7c4f2
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci
@@ -0,0 +1,364 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_auto_cc_0",
+ "cell_name": "axi_interconnect_0/m00_couplers/auto_cc",
+ "component_reference": "xilinx.com:ip:axi_clock_converter:2.1",
+ "ip_revision": "26",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_0",
+ "parameters": {
+ "component_parameters": {
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "512", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_ASYNC": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_auto_cc_0", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_IS_ACLK_ASYNC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "26" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "m_axi_wlast": [ { "direction": "out" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rready": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "s_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "s_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ]
+ }
+ },
+ "SI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "s_axi_aclk" } ]
+ }
+ },
+ "MI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "M_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "m_axi_aclk" } ]
+ }
+ },
+ "SI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "s_axi_aresetn" } ]
+ }
+ },
+ "MI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "m_axi_aresetn" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci
new file mode 100644
index 0000000..8c5c97b
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci
@@ -0,0 +1,364 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_auto_cc_1",
+ "cell_name": "axi_interconnect_0/m01_couplers/auto_cc",
+ "component_reference": "xilinx.com:ip:axi_clock_converter:2.1",
+ "ip_revision": "26",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_1",
+ "parameters": {
+ "component_parameters": {
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "31", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "512", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_ASYNC": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_auto_cc_1", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "31", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_IS_ACLK_ASYNC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "26" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_1" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "30", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "30", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "30", "size_right": "0" } ],
+ "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "m_axi_wlast": [ { "direction": "out" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "30", "size_right": "0" } ],
+ "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rready": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "31", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "s_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "s_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "31", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ]
+ }
+ },
+ "SI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "s_axi_aclk" } ]
+ }
+ },
+ "MI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "M_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "m_axi_aclk" } ]
+ }
+ },
+ "SI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "s_axi_aresetn" } ]
+ }
+ },
+ "MI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "m_axi_aresetn" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci
new file mode 100644
index 0000000..11b73ca
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci
@@ -0,0 +1,364 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_auto_cc_2",
+ "cell_name": "axi_interconnect_0/m02_couplers/auto_cc",
+ "component_reference": "xilinx.com:ip:axi_clock_converter:2.1",
+ "ip_revision": "26",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_2",
+ "parameters": {
+ "component_parameters": {
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "512", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_ASYNC": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_auto_cc_2", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_IS_ACLK_ASYNC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "26" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_2" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "m_axi_wlast": [ { "direction": "out" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rready": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "s_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "s_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ]
+ }
+ },
+ "SI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "s_axi_aclk" } ]
+ }
+ },
+ "MI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "M_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "m_axi_aclk" } ]
+ }
+ },
+ "SI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "s_axi_aresetn" } ]
+ }
+ },
+ "MI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "m_axi_aresetn" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci
new file mode 100644
index 0000000..353d031
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci
@@ -0,0 +1,364 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_auto_cc_3",
+ "cell_name": "axi_interconnect_0/m03_couplers/auto_cc",
+ "component_reference": "xilinx.com:ip:axi_clock_converter:2.1",
+ "ip_revision": "26",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_3",
+ "parameters": {
+ "component_parameters": {
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "31", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "512", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_ASYNC": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_auto_cc_3", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "31", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_IS_ACLK_ASYNC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "26" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_3" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "30", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "30", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "30", "size_right": "0" } ],
+ "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "m_axi_wlast": [ { "direction": "out" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "30", "size_right": "0" } ],
+ "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rready": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "31", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "s_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "s_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "31", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ]
+ }
+ },
+ "SI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "s_axi_aclk" } ]
+ }
+ },
+ "MI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "M_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "m_axi_aclk" } ]
+ }
+ },
+ "SI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "s_axi_aresetn" } ]
+ }
+ },
+ "MI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "m_axi_aresetn" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci
new file mode 100644
index 0000000..8879764
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci
@@ -0,0 +1,329 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_auto_ds_0",
+ "cell_name": "axi_interconnect_0/m00_couplers/auto_ds",
+ "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1",
+ "ip_revision": "27",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_0",
+ "parameters": {
+ "component_parameters": {
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "PACKING_LEVEL": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SI_DATA_WIDTH": [ { "value": "512", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "MI_DATA_WIDTH": [ { "value": "32", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SI_ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FIFO_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_ASYNC": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_auto_ds_0", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SUPPORTS_ID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_FIFO_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_PACKING_LEVEL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "I" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "27" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_wlast": [ { "direction": "out" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rready": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "s_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "s_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ]
+ }
+ },
+ "SI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "s_axi_aclk" } ]
+ }
+ },
+ "SI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "s_axi_aresetn" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci
new file mode 100644
index 0000000..dffad62
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci
@@ -0,0 +1,329 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_auto_ds_1",
+ "cell_name": "axi_interconnect_0/m02_couplers/auto_ds",
+ "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1",
+ "ip_revision": "27",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_1",
+ "parameters": {
+ "component_parameters": {
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "PACKING_LEVEL": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SI_DATA_WIDTH": [ { "value": "512", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "MI_DATA_WIDTH": [ { "value": "32", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SI_ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FIFO_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_ASYNC": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_auto_ds_1", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SUPPORTS_ID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_FIFO_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_PACKING_LEVEL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "27" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_1" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_wlast": [ { "direction": "out" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rready": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "s_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "s_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ]
+ }
+ },
+ "SI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "s_axi_aclk" } ]
+ }
+ },
+ "SI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "s_axi_aresetn" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci
new file mode 100644
index 0000000..0a52954
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci
@@ -0,0 +1,329 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_auto_ds_2",
+ "cell_name": "axi_interconnect_0/m04_couplers/auto_ds",
+ "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1",
+ "ip_revision": "27",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_2",
+ "parameters": {
+ "component_parameters": {
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "13", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "PACKING_LEVEL": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SI_DATA_WIDTH": [ { "value": "512", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "MI_DATA_WIDTH": [ { "value": "32", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SI_ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FIFO_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_ASYNC": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_auto_ds_2", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SUPPORTS_ID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "13", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_FIFO_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_PACKING_LEVEL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "27" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_2" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "12", "size_right": "0", "driver_value": "0x0000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "12", "size_right": "0", "driver_value": "0x0000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "12", "size_right": "0" } ],
+ "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_wlast": [ { "direction": "out" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "12", "size_right": "0" } ],
+ "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rready": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "13", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "s_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "s_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "13", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ]
+ }
+ },
+ "SI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "s_axi_aclk" } ]
+ }
+ },
+ "SI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "s_axi_aresetn" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci
new file mode 100644
index 0000000..9edefaf
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci
@@ -0,0 +1,297 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_auto_pc_0",
+ "cell_name": "axi_interconnect_0/m00_couplers/auto_pc",
+ "component_reference": "xilinx.com:ip:axi_protocol_converter:2.1",
+ "ip_revision": "27",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_0",
+ "parameters": {
+ "component_parameters": {
+ "SI_PROTOCOL": [ { "value": "AXI4", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "MI_PROTOCOL": [ { "value": "AXI4LITE", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "TRANSLATION_MODE": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_auto_pc_0", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_M_AXI_PROTOCOL": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_IGNORE_ID": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_TRANSLATION_MODE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "27" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "aclk": [ { "direction": "in" } ],
+ "aresetn": [ { "direction": "in" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0xF" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rready": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "s_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "s_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4LITE", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ]
+ }
+ },
+ "CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "aclk" } ]
+ }
+ },
+ "RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "aresetn" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci
new file mode 100644
index 0000000..243fdcd
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci
@@ -0,0 +1,297 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_auto_pc_1",
+ "cell_name": "axi_interconnect_0/m02_couplers/auto_pc",
+ "component_reference": "xilinx.com:ip:axi_protocol_converter:2.1",
+ "ip_revision": "27",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_1",
+ "parameters": {
+ "component_parameters": {
+ "SI_PROTOCOL": [ { "value": "AXI4", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "MI_PROTOCOL": [ { "value": "AXI4LITE", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "TRANSLATION_MODE": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_auto_pc_1", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_M_AXI_PROTOCOL": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_IGNORE_ID": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_TRANSLATION_MODE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "27" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_1" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "aclk": [ { "direction": "in" } ],
+ "aresetn": [ { "direction": "in" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0xF" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rready": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "s_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "s_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4LITE", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ]
+ }
+ },
+ "CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "aclk" } ]
+ }
+ },
+ "RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "aresetn" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci
new file mode 100644
index 0000000..39ae361
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci
@@ -0,0 +1,337 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_auto_us_0",
+ "cell_name": "axi_interconnect_0/s00_couplers/auto_us",
+ "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1",
+ "ip_revision": "27",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_us_0",
+ "parameters": {
+ "component_parameters": {
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "PACKING_LEVEL": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SI_DATA_WIDTH": [ { "value": "64", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "MI_DATA_WIDTH": [ { "value": "512", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SI_ID_WIDTH": [ { "value": "4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FIFO_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_ASYNC": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_auto_us_0", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SUPPORTS_ID": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_FIFO_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_MAX_SPLIT_BEATS": [ { "value": "16", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_PACKING_LEVEL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "I" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "27" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_us_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0xFF" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "m_axi_wlast": [ { "direction": "out" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ],
+ "m_axi_rready": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "64", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "4", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "256", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWID": [ { "physical_name": "s_axi_awid" } ],
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "s_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "BID": [ { "physical_name": "s_axi_bid" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "ARID": [ { "physical_name": "s_axi_arid" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "s_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "RID": [ { "physical_name": "s_axi_rid" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ]
+ }
+ },
+ "SI_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "s_axi_aclk" } ]
+ }
+ },
+ "SI_RST": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "s_axi_aresetn" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci
new file mode 100644
index 0000000..6b4c313
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci
@@ -0,0 +1,259 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_axi_bram_ctrl_0_0",
+ "cell_name": "axi_bram_ctrl_0",
+ "component_reference": "xilinx.com:ip:axi_bram_ctrl:4.1",
+ "ip_revision": "7",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_bram_ctrl_0_0",
+ "parameters": {
+ "component_parameters": {
+ "DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "PROTOCOL": [ { "value": "AXI4", "resolve_type": "user", "usage": "all" } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SINGLE_PORT_BRAM": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ECC_TYPE": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "USE_ECC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FAULT_INJECT": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "ECC_ONOFF_RESET_VALUE": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_axi_bram_ctrl_0_0", "resolve_type": "user", "usage": "all" } ],
+ "BMG_INSTANCE": [ { "value": "EXTERNAL", "value_permission": "bd", "resolve_type": "user", "usage": "all" } ],
+ "MEM_DEPTH": [ { "value": "2048", "value_src": "propagated", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "READ_LATENCY": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "RD_CMD_OPTIMIZATION": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_BRAM_INST_MODE": [ { "value": "EXTERNAL", "resolve_type": "generated", "usage": "all" } ],
+ "C_MEMORY_DEPTH": [ { "value": "2048", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_BRAM_ADDR_WIDTH": [ { "value": "11", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ADDR_WIDTH": [ { "value": "13", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_PROTOCOL": [ { "value": "AXI4", "resolve_type": "generated", "usage": "all" } ],
+ "C_S_AXI_SUPPORTS_NARROW_BURST": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SINGLE_PORT_BRAM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_READ_LATENCY": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_RD_CMD_OPTIMIZATION": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_ECC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_ECC_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_FAULT_INJECT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_ECC_ONOFF_RESET_VALUE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "7" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_bram_ctrl_0_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "s_axi_aclk": [ { "direction": "in" } ],
+ "s_axi_aresetn": [ { "direction": "in" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "12", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_awlock": [ { "direction": "in", "driver_value": "0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "driver_value": "0" } ],
+ "s_axi_awready": [ { "direction": "out" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_wlast": [ { "direction": "in", "driver_value": "0" } ],
+ "s_axi_wvalid": [ { "direction": "in", "driver_value": "0" } ],
+ "s_axi_wready": [ { "direction": "out" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out" } ],
+ "s_axi_bready": [ { "direction": "in", "driver_value": "0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "12", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_arlock": [ { "direction": "in", "driver_value": "0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "driver_value": "0" } ],
+ "s_axi_arready": [ { "direction": "out" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out", "driver_value": "0" } ],
+ "s_axi_rvalid": [ { "direction": "out" } ],
+ "s_axi_rready": [ { "direction": "in", "driver_value": "0" } ],
+ "bram_rst_a": [ { "direction": "out" } ],
+ "bram_clk_a": [ { "direction": "out" } ],
+ "bram_en_a": [ { "direction": "out" } ],
+ "bram_we_a": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "bram_addr_a": [ { "direction": "out", "size_left": "12", "size_right": "0" } ],
+ "bram_wrdata_a": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "bram_rddata_a": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ]
+ },
+ "interfaces": {
+ "S_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "memory_map_ref": "S_AXI",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "13", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "ARADDR": [ { "physical_name": "s_axi_araddr" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid" } ],
+ "AWADDR": [ { "physical_name": "s_axi_awaddr" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid" } ]
+ }
+ },
+ "BRAM_PORTA": {
+ "vlnv": "xilinx.com:interface:bram:1.0",
+ "abstraction_type": "xilinx.com:interface:bram_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "MASTER_TYPE": [ { "value": "BRAM_CTRL", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "MEM_SIZE": [ { "value": "8192", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "MEM_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "MEM_ECC": [ { "value": "NONE", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_LATENCY": [ { "value": "1", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "ADDR": [ { "physical_name": "bram_addr_a" } ],
+ "CLK": [ { "physical_name": "bram_clk_a" } ],
+ "DIN": [ { "physical_name": "bram_wrdata_a" } ],
+ "DOUT": [ { "physical_name": "bram_rddata_a" } ],
+ "EN": [ { "physical_name": "bram_en_a" } ],
+ "RST": [ { "physical_name": "bram_rst_a" } ],
+ "WE": [ { "physical_name": "bram_we_a" } ]
+ }
+ },
+ "RSTIF": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "s_axi_aresetn" } ]
+ }
+ },
+ "CLKIF": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "ASSOCIATED_BUSIF": [ { "value": "S_AXI:S_AXI_CTRL", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "ASSOCIATED_RESET": [ { "value": "s_axi_aresetn", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "s_axi_aclk" } ]
+ }
+ }
+ },
+ "memory_maps": {
+ "S_AXI": {
+ "display_name": "S_AXI_MEM",
+ "description": "Memory Map for S_AXI",
+ "address_blocks": {
+ "Mem0": {
+ "base_address": "0",
+ "range": "4096",
+ "display_name": "Mem0",
+ "description": "Register Block",
+ "usage": "memory",
+ "access": "read-write"
+ }
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci
new file mode 100644
index 0000000..6c22406
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci
@@ -0,0 +1,354 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_axi_interconnect_0_0",
+ "cell_name": "axi_interconnect_0",
+ "component_reference": "xilinx.com:ip:axi_interconnect:2.1",
+ "ip_revision": "28",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_interconnect_0_0",
+ "parameters": {
+ "component_parameters": {
+ "NUM_SI": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "NUM_MI": [ { "value": "5", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "STRATEGY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ENABLE_ADVANCED_OPTIONS": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ENABLE_PROTOCOL_CHECKERS": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "XBAR_DATA_WIDTH": [ { "value": "32", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "PCHK_WAITS": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "PCHK_MAX_RD_BURSTS": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "PCHK_MAX_WR_BURSTS": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SYNCHRONIZATION_STAGES": [ { "value": "3", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M16_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M17_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M18_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M19_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M20_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M21_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M22_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M23_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M24_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M25_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M26_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M27_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M28_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M29_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M30_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M31_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M32_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M33_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M34_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M35_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M36_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M37_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M38_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M39_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M40_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M41_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M42_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M43_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M44_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M45_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M46_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M47_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M48_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M49_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M50_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M51_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M52_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M53_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M54_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M55_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M56_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M57_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M58_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M59_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M60_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M61_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M62_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M63_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M16_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M17_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M18_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M19_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M20_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M21_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M22_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M23_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M24_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M25_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M26_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M27_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M28_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M29_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M30_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M31_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M32_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M33_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M34_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M35_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M36_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M37_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M38_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M39_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M40_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M41_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M42_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M43_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M44_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M45_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M46_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M47_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M48_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M49_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M50_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M51_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M52_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M53_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M54_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M55_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M56_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M57_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M58_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M59_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M60_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M61_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M62_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M63_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S00_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S01_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S02_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S03_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S04_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S05_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S06_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S07_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S08_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S09_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S10_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S11_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S12_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S13_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S14_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S15_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S00_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S01_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S02_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S03_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S04_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S05_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S06_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S07_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S08_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S09_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S10_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S11_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S12_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S13_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S14_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S15_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M16_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M17_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M18_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M19_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M20_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M21_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M22_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M23_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M24_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M25_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M26_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M27_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M28_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M29_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M30_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M31_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M32_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M33_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M34_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M35_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M36_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M37_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M38_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M39_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M40_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M41_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M42_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M43_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M44_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M45_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M46_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M47_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M48_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M49_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M50_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M51_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M52_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M53_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M54_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M55_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M56_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M57_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M58_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M59_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M60_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M61_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M62_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M63_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M16_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M17_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M18_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M19_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M20_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M21_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M22_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M23_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M24_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M25_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M26_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M27_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M28_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M29_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M30_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M31_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M32_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M33_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M34_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M35_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M36_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M37_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M38_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M39_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M40_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M41_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M42_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M43_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M44_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M45_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M46_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M47_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M48_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M49_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M50_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M51_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M52_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M53_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M54_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M55_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M56_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M57_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M58_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M59_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M60_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M61_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M62_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M63_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S00_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S01_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S02_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S03_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S04_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S05_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S06_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S07_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S08_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S09_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S10_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S11_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S12_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S13_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S14_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S15_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_axi_interconnect_0_0", "resolve_type": "user", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "I" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator_AppCore" } ],
+ "IPREVISION": [ { "value": "28" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_interconnect_0_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "GLOBAL" } ]
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci
new file mode 100644
index 0000000..60d2581
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci
@@ -0,0 +1,264 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_blk_mem_gen_0_0",
+ "cell_name": "blk_mem_gen_0",
+ "component_reference": "xilinx.com:ip:blk_mem_gen:8.4",
+ "ip_revision": "5",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_blk_mem_gen_0_0",
+ "parameters": {
+ "component_parameters": {
+ "Component_Name": [ { "value": "Top_blk_mem_gen_0_0", "resolve_type": "user", "usage": "all" } ],
+ "Interface_Type": [ { "value": "Native", "resolve_type": "user", "usage": "all" } ],
+ "AXI_Type": [ { "value": "AXI4_Full", "resolve_type": "user", "usage": "all" } ],
+ "AXI_Slave_Type": [ { "value": "Memory_Slave", "resolve_type": "user", "usage": "all" } ],
+ "Use_AXI_ID": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "AXI_ID_Width": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "Memory_Type": [ { "value": "Single_Port_RAM", "resolve_type": "user", "usage": "all" } ],
+ "PRIM_type_to_Implement": [ { "value": "BRAM", "resolve_type": "user", "usage": "all" } ],
+ "Enable_32bit_Address": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "ecctype": [ { "value": "No_ECC", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "ECC": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "softecc": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "EN_SLEEP_PIN": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "EN_DEEPSLEEP_PIN": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "EN_SHUTDOWN_PIN": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "EN_ECC_PIPE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "RD_ADDR_CHNG_A": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "RD_ADDR_CHNG_B": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Use_Error_Injection_Pins": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Error_Injection_Type": [ { "value": "Single_Bit_Error_Injection", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Use_Byte_Write_Enable": [ { "value": "true", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Byte_Size": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Algorithm": [ { "value": "Minimum_Area", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Primitive": [ { "value": "8kx2", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Assume_Synchronous_Clk": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Write_Width_A": [ { "value": "32", "value_src": "propagated", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Write_Depth_A": [ { "value": "2048", "value_src": "propagated", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Read_Width_A": [ { "value": "32", "value_src": "propagated", "resolve_type": "user", "usage": "all" } ],
+ "Operating_Mode_A": [ { "value": "WRITE_FIRST", "resolve_type": "user", "usage": "all" } ],
+ "Enable_A": [ { "value": "Use_ENA_Pin", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Write_Width_B": [ { "value": "32", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Read_Width_B": [ { "value": "32", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Operating_Mode_B": [ { "value": "WRITE_FIRST", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Enable_B": [ { "value": "Always_Enabled", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Register_PortA_Output_of_Memory_Primitives": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "Register_PortA_Output_of_Memory_Core": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "Use_REGCEA_Pin": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Register_PortB_Output_of_Memory_Primitives": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Register_PortB_Output_of_Memory_Core": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Use_REGCEB_Pin": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "register_porta_input_of_softecc": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "register_portb_output_of_softecc": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Pipeline_Stages": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Load_Init_File": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Coe_File": [ { "value": "no_coe_file_loaded", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Fill_Remaining_Memory_Locations": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Remaining_Memory_Locations": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Use_RSTA_Pin": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "Reset_Memory_Latch_A": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Reset_Priority_A": [ { "value": "CE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Output_Reset_Value_A": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "Use_RSTB_Pin": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Reset_Memory_Latch_B": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Reset_Priority_B": [ { "value": "CE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Output_Reset_Value_B": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Reset_Type": [ { "value": "SYNC", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Additional_Inputs_for_Power_Estimation": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "Port_A_Clock": [ { "value": "100", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Port_A_Write_Rate": [ { "value": "50", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Port_B_Clock": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Port_B_Write_Rate": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Port_A_Enable_Rate": [ { "value": "100", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Port_B_Enable_Rate": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Collision_Warnings": [ { "value": "ALL", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "Disable_Collision_Warnings": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "Disable_Out_of_Range_Warnings": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "use_bram_block": [ { "value": "BRAM_Controller", "resolve_type": "user", "usage": "all" } ],
+ "MEM_FILE": [ { "value": "NONE", "value_src": "ip_propagated", "resolve_type": "user", "usage": "all" } ],
+ "CTRL_ECC_ALGO": [ { "value": "NONE", "value_src": "propagated", "resolve_type": "user", "usage": "all" } ],
+ "EN_SAFETY_CKT": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "READ_LATENCY_A": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "READ_LATENCY_B": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_XDEVICEFAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_ELABORATION_DIR": [ { "value": "./", "resolve_type": "generated", "usage": "all" } ],
+ "C_INTERFACE_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_TYPE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_SLAVE_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_USE_BRAM_BLOCK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_ENABLE_32BIT_ADDRESS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_CTRL_ECC_ALGO": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ],
+ "C_HAS_AXI_ID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_MEM_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_BYTE_SIZE": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_ALGORITHM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_PRIM_TYPE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_LOAD_INIT_FILE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_INIT_FILE_NAME": [ { "value": "no_coe_file_loaded", "resolve_type": "generated", "usage": "all" } ],
+ "C_INIT_FILE": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ],
+ "C_USE_DEFAULT_DATA": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_DEFAULT_DATA": [ { "value": "0", "resolve_type": "generated", "usage": "all" } ],
+ "C_HAS_RSTA": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_RST_PRIORITY_A": [ { "value": "CE", "resolve_type": "generated", "usage": "all" } ],
+ "C_RSTRAM_A": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_INITA_VAL": [ { "value": "0", "resolve_type": "generated", "usage": "all" } ],
+ "C_HAS_ENA": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_HAS_REGCEA": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_USE_BYTE_WEA": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_WEA_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_WRITE_MODE_A": [ { "value": "WRITE_FIRST", "resolve_type": "generated", "usage": "all" } ],
+ "C_WRITE_WIDTH_A": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_READ_WIDTH_A": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_WRITE_DEPTH_A": [ { "value": "2048", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_READ_DEPTH_A": [ { "value": "2048", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_ADDRA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_HAS_RSTB": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_RST_PRIORITY_B": [ { "value": "CE", "resolve_type": "generated", "usage": "all" } ],
+ "C_RSTRAM_B": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_INITB_VAL": [ { "value": "0", "resolve_type": "generated", "usage": "all" } ],
+ "C_HAS_ENB": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_HAS_REGCEB": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_USE_BYTE_WEB": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_WEB_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_WRITE_MODE_B": [ { "value": "WRITE_FIRST", "resolve_type": "generated", "usage": "all" } ],
+ "C_WRITE_WIDTH_B": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_READ_WIDTH_B": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_WRITE_DEPTH_B": [ { "value": "2048", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_READ_DEPTH_B": [ { "value": "2048", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_ADDRB_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_HAS_MEM_OUTPUT_REGS_A": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_HAS_MEM_OUTPUT_REGS_B": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_HAS_MUX_OUTPUT_REGS_A": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_HAS_MUX_OUTPUT_REGS_B": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_MUX_PIPELINE_STAGES": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_HAS_SOFTECC_INPUT_REGS_A": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_HAS_SOFTECC_OUTPUT_REGS_B": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_USE_SOFTECC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_USE_ECC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_EN_ECC_PIPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_READ_LATENCY_A": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_READ_LATENCY_B": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_HAS_INJECTERR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SIM_COLLISION_CHECK": [ { "value": "ALL", "resolve_type": "generated", "usage": "all" } ],
+ "C_COMMON_CLK": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_DISABLE_WARN_BHV_COLL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_EN_SLEEP_PIN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_USE_URAM": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_EN_RDADDRA_CHG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_EN_RDADDRB_CHG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_EN_DEEPSLEEP_PIN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_EN_SHUTDOWN_PIN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_EN_SAFETY_CKT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_DISABLE_WARN_BHV_RANGE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_COUNT_36K_BRAM": [ { "value": "2", "resolve_type": "generated", "usage": "all" } ],
+ "C_COUNT_18K_BRAM": [ { "value": "0", "resolve_type": "generated", "usage": "all" } ],
+ "C_EST_POWER_SUMMARY": [ { "value": "Estimated Power for IP : 5.3746 mW", "resolve_type": "generated", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "5" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_blk_mem_gen_0_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "clka": [ { "direction": "in", "driver_value": "0" } ],
+ "rsta": [ { "direction": "in", "driver_value": "0" } ],
+ "ena": [ { "direction": "in", "driver_value": "0" } ],
+ "wea": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "addra": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ],
+ "dina": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ],
+ "douta": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "rsta_busy": [ { "direction": "out" } ]
+ },
+ "interfaces": {
+ "CLK.ACLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "ASSOCIATED_BUSIF": [ { "value": "AXI_SLAVE_S_AXI:AXILite_SLAVE_S_AXI", "value_src": "constant", "usage": "all" } ],
+ "ASSOCIATED_RESET": [ { "value": "s_aresetn", "value_src": "constant", "usage": "all" } ],
+ "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ }
+ },
+ "RST.ARESETN": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ }
+ },
+ "BRAM_PORTA": {
+ "vlnv": "xilinx.com:interface:bram:1.0",
+ "abstraction_type": "xilinx.com:interface:bram_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "MEM_SIZE": [ { "value": "8192", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "MEM_WIDTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "MEM_ECC": [ { "value": "NONE", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "MASTER_TYPE": [ { "value": "BRAM_CTRL", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_LATENCY": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "ADDR": [ { "physical_name": "addra" } ],
+ "CLK": [ { "physical_name": "clka" } ],
+ "DIN": [ { "physical_name": "dina" } ],
+ "DOUT": [ { "physical_name": "douta" } ],
+ "EN": [ { "physical_name": "ena" } ],
+ "RST": [ { "physical_name": "rsta" } ],
+ "WE": [ { "physical_name": "wea" } ]
+ }
+ }
+ },
+ "memory_maps": {
+ "S_1": {
+ "address_blocks": {
+ "Mem0": {
+ "base_address": "0",
+ "range": "4096",
+ "usage": "memory",
+ "access": "read-write",
+ "parameters": {
+ "OFFSET_BASE_PARAM": [ { "value": "C_BASEADDR" } ],
+ "OFFSET_HIGH_PARAM": [ { "value": "C_HIGHADDR" } ]
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci
new file mode 100644
index 0000000..d6f873f
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci
@@ -0,0 +1,2190 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_mig_7series_1_0",
+ "cell_name": "mig_7series_1",
+ "component_reference": "xilinx.com:ip:mig_7series:4.2",
+ "ip_revision": "1",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_mig_7series_1_0",
+ "parameters": {
+ "component_parameters": {
+ "XML_INPUT_FILE": [ { "value": "mig_b.prj", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+ "RESET_BOARD_INTERFACE": [ { "value": "Custom", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+ "MIG_DONT_TOUCH_PARAM": [ { "value": "Custom", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+ "BOARD_MIG_PARAM": [ { "value": "Custom", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_mig_7series_1_0", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "NoOfControllers": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "COMBINED_INTERFACE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "REFCLK_TYPE": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ],
+ "MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ],
+ "TEMP_MON_CONTROL": [ { "value": "INTERNAL", "resolve_type": "generated", "usage": "all" } ],
+ "POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ],
+ "IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ],
+ "USE_AXI": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "ECC": [ { "value": "ON", "resolve_type": "generated", "usage": "all" } ],
+ "DDR3_DQ_WIDTH": [ { "value": "72", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_DQS_WIDTH": [ { "value": "9", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_ROW_WIDTH": [ { "value": "15", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_USE_DM_PORT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "DDR3_DQS_CNT_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_nCK_PER_CLK": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR3_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "FREQ_HZ": [ { "value": "133333333", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "MMCM_VCO": [ { "value": "1066", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_ADDR_WIDTH": [ { "value": "31", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_MEM_SIZE": [ { "value": "2147483648", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ],
+ "C0_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C0_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_USE_AXI": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_ECC": [ { "value": "ON", "resolve_type": "generated", "usage": "all" } ],
+ "C0_DDR3_DQ_WIDTH": [ { "value": "72", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_DQS_WIDTH": [ { "value": "9", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_ROW_WIDTH": [ { "value": "15", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_USE_DM_PORT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_DDR3_DQS_CNT_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_DQS_CNT_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_nCK_PER_CLK": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR3_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_FREQ_HZ": [ { "value": "133333333", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C0_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C0_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C0_MMCM_VCO": [ { "value": "1066", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C0_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C0_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C0_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C0_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C0_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C0_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C0_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_C_S_AXI_ADDR_WIDTH": [ { "value": "31", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_C_S_AXI_MEM_SIZE": [ { "value": "2147483648", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C0_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C0_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ],
+ "C1_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ],
+ "C1_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C1_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_USE_AXI": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_ECC": [ { "value": "ON", "resolve_type": "generated", "usage": "all" } ],
+ "C1_DDR3_DQ_WIDTH": [ { "value": "72", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_DQS_WIDTH": [ { "value": "9", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_ROW_WIDTH": [ { "value": "15", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_USE_DM_PORT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_DDR3_DQS_CNT_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_DQS_CNT_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_nCK_PER_CLK": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR3_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_FREQ_HZ": [ { "value": "133333333", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C1_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C1_MMCM_VCO": [ { "value": "1066", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C1_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C1_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C1_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C1_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C1_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C1_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C1_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_C_S_AXI_ADDR_WIDTH": [ { "value": "31", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_C_S_AXI_MEM_SIZE": [ { "value": "2147483648", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C1_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C1_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ],
+ "C2_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ],
+ "C2_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C2_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C2_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C2_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C2_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C2_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C2_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C2_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C2_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C2_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C2_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C2_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C2_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ],
+ "C3_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ],
+ "C3_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C3_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C3_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C3_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C3_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C3_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C3_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C3_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C3_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C3_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C3_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C3_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C3_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ],
+ "C4_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ],
+ "C4_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C4_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C4_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C4_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C4_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C4_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C4_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C4_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C4_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C4_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C4_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C4_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C4_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ],
+ "C5_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ],
+ "C5_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C5_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C5_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C5_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C5_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C5_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C5_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C5_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C5_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C5_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C5_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C5_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C5_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ],
+ "C6_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ],
+ "C6_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C6_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C6_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C6_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C6_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C6_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C6_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C6_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C6_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C6_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C6_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C6_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C6_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C6_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ],
+ "C7_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ],
+ "C7_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C7_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C7_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C7_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C7_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C7_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+ "C7_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C7_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C7_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C7_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C7_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C7_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ],
+ "C7_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C7_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "1" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_mig_7series_1_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "sys_rst": [ { "direction": "in" } ],
+ "c0_ddr3_dq": [ { "direction": "inout", "size_left": "71", "size_right": "0", "display_name": "Data", "description": "Data" } ],
+ "c0_ddr3_dqs_p": [ { "direction": "inout", "size_left": "8", "size_right": "0", "display_name": "Data Strobe", "description": "Data Strobe" } ],
+ "c0_ddr3_dqs_n": [ { "direction": "inout", "size_left": "8", "size_right": "0", "display_name": "Data Strobe", "description": "Data Strobe" } ],
+ "c0_ddr3_addr": [ { "direction": "out", "size_left": "14", "size_right": "0", "display_name": "Address", "description": "Address" } ],
+ "c0_ddr3_ba": [ { "direction": "out", "size_left": "2", "size_right": "0", "display_name": "Bank Address", "description": "Bank Address" } ],
+ "c0_ddr3_ras_n": [ { "direction": "out", "display_name": "row address strobe", "description": "row address strobe" } ],
+ "c0_ddr3_cas_n": [ { "direction": "out", "display_name": "column address strobe", "description": "column address strobe" } ],
+ "c0_ddr3_we_n": [ { "direction": "out", "display_name": "write enable", "description": "write enable" } ],
+ "c0_ddr3_reset_n": [ { "direction": "out", "display_name": "reset to memory device", "description": "reset to memory device" } ],
+ "c0_ddr3_ck_p": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock to memory device", "description": "clock to memory device" } ],
+ "c0_ddr3_ck_n": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock to memory device", "description": "clock to memory device" } ],
+ "c0_ddr3_cke": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock enable", "description": "clock enable" } ],
+ "c0_ddr3_cs_n": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "chip select", "description": "chip select" } ],
+ "c0_ddr3_odt": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "on die termination", "description": "on die termination" } ],
+ "c0_ui_clk_sync_rst": [ { "direction": "out" } ],
+ "c0_ui_clk": [ { "direction": "out" } ],
+ "c0_s_axi_ctrl_awvalid": [ { "direction": "in" } ],
+ "c0_s_axi_ctrl_awready": [ { "direction": "out" } ],
+ "c0_s_axi_ctrl_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0" } ],
+ "c0_s_axi_ctrl_wvalid": [ { "direction": "in" } ],
+ "c0_s_axi_ctrl_wready": [ { "direction": "out" } ],
+ "c0_s_axi_ctrl_wdata": [ { "direction": "in", "size_left": "31", "size_right": "0" } ],
+ "c0_s_axi_ctrl_bvalid": [ { "direction": "out" } ],
+ "c0_s_axi_ctrl_bready": [ { "direction": "in" } ],
+ "c0_s_axi_ctrl_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "c0_s_axi_ctrl_arvalid": [ { "direction": "in" } ],
+ "c0_s_axi_ctrl_arready": [ { "direction": "out" } ],
+ "c0_s_axi_ctrl_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0" } ],
+ "c0_s_axi_ctrl_rvalid": [ { "direction": "out" } ],
+ "c0_s_axi_ctrl_rready": [ { "direction": "in" } ],
+ "c0_s_axi_ctrl_rdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "c0_s_axi_ctrl_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "c0_s_axi_awid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c0_s_axi_awaddr": [ { "direction": "in", "size_left": "30", "size_right": "0" } ],
+ "c0_s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0" } ],
+ "c0_s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0" } ],
+ "c0_s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0" } ],
+ "c0_s_axi_awlock": [ { "direction": "in", "driver_value": "0" } ],
+ "c0_s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c0_s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ],
+ "c0_s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c0_s_axi_awvalid": [ { "direction": "in" } ],
+ "c0_s_axi_awready": [ { "direction": "out" } ],
+ "c0_s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0" } ],
+ "c0_s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0" } ],
+ "c0_s_axi_wlast": [ { "direction": "in" } ],
+ "c0_s_axi_wvalid": [ { "direction": "in" } ],
+ "c0_s_axi_wready": [ { "direction": "out" } ],
+ "c0_s_axi_bready": [ { "direction": "in" } ],
+ "c0_s_axi_bid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "c0_s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "c0_s_axi_bvalid": [ { "direction": "out" } ],
+ "c0_s_axi_arid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c0_s_axi_araddr": [ { "direction": "in", "size_left": "30", "size_right": "0" } ],
+ "c0_s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0" } ],
+ "c0_s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0" } ],
+ "c0_s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0" } ],
+ "c0_s_axi_arlock": [ { "direction": "in", "driver_value": "0" } ],
+ "c0_s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c0_s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ],
+ "c0_s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c0_s_axi_arvalid": [ { "direction": "in" } ],
+ "c0_s_axi_arready": [ { "direction": "out" } ],
+ "c0_s_axi_rready": [ { "direction": "in" } ],
+ "c0_s_axi_rid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "c0_s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "c0_s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "c0_s_axi_rlast": [ { "direction": "out" } ],
+ "c0_s_axi_rvalid": [ { "direction": "out" } ],
+ "c0_interrupt": [ { "direction": "out" } ],
+ "c0_mmcm_locked": [ { "direction": "out" } ],
+ "c0_sys_clk_p": [ { "direction": "in", "display_name": "Clock P", "description": "Clock P" } ],
+ "c0_sys_clk_n": [ { "direction": "in", "display_name": "Clock P", "description": "Clock P" } ],
+ "c0_init_calib_complete": [ { "direction": "out" } ],
+ "c0_aresetn": [ { "direction": "in" } ],
+ "c1_ddr3_dq": [ { "direction": "inout", "size_left": "71", "size_right": "0", "display_name": "Data", "description": "Data" } ],
+ "c1_ddr3_dqs_p": [ { "direction": "inout", "size_left": "8", "size_right": "0", "display_name": "Data Strobe", "description": "Data Strobe" } ],
+ "c1_ddr3_dqs_n": [ { "direction": "inout", "size_left": "8", "size_right": "0", "display_name": "Data Strobe", "description": "Data Strobe" } ],
+ "c1_ddr3_addr": [ { "direction": "out", "size_left": "14", "size_right": "0", "display_name": "Address", "description": "Address" } ],
+ "c1_ddr3_ba": [ { "direction": "out", "size_left": "2", "size_right": "0", "display_name": "Bank Address", "description": "Bank Address" } ],
+ "c1_ddr3_ras_n": [ { "direction": "out", "display_name": "row address strobe", "description": "row address strobe" } ],
+ "c1_ddr3_cas_n": [ { "direction": "out", "display_name": "column address strobe", "description": "column address strobe" } ],
+ "c1_ddr3_we_n": [ { "direction": "out", "display_name": "write enable", "description": "write enable" } ],
+ "c1_ddr3_reset_n": [ { "direction": "out", "display_name": "reset to memory device", "description": "reset to memory device" } ],
+ "c1_ddr3_ck_p": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock to memory device", "description": "clock to memory device" } ],
+ "c1_ddr3_ck_n": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock to memory device", "description": "clock to memory device" } ],
+ "c1_ddr3_cke": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock enable", "description": "clock enable" } ],
+ "c1_ddr3_cs_n": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "chip select", "description": "chip select" } ],
+ "c1_ddr3_odt": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "on die termination", "description": "on die termination" } ],
+ "c1_ui_clk_sync_rst": [ { "direction": "out" } ],
+ "c1_ui_clk": [ { "direction": "out" } ],
+ "c1_s_axi_ctrl_awvalid": [ { "direction": "in" } ],
+ "c1_s_axi_ctrl_awready": [ { "direction": "out" } ],
+ "c1_s_axi_ctrl_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0" } ],
+ "c1_s_axi_ctrl_wvalid": [ { "direction": "in" } ],
+ "c1_s_axi_ctrl_wready": [ { "direction": "out" } ],
+ "c1_s_axi_ctrl_wdata": [ { "direction": "in", "size_left": "31", "size_right": "0" } ],
+ "c1_s_axi_ctrl_bvalid": [ { "direction": "out" } ],
+ "c1_s_axi_ctrl_bready": [ { "direction": "in" } ],
+ "c1_s_axi_ctrl_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "c1_s_axi_ctrl_arvalid": [ { "direction": "in" } ],
+ "c1_s_axi_ctrl_arready": [ { "direction": "out" } ],
+ "c1_s_axi_ctrl_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0" } ],
+ "c1_s_axi_ctrl_rvalid": [ { "direction": "out" } ],
+ "c1_s_axi_ctrl_rready": [ { "direction": "in" } ],
+ "c1_s_axi_ctrl_rdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "c1_s_axi_ctrl_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "c1_s_axi_awid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c1_s_axi_awaddr": [ { "direction": "in", "size_left": "30", "size_right": "0" } ],
+ "c1_s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0" } ],
+ "c1_s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0" } ],
+ "c1_s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0" } ],
+ "c1_s_axi_awlock": [ { "direction": "in", "driver_value": "0" } ],
+ "c1_s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c1_s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ],
+ "c1_s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c1_s_axi_awvalid": [ { "direction": "in" } ],
+ "c1_s_axi_awready": [ { "direction": "out" } ],
+ "c1_s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0" } ],
+ "c1_s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0" } ],
+ "c1_s_axi_wlast": [ { "direction": "in" } ],
+ "c1_s_axi_wvalid": [ { "direction": "in" } ],
+ "c1_s_axi_wready": [ { "direction": "out" } ],
+ "c1_s_axi_bready": [ { "direction": "in" } ],
+ "c1_s_axi_bid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "c1_s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "c1_s_axi_bvalid": [ { "direction": "out" } ],
+ "c1_s_axi_arid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c1_s_axi_araddr": [ { "direction": "in", "size_left": "30", "size_right": "0" } ],
+ "c1_s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0" } ],
+ "c1_s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0" } ],
+ "c1_s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0" } ],
+ "c1_s_axi_arlock": [ { "direction": "in", "driver_value": "0" } ],
+ "c1_s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c1_s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ],
+ "c1_s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "c1_s_axi_arvalid": [ { "direction": "in" } ],
+ "c1_s_axi_arready": [ { "direction": "out" } ],
+ "c1_s_axi_rready": [ { "direction": "in" } ],
+ "c1_s_axi_rid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "c1_s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "c1_s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "c1_s_axi_rlast": [ { "direction": "out" } ],
+ "c1_s_axi_rvalid": [ { "direction": "out" } ],
+ "c1_interrupt": [ { "direction": "out" } ],
+ "c1_mmcm_locked": [ { "direction": "out" } ],
+ "c1_sys_clk_p": [ { "direction": "in", "display_name": "Clock P", "description": "Clock P" } ],
+ "c1_sys_clk_n": [ { "direction": "in", "display_name": "Clock P", "description": "Clock P" } ],
+ "c1_init_calib_complete": [ { "direction": "out" } ],
+ "c1_aresetn": [ { "direction": "in" } ]
+ },
+ "interfaces": {
+ "SYSTEM_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "usage": "all" } ],
+ "BOARD.ASSOCIATED_PARAM": [ { "value": "RESET_BOARD_INTERFACE", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "sys_rst" } ]
+ }
+ },
+ "ARESETN": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ }
+ },
+ "C0_DDR3": {
+ "vlnv": "xilinx.com:interface:ddrx:1.0",
+ "abstraction_type": "xilinx.com:interface:ddrx_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "CAN_DEBUG": [ { "value": "false", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ],
+ "TIMEPERIOD_PS": [ { "value": "1250", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "MEMORY_TYPE": [ { "value": "COMPONENTS", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "MEMORY_PART": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "DATA_WIDTH": [ { "value": "8", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CS_ENABLED": [ { "value": "true", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ],
+ "DATA_MASK_ENABLED": [ { "value": "true", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ],
+ "SLOT": [ { "value": "Single", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "CUSTOM_PARTS": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "MEM_ADDR_MAP": [ { "value": "ROW_COLUMN_BANK", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "BURST_LENGTH": [ { "value": "8", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "AXI_ARBITRATION_SCHEME": [ { "value": "TDM", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "CAS_LATENCY": [ { "value": "11", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CAS_WRITE_LATENCY": [ { "value": "11", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "DQ": [ { "physical_name": "c0_ddr3_dq" } ],
+ "DQS_P": [ { "physical_name": "c0_ddr3_dqs_p" } ],
+ "DQS_N": [ { "physical_name": "c0_ddr3_dqs_n" } ],
+ "ADDR": [ { "physical_name": "c0_ddr3_addr" } ],
+ "BA": [ { "physical_name": "c0_ddr3_ba" } ],
+ "RAS_N": [ { "physical_name": "c0_ddr3_ras_n" } ],
+ "CAS_N": [ { "physical_name": "c0_ddr3_cas_n" } ],
+ "WE_N": [ { "physical_name": "c0_ddr3_we_n" } ],
+ "RESET_N": [ { "physical_name": "c0_ddr3_reset_n" } ],
+ "CK_P": [ { "physical_name": "c0_ddr3_ck_p" } ],
+ "CK_N": [ { "physical_name": "c0_ddr3_ck_n" } ],
+ "CKE": [ { "physical_name": "c0_ddr3_cke" } ],
+ "CS_N": [ { "physical_name": "c0_ddr3_cs_n" } ],
+ "ODT": [ { "physical_name": "c0_ddr3_odt" } ]
+ }
+ },
+ "C0_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "c0_ui_clk_sync_rst" } ]
+ }
+ },
+ "C0_DDR3_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C0_DDR2_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C0_LPDDR2_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C0_QDRIIP_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C0_RLDII_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C0_RLDIII_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C0_CLOCK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S0_AXI:S0_AXI_CTRL", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "ASSOCIATED_RESET": [ { "value": "c0_aresetn:c0_ui_clk_sync_rst", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "c0_ui_clk" } ]
+ }
+ },
+ "C0_MMCM_CLKOUT0": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_ASYNC_RESET": [ { "value": "c0_aresetn", "value_src": "constant", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C0_MMCM_CLKOUT1": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_ASYNC_RESET": [ { "value": "c0_aresetn", "value_src": "constant", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C0_MMCM_CLKOUT2": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_ASYNC_RESET": [ { "value": "c0_aresetn", "value_src": "constant", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C0_MMCM_CLKOUT3": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_ASYNC_RESET": [ { "value": "c0_aresetn", "value_src": "constant", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C0_MMCM_CLKOUT4": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_ASYNC_RESET": [ { "value": "c0_aresetn", "value_src": "constant", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "S0_AXI_CTRL": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "memory_map_ref": "c0_s_axi_ctrl_memmap",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4LITE", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "1", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWVALID": [ { "physical_name": "c0_s_axi_ctrl_awvalid" } ],
+ "AWREADY": [ { "physical_name": "c0_s_axi_ctrl_awready" } ],
+ "AWADDR": [ { "physical_name": "c0_s_axi_ctrl_awaddr" } ],
+ "WVALID": [ { "physical_name": "c0_s_axi_ctrl_wvalid" } ],
+ "WREADY": [ { "physical_name": "c0_s_axi_ctrl_wready" } ],
+ "WDATA": [ { "physical_name": "c0_s_axi_ctrl_wdata" } ],
+ "BVALID": [ { "physical_name": "c0_s_axi_ctrl_bvalid" } ],
+ "BREADY": [ { "physical_name": "c0_s_axi_ctrl_bready" } ],
+ "BRESP": [ { "physical_name": "c0_s_axi_ctrl_bresp" } ],
+ "ARVALID": [ { "physical_name": "c0_s_axi_ctrl_arvalid" } ],
+ "ARREADY": [ { "physical_name": "c0_s_axi_ctrl_arready" } ],
+ "ARADDR": [ { "physical_name": "c0_s_axi_ctrl_araddr" } ],
+ "RVALID": [ { "physical_name": "c0_s_axi_ctrl_rvalid" } ],
+ "RREADY": [ { "physical_name": "c0_s_axi_ctrl_rready" } ],
+ "RDATA": [ { "physical_name": "c0_s_axi_ctrl_rdata" } ],
+ "RRESP": [ { "physical_name": "c0_s_axi_ctrl_rresp" } ]
+ }
+ },
+ "S0_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "memory_map_ref": "c0_memmap",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "512", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "4", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "31", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWID": [ { "physical_name": "c0_s_axi_awid" } ],
+ "AWADDR": [ { "physical_name": "c0_s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "c0_s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "c0_s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "c0_s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "c0_s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "c0_s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "c0_s_axi_awprot" } ],
+ "AWQOS": [ { "physical_name": "c0_s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "c0_s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "c0_s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "c0_s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "c0_s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "c0_s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "c0_s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "c0_s_axi_wready" } ],
+ "BREADY": [ { "physical_name": "c0_s_axi_bready" } ],
+ "BID": [ { "physical_name": "c0_s_axi_bid" } ],
+ "BRESP": [ { "physical_name": "c0_s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "c0_s_axi_bvalid" } ],
+ "ARID": [ { "physical_name": "c0_s_axi_arid" } ],
+ "ARADDR": [ { "physical_name": "c0_s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "c0_s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "c0_s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "c0_s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "c0_s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "c0_s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "c0_s_axi_arprot" } ],
+ "ARQOS": [ { "physical_name": "c0_s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "c0_s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "c0_s_axi_arready" } ],
+ "RREADY": [ { "physical_name": "c0_s_axi_rready" } ],
+ "RID": [ { "physical_name": "c0_s_axi_rid" } ],
+ "RDATA": [ { "physical_name": "c0_s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "c0_s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "c0_s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "c0_s_axi_rvalid" } ]
+ }
+ },
+ "C0_SYS_CLK": {
+ "vlnv": "xilinx.com:interface:diff_clock:1.0",
+ "abstraction_type": "xilinx.com:interface:diff_clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "CAN_DEBUG": [ { "value": "false", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "100000000", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK_P": [ { "physical_name": "c0_sys_clk_p" } ],
+ "CLK_N": [ { "physical_name": "c0_sys_clk_n" } ]
+ }
+ },
+ "C0_ARESETN": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "ARESETN": [ { "physical_name": "c0_aresetn" } ]
+ }
+ },
+ "C1_DDR3": {
+ "vlnv": "xilinx.com:interface:ddrx:1.0",
+ "abstraction_type": "xilinx.com:interface:ddrx_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "CAN_DEBUG": [ { "value": "false", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ],
+ "TIMEPERIOD_PS": [ { "value": "1250", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "MEMORY_TYPE": [ { "value": "COMPONENTS", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "MEMORY_PART": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "DATA_WIDTH": [ { "value": "8", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CS_ENABLED": [ { "value": "true", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ],
+ "DATA_MASK_ENABLED": [ { "value": "true", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ],
+ "SLOT": [ { "value": "Single", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "CUSTOM_PARTS": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "MEM_ADDR_MAP": [ { "value": "ROW_COLUMN_BANK", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "BURST_LENGTH": [ { "value": "8", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "AXI_ARBITRATION_SCHEME": [ { "value": "TDM", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "CAS_LATENCY": [ { "value": "11", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CAS_WRITE_LATENCY": [ { "value": "11", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "DQ": [ { "physical_name": "c1_ddr3_dq" } ],
+ "DQS_P": [ { "physical_name": "c1_ddr3_dqs_p" } ],
+ "DQS_N": [ { "physical_name": "c1_ddr3_dqs_n" } ],
+ "ADDR": [ { "physical_name": "c1_ddr3_addr" } ],
+ "BA": [ { "physical_name": "c1_ddr3_ba" } ],
+ "RAS_N": [ { "physical_name": "c1_ddr3_ras_n" } ],
+ "CAS_N": [ { "physical_name": "c1_ddr3_cas_n" } ],
+ "WE_N": [ { "physical_name": "c1_ddr3_we_n" } ],
+ "RESET_N": [ { "physical_name": "c1_ddr3_reset_n" } ],
+ "CK_P": [ { "physical_name": "c1_ddr3_ck_p" } ],
+ "CK_N": [ { "physical_name": "c1_ddr3_ck_n" } ],
+ "CKE": [ { "physical_name": "c1_ddr3_cke" } ],
+ "CS_N": [ { "physical_name": "c1_ddr3_cs_n" } ],
+ "ODT": [ { "physical_name": "c1_ddr3_odt" } ]
+ }
+ },
+ "C1_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "c1_ui_clk_sync_rst" } ]
+ }
+ },
+ "C1_DDR3_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C1_DDR2_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C1_LPDDR2_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C1_QDRIIP_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C1_RLDII_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C1_RLDIII_RESET": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C1_CLOCK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_BUSIF": [ { "value": "S1_AXI:S1_AXI_CTRL", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "ASSOCIATED_RESET": [ { "value": "c1_aresetn:c1_ui_clk_sync_rst", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "c1_ui_clk" } ]
+ }
+ },
+ "C1_MMCM_CLKOUT0": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_ASYNC_RESET": [ { "value": "c1_aresetn", "value_src": "constant", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C1_MMCM_CLKOUT1": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_ASYNC_RESET": [ { "value": "c1_aresetn", "value_src": "constant", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C1_MMCM_CLKOUT2": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_ASYNC_RESET": [ { "value": "c1_aresetn", "value_src": "constant", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C1_MMCM_CLKOUT3": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_ASYNC_RESET": [ { "value": "c1_aresetn", "value_src": "constant", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "C1_MMCM_CLKOUT4": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "ASSOCIATED_ASYNC_RESET": [ { "value": "c1_aresetn", "value_src": "constant", "usage": "all" } ],
+ "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ }
+ },
+ "S1_AXI_CTRL": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "memory_map_ref": "c1_s_axi_ctrl_memmap",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4LITE", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "32", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "1", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWVALID": [ { "physical_name": "c1_s_axi_ctrl_awvalid" } ],
+ "AWREADY": [ { "physical_name": "c1_s_axi_ctrl_awready" } ],
+ "AWADDR": [ { "physical_name": "c1_s_axi_ctrl_awaddr" } ],
+ "WVALID": [ { "physical_name": "c1_s_axi_ctrl_wvalid" } ],
+ "WREADY": [ { "physical_name": "c1_s_axi_ctrl_wready" } ],
+ "WDATA": [ { "physical_name": "c1_s_axi_ctrl_wdata" } ],
+ "BVALID": [ { "physical_name": "c1_s_axi_ctrl_bvalid" } ],
+ "BREADY": [ { "physical_name": "c1_s_axi_ctrl_bready" } ],
+ "BRESP": [ { "physical_name": "c1_s_axi_ctrl_bresp" } ],
+ "ARVALID": [ { "physical_name": "c1_s_axi_ctrl_arvalid" } ],
+ "ARREADY": [ { "physical_name": "c1_s_axi_ctrl_arready" } ],
+ "ARADDR": [ { "physical_name": "c1_s_axi_ctrl_araddr" } ],
+ "RVALID": [ { "physical_name": "c1_s_axi_ctrl_rvalid" } ],
+ "RREADY": [ { "physical_name": "c1_s_axi_ctrl_rready" } ],
+ "RDATA": [ { "physical_name": "c1_s_axi_ctrl_rdata" } ],
+ "RRESP": [ { "physical_name": "c1_s_axi_ctrl_rresp" } ]
+ }
+ },
+ "S1_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "memory_map_ref": "c1_memmap",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "512", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "4", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "31", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWID": [ { "physical_name": "c1_s_axi_awid" } ],
+ "AWADDR": [ { "physical_name": "c1_s_axi_awaddr" } ],
+ "AWLEN": [ { "physical_name": "c1_s_axi_awlen" } ],
+ "AWSIZE": [ { "physical_name": "c1_s_axi_awsize" } ],
+ "AWBURST": [ { "physical_name": "c1_s_axi_awburst" } ],
+ "AWLOCK": [ { "physical_name": "c1_s_axi_awlock" } ],
+ "AWCACHE": [ { "physical_name": "c1_s_axi_awcache" } ],
+ "AWPROT": [ { "physical_name": "c1_s_axi_awprot" } ],
+ "AWQOS": [ { "physical_name": "c1_s_axi_awqos" } ],
+ "AWVALID": [ { "physical_name": "c1_s_axi_awvalid" } ],
+ "AWREADY": [ { "physical_name": "c1_s_axi_awready" } ],
+ "WDATA": [ { "physical_name": "c1_s_axi_wdata" } ],
+ "WSTRB": [ { "physical_name": "c1_s_axi_wstrb" } ],
+ "WLAST": [ { "physical_name": "c1_s_axi_wlast" } ],
+ "WVALID": [ { "physical_name": "c1_s_axi_wvalid" } ],
+ "WREADY": [ { "physical_name": "c1_s_axi_wready" } ],
+ "BREADY": [ { "physical_name": "c1_s_axi_bready" } ],
+ "BID": [ { "physical_name": "c1_s_axi_bid" } ],
+ "BRESP": [ { "physical_name": "c1_s_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "c1_s_axi_bvalid" } ],
+ "ARID": [ { "physical_name": "c1_s_axi_arid" } ],
+ "ARADDR": [ { "physical_name": "c1_s_axi_araddr" } ],
+ "ARLEN": [ { "physical_name": "c1_s_axi_arlen" } ],
+ "ARSIZE": [ { "physical_name": "c1_s_axi_arsize" } ],
+ "ARBURST": [ { "physical_name": "c1_s_axi_arburst" } ],
+ "ARLOCK": [ { "physical_name": "c1_s_axi_arlock" } ],
+ "ARCACHE": [ { "physical_name": "c1_s_axi_arcache" } ],
+ "ARPROT": [ { "physical_name": "c1_s_axi_arprot" } ],
+ "ARQOS": [ { "physical_name": "c1_s_axi_arqos" } ],
+ "ARVALID": [ { "physical_name": "c1_s_axi_arvalid" } ],
+ "ARREADY": [ { "physical_name": "c1_s_axi_arready" } ],
+ "RREADY": [ { "physical_name": "c1_s_axi_rready" } ],
+ "RID": [ { "physical_name": "c1_s_axi_rid" } ],
+ "RDATA": [ { "physical_name": "c1_s_axi_rdata" } ],
+ "RRESP": [ { "physical_name": "c1_s_axi_rresp" } ],
+ "RLAST": [ { "physical_name": "c1_s_axi_rlast" } ],
+ "RVALID": [ { "physical_name": "c1_s_axi_rvalid" } ]
+ }
+ },
+ "C1_SYS_CLK": {
+ "vlnv": "xilinx.com:interface:diff_clock:1.0",
+ "abstraction_type": "xilinx.com:interface:diff_clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "CAN_DEBUG": [ { "value": "false", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "100000000", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK_P": [ { "physical_name": "c1_sys_clk_p" } ],
+ "CLK_N": [ { "physical_name": "c1_sys_clk_n" } ]
+ }
+ },
+ "C1_ARESETN": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "ARESETN": [ { "physical_name": "c1_aresetn" } ]
+ }
+ },
+ "C2_ARESETN": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ }
+ },
+ "C3_ARESETN": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ }
+ },
+ "C4_ARESETN": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ }
+ },
+ "C5_ARESETN": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ }
+ },
+ "C6_ARESETN": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ }
+ },
+ "C7_ARESETN": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ }
+ }
+ },
+ "memory_maps": {
+ "c0_s_axi_ctrl_memmap": {
+ "address_blocks": {
+ "c0_s_axi_ctrl_memaddr": {
+ "base_address": "0",
+ "range": "1048576",
+ "usage": "register",
+ "access": "read-write"
+ }
+ }
+ },
+ "c0_memmap": {
+ "address_blocks": {
+ "c0_memaddr": {
+ "base_address": "0",
+ "range": "2147483648",
+ "usage": "memory",
+ "access": "read-write"
+ }
+ }
+ },
+ "c1_s_axi_ctrl_memmap": {
+ "address_blocks": {
+ "c1_s_axi_ctrl_memaddr": {
+ "base_address": "0",
+ "range": "1048576",
+ "usage": "register",
+ "access": "read-write"
+ }
+ }
+ },
+ "c1_memmap": {
+ "address_blocks": {
+ "c1_memaddr": {
+ "base_address": "0",
+ "range": "2147483648",
+ "usage": "memory",
+ "access": "read-write"
+ }
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_a.prj b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_a.prj
new file mode 100644
index 0000000..796d3d5
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_a.prj
@@ -0,0 +1,232 @@
+
+
+
+
+
+
+
+ Top_mig_7series_1_0
+
+ 1
+
+ 1
+
+ OFF
+
+ 1024
+
+ ON
+
+ Enabled
+
+ xc7k480t-ffg1156/-2L
+
+ 4.2
+
+ Differential
+
+ Use System Clock
+
+ ACTIVE LOW
+
+ FALSE
+
+ 0
+
+ 50 Ohms
+
+ 0
+
+
+ 7k/xc7k420ti-ffg1156
+
+
+
+ DDR3_SDRAM/Components/MT41K256M8XX-125
+ 1875
+ 1.8V
+ 4:1
+ 200
+ 0
+ 1066
+ 1.000
+ 1
+ 1
+ 1
+ 1
+ 72
+ 1
+ 0
+ Enabled
+ Normal
+ 4
+ FALSE
+
+ 15
+ 10
+ 3
+ 1.5V
+ 2147483648
+ BANK_ROW_COLUMN
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ 8 - Fixed
+ Sequential
+ 7
+ Normal
+ No
+ Slow Exit
+ Enable
+ RZQ/6
+ Disable
+ Enable
+ RZQ/4
+ 0
+ Disabled
+ Enabled
+ Output Buffer Enabled
+ Full Array
+ 6
+ Enabled
+ Normal
+ Dynamic ODT off
+ AXI
+
+ RD_PRI_REG
+ 31
+ 512
+ 4
+ 0
+
+
+
+
+
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_b.prj b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_b.prj
new file mode 100644
index 0000000..9fbb15e
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_b.prj
@@ -0,0 +1,414 @@
+
+
+
+
+
+
+
+ Top_mig_7series_1_0
+
+ 1
+
+ 1
+
+ Disable
+
+ 1024
+
+ ON
+
+ Enabled
+
+ xc7k480t-ffg1156/-2L
+
+ 4.2
+
+ Differential
+
+ Use System Clock
+
+ ACTIVE LOW
+
+ FALSE
+
+ 0
+
+ 50 Ohms
+
+ 0
+
+
+ 7k/xc7k420ti-ffg1156
+
+
+
+ DDR3_SDRAM/Components/MT41K256M8XX-125
+ 1875
+ 1.8V
+ 4:1
+ 200
+ 0
+ 1066
+ 1.000
+ 1
+ 1
+ 1
+ 1
+ 72
+ 1
+ 0
+ Enabled
+ Normal
+ 4
+ FALSE
+
+ 15
+ 10
+ 3
+ 1.5V
+ 2147483648
+ BANK_ROW_COLUMN
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ 8 - Fixed
+ Sequential
+ 7
+ Normal
+ No
+ Slow Exit
+ Enable
+ RZQ/7
+ Disable
+ Enable
+ RZQ/4
+ 0
+ Disabled
+ Enabled
+ Output Buffer Enabled
+ Full Array
+ 6
+ Enabled
+ Normal
+ Dynamic ODT off
+ AXI
+
+ RD_PRI_REG
+ 31
+ 512
+ 4
+ 0
+
+
+
+
+ DDR3_SDRAM/Components/MT41K256M8XX-125
+ 1875
+ 1.8V
+ 4:1
+ 200
+ 0
+ 1066
+ 1.000
+ 1
+ 1
+ 1
+ 1
+ 72
+ 1
+ 0
+ Enabled
+ Normal
+ 4
+ FALSE
+
+ 15
+ 10
+ 3
+ 1.5V
+ 2147483648
+ BANK_ROW_COLUMN
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ 8 - Fixed
+ Sequential
+ 7
+ Normal
+ No
+ Slow Exit
+ Enable
+ RZQ/7
+ Disable
+ Enable
+ RZQ/4
+ 0
+ Disabled
+ Enabled
+ Output Buffer Enabled
+ Full Array
+ 6
+ Enabled
+ Normal
+ Dynamic ODT off
+ AXI
+
+ RD_PRI_REG
+ 31
+ 512
+ 4
+ 0
+
+
+
+
+
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci
new file mode 100644
index 0000000..e17ac24
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci
@@ -0,0 +1,119 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_util_ds_buf_0_0",
+ "cell_name": "util_ds_buf_0",
+ "component_reference": "xilinx.com:ip:util_ds_buf:2.2",
+ "ip_revision": "29",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_ds_buf_0_0",
+ "parameters": {
+ "component_parameters": {
+ "C_SIZE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "C_BUF_TYPE": [ { "value": "IBUFDSGTE", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_util_ds_buf_0_0", "resolve_type": "user", "usage": "all" } ],
+ "USE_BOARD_FLOW": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "BOARD_PARAMETER": [ { "value": " ", "resolve_type": "user", "usage": "all" } ],
+ "FREQ_HZ": [ { "value": "156250000", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "DIFF_CLK_IN_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+ "C_BUFGCE_DIV": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "C_BUFG_GT_SYNC": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "C_OBUFDS_GTE5_ADV": [ { "value": "\"00\"", "resolve_type": "user", "format": "bitString", "enabled": false, "usage": "all" } ],
+ "C_REFCLK_ICNTL_TX": [ { "value": "\"00000\"", "resolve_type": "user", "format": "bitString", "enabled": false, "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_BUF_TYPE": [ { "value": "ibufdsgte2", "resolve_type": "generated", "usage": "all" } ],
+ "C_SIZE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_BUFGCE_DIV": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_BUFG_GT_SYNC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SIM_DEVICE": [ { "value": "VERSAL_AI_CORE_ES1", "resolve_type": "generated", "usage": "all" } ],
+ "C_OBUFDS_GTE5_ADV": [ { "value": "\"00\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_REFCLK_ICNTL_TX": [ { "value": "\"00000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "I" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "29" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_ds_buf_0_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "IBUF_DS_P": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
+ "IBUF_DS_N": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
+ "IBUF_OUT": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
+ "IBUF_DS_ODIV2": [ { "direction": "out", "size_left": "0", "size_right": "0" } ]
+ },
+ "interfaces": {
+ "CLK_IN_D": {
+ "vlnv": "xilinx.com:interface:diff_clock:1.0",
+ "abstraction_type": "xilinx.com:interface:diff_clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "BOARD.ASSOCIATED_PARAM": [ { "value": "DIFF_CLK_IN_BOARD_INTERFACE", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "CAN_DEBUG": [ { "value": "false", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "100000000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK_P": [ { "physical_name": "IBUF_DS_P" } ],
+ "CLK_N": [ { "physical_name": "IBUF_DS_N" } ]
+ }
+ },
+ "IBUF_OUT": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "100000000", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_util_ds_buf_0_0_IBUF_OUT", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "IBUF_OUT" } ]
+ }
+ },
+ "IBUF_DS_ODIV2": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "100000000", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_util_ds_buf_0_0_IBUF_DS_ODIV2", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "IBUF_DS_ODIV2" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci
new file mode 100644
index 0000000..d0ade08
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci
@@ -0,0 +1,53 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_util_vector_logic_1_3",
+ "cell_name": "util_vector_logic_1",
+ "component_reference": "xilinx.com:ip:util_vector_logic:2.0",
+ "ip_revision": "2",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_3",
+ "parameters": {
+ "component_parameters": {
+ "Component_Name": [ { "value": "Top_util_vector_logic_1_3", "resolve_type": "user", "usage": "all" } ],
+ "C_SIZE": [ { "value": "8", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "C_OPERATION": [ { "value": "not", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+ "LOGO_FILE": [ { "value": "data/sym_notgate.png", "resolve_type": "user", "enabled": false, "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_OPERATION": [ { "value": "not", "resolve_type": "generated", "usage": "all" } ],
+ "C_SIZE": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "2" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_3" } ],
+ "SELECTEDSIMMODEL": [ { "value": "" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "Op1": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ],
+ "Res": [ { "direction": "out", "size_left": "7", "size_right": "0" } ]
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci
new file mode 100644
index 0000000..7369d22
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci
@@ -0,0 +1,53 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_util_vector_logic_1_4",
+ "cell_name": "util_vector_logic_2",
+ "component_reference": "xilinx.com:ip:util_vector_logic:2.0",
+ "ip_revision": "2",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_4",
+ "parameters": {
+ "component_parameters": {
+ "Component_Name": [ { "value": "Top_util_vector_logic_1_4", "resolve_type": "user", "usage": "all" } ],
+ "C_SIZE": [ { "value": "8", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "C_OPERATION": [ { "value": "not", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+ "LOGO_FILE": [ { "value": "data/sym_notgate.png", "resolve_type": "user", "enabled": false, "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_OPERATION": [ { "value": "not", "resolve_type": "generated", "usage": "all" } ],
+ "C_SIZE": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "2" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_4" } ],
+ "SELECTEDSIMMODEL": [ { "value": "" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "Op1": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ],
+ "Res": [ { "direction": "out", "size_left": "7", "size_right": "0" } ]
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci
new file mode 100644
index 0000000..417c855
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci
@@ -0,0 +1,1825 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_xbar_0",
+ "cell_name": "axi_interconnect_0/xbar",
+ "component_reference": "xilinx.com:ip:axi_crossbar:2.1",
+ "ip_revision": "28",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xbar_0",
+ "parameters": {
+ "component_parameters": {
+ "ADDR_RANGES": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "NUM_SI": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "NUM_MI": [ { "value": "5", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "STRATEGY": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "512", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "CONNECTIVITY_MODE": [ { "value": "SAMD", "resolve_type": "user", "usage": "all" } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "R_REGISTER": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "M00_S00_READ_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S00_READ_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S00_READ_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S00_READ_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S00_READ_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S00_THREAD_ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S01_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S02_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S03_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S04_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S05_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S06_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S07_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S08_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S09_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S10_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S11_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S12_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S13_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S14_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S15_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S00_WRITE_ACCEPTANCE": [ { "value": "16", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S01_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S02_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S03_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S04_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S05_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S06_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S07_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S08_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S09_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S10_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S11_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S12_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S13_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S14_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S15_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S00_READ_ACCEPTANCE": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S01_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S02_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S03_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S04_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S05_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S06_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S07_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S08_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S09_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S10_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S11_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S12_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S13_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S14_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S15_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_WRITE_ISSUING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_WRITE_ISSUING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_WRITE_ISSUING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_WRITE_ISSUING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_WRITE_ISSUING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_READ_ISSUING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_READ_ISSUING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_READ_ISSUING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_READ_ISSUING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_READ_ISSUING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S00_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S01_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S02_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S03_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S04_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S05_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S06_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S07_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S08_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S09_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S10_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S11_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S12_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S13_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S14_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S15_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S00_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S01_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S02_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S03_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S04_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S05_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S06_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S07_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S08_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S09_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S10_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S11_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S12_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S13_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S14_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S15_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "S00_BASE_ID": [ { "value": "0x00000000", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S01_BASE_ID": [ { "value": "0x00000001", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S02_BASE_ID": [ { "value": "0x00000002", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S03_BASE_ID": [ { "value": "0x00000003", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S04_BASE_ID": [ { "value": "0x00000004", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S05_BASE_ID": [ { "value": "0x00000005", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S06_BASE_ID": [ { "value": "0x00000006", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S07_BASE_ID": [ { "value": "0x00000007", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S08_BASE_ID": [ { "value": "0x00000008", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S09_BASE_ID": [ { "value": "0x00000009", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S10_BASE_ID": [ { "value": "0x0000000a", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S11_BASE_ID": [ { "value": "0x0000000b", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S12_BASE_ID": [ { "value": "0x0000000c", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S13_BASE_ID": [ { "value": "0x0000000d", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S14_BASE_ID": [ { "value": "0x0000000e", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "S15_BASE_ID": [ { "value": "0x0000000f", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A00_BASE_ADDR": [ { "value": "0x0000000100000000", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A00_BASE_ADDR": [ { "value": "0x0000000080000000", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M01_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A00_BASE_ADDR": [ { "value": "0x0000000100100000", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M02_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A00_BASE_ADDR": [ { "value": "0x0000000000000000", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M03_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A00_BASE_ADDR": [ { "value": "0x0000000200000000", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M04_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M05_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M06_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M07_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M08_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M09_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M10_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M11_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M12_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M13_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M14_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M15_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ],
+ "M00_A00_ADDR_WIDTH": [ { "value": "20", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M00_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A00_ADDR_WIDTH": [ { "value": "31", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M01_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A00_ADDR_WIDTH": [ { "value": "20", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M02_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A00_ADDR_WIDTH": [ { "value": "31", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M03_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A00_ADDR_WIDTH": [ { "value": "13", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M04_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M05_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M06_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M07_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M08_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M09_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M10_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M11_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M12_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M13_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M14_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "M15_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "Component_Name": [ { "value": "Top_xbar_0", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "C_NUM_SLAVE_SLOTS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_NUM_MASTER_SLOTS": [ { "value": "5", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ADDR_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_NUM_ADDR_RANGES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_BASE_ADDR": [ { "value": "0x00000002000000000000000000000000000000010010000000000000800000000000000100000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_M_AXI_ADDR_WIDTH": [ { "value": "0x0000000d0000001f000000140000001f00000014", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_S_AXI_BASE_ID": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_S_AXI_THREAD_ID_WIDTH": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_WRITE_CONNECTIVITY": [ { "value": "0x0000000100000001000000010000000100000001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_M_AXI_READ_CONNECTIVITY": [ { "value": "0x0000000100000001000000010000000100000001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_R_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_SINGLE_THREAD": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_S_AXI_WRITE_ACCEPTANCE": [ { "value": "0x00000010", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_S_AXI_READ_ACCEPTANCE": [ { "value": "0x00000020", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_M_AXI_WRITE_ISSUING": [ { "value": "0x0000001000000008000000100000000800000010", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_M_AXI_READ_ISSUING": [ { "value": "0x0000002000000008000000200000000800000020", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_S_AXI_ARB_PRIORITY": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_M_AXI_SECURE": [ { "value": "0x0000000000000000000000000000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_CONNECTIVITY_MODE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "28" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xbar_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "rtl" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "aclk": [ { "direction": "in" } ],
+ "aresetn": [ { "direction": "in" } ],
+ "s_axi_awaddr": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ],
+ "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awvalid": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_awready": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ],
+ "s_axi_wlast": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x1" } ],
+ "s_axi_wvalid": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_wready": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_bvalid": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "s_axi_bready": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_araddr": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ],
+ "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
+ "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arvalid": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "s_axi_arready": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ],
+ "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "s_axi_rlast": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "s_axi_rvalid": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "s_axi_rready": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "319", "size_right": "0" } ],
+ "m_axi_awlen": [ { "direction": "out", "size_left": "39", "size_right": "0" } ],
+ "m_axi_awsize": [ { "direction": "out", "size_left": "14", "size_right": "0" } ],
+ "m_axi_awburst": [ { "direction": "out", "size_left": "9", "size_right": "0" } ],
+ "m_axi_awlock": [ { "direction": "out", "size_left": "4", "size_right": "0" } ],
+ "m_axi_awcache": [ { "direction": "out", "size_left": "19", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "14", "size_right": "0" } ],
+ "m_axi_awregion": [ { "direction": "out", "size_left": "19", "size_right": "0" } ],
+ "m_axi_awqos": [ { "direction": "out", "size_left": "19", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out", "size_left": "4", "size_right": "0" } ],
+ "m_axi_awready": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x00" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "2559", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "319", "size_right": "0" } ],
+ "m_axi_wlast": [ { "direction": "out", "size_left": "4", "size_right": "0" } ],
+ "m_axi_wvalid": [ { "direction": "out", "size_left": "4", "size_right": "0" } ],
+ "m_axi_wready": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x00" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "9", "size_right": "0", "driver_value": "0x000" } ],
+ "m_axi_bvalid": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x00" } ],
+ "m_axi_bready": [ { "direction": "out", "size_left": "4", "size_right": "0" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "319", "size_right": "0" } ],
+ "m_axi_arlen": [ { "direction": "out", "size_left": "39", "size_right": "0" } ],
+ "m_axi_arsize": [ { "direction": "out", "size_left": "14", "size_right": "0" } ],
+ "m_axi_arburst": [ { "direction": "out", "size_left": "9", "size_right": "0" } ],
+ "m_axi_arlock": [ { "direction": "out", "size_left": "4", "size_right": "0" } ],
+ "m_axi_arcache": [ { "direction": "out", "size_left": "19", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "14", "size_right": "0" } ],
+ "m_axi_arregion": [ { "direction": "out", "size_left": "19", "size_right": "0" } ],
+ "m_axi_arqos": [ { "direction": "out", "size_left": "19", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out", "size_left": "4", "size_right": "0" } ],
+ "m_axi_arready": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x00" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "2559", "size_right": "0", "driver_value": "0x0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "9", "size_right": "0", "driver_value": "0x000" } ],
+ "m_axi_rlast": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x1F" } ],
+ "m_axi_rvalid": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x00" } ],
+ "m_axi_rready": [ { "direction": "out", "size_left": "4", "size_right": "0" } ]
+ },
+ "interfaces": {
+ "RSTIF": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+ "TYPE": [ { "value": "INTERCONNECT", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "aresetn" } ]
+ }
+ },
+ "CLKIF": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "M00_AXI:M01_AXI:M02_AXI:M03_AXI:M04_AXI:M05_AXI:M06_AXI:M07_AXI:M08_AXI:M09_AXI:M10_AXI:M11_AXI:M12_AXI:M13_AXI:M14_AXI:M15_AXI:S00_AXI:S01_AXI:S02_AXI:S03_AXI:S04_AXI:S05_AXI:S06_AXI:S07_AXI:S08_AXI:S09_AXI:S10_AXI:S11_AXI:S12_AXI:S13_AXI:S14_AXI:S15_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "aclk" } ]
+ }
+ },
+ "S00_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "2", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "2", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "256", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "s_axi_awaddr", "physical_left": "63", "physical_right": "0" } ],
+ "AWLEN": [ { "physical_name": "s_axi_awlen", "physical_left": "7", "physical_right": "0" } ],
+ "AWSIZE": [ { "physical_name": "s_axi_awsize", "physical_left": "2", "physical_right": "0" } ],
+ "AWBURST": [ { "physical_name": "s_axi_awburst", "physical_left": "1", "physical_right": "0" } ],
+ "AWLOCK": [ { "physical_name": "s_axi_awlock", "physical_left": "0", "physical_right": "0" } ],
+ "AWCACHE": [ { "physical_name": "s_axi_awcache", "physical_left": "3", "physical_right": "0" } ],
+ "AWPROT": [ { "physical_name": "s_axi_awprot", "physical_left": "2", "physical_right": "0" } ],
+ "AWQOS": [ { "physical_name": "s_axi_awqos", "physical_left": "3", "physical_right": "0" } ],
+ "AWVALID": [ { "physical_name": "s_axi_awvalid", "physical_left": "0", "physical_right": "0" } ],
+ "AWREADY": [ { "physical_name": "s_axi_awready", "physical_left": "0", "physical_right": "0" } ],
+ "WDATA": [ { "physical_name": "s_axi_wdata", "physical_left": "511", "physical_right": "0" } ],
+ "WSTRB": [ { "physical_name": "s_axi_wstrb", "physical_left": "63", "physical_right": "0" } ],
+ "WLAST": [ { "physical_name": "s_axi_wlast", "physical_left": "0", "physical_right": "0" } ],
+ "WVALID": [ { "physical_name": "s_axi_wvalid", "physical_left": "0", "physical_right": "0" } ],
+ "WREADY": [ { "physical_name": "s_axi_wready", "physical_left": "0", "physical_right": "0" } ],
+ "BRESP": [ { "physical_name": "s_axi_bresp", "physical_left": "1", "physical_right": "0" } ],
+ "BVALID": [ { "physical_name": "s_axi_bvalid", "physical_left": "0", "physical_right": "0" } ],
+ "BREADY": [ { "physical_name": "s_axi_bready", "physical_left": "0", "physical_right": "0" } ],
+ "ARADDR": [ { "physical_name": "s_axi_araddr", "physical_left": "63", "physical_right": "0" } ],
+ "ARLEN": [ { "physical_name": "s_axi_arlen", "physical_left": "7", "physical_right": "0" } ],
+ "ARSIZE": [ { "physical_name": "s_axi_arsize", "physical_left": "2", "physical_right": "0" } ],
+ "ARBURST": [ { "physical_name": "s_axi_arburst", "physical_left": "1", "physical_right": "0" } ],
+ "ARLOCK": [ { "physical_name": "s_axi_arlock", "physical_left": "0", "physical_right": "0" } ],
+ "ARCACHE": [ { "physical_name": "s_axi_arcache", "physical_left": "3", "physical_right": "0" } ],
+ "ARPROT": [ { "physical_name": "s_axi_arprot", "physical_left": "2", "physical_right": "0" } ],
+ "ARQOS": [ { "physical_name": "s_axi_arqos", "physical_left": "3", "physical_right": "0" } ],
+ "ARVALID": [ { "physical_name": "s_axi_arvalid", "physical_left": "0", "physical_right": "0" } ],
+ "ARREADY": [ { "physical_name": "s_axi_arready", "physical_left": "0", "physical_right": "0" } ],
+ "RDATA": [ { "physical_name": "s_axi_rdata", "physical_left": "511", "physical_right": "0" } ],
+ "RRESP": [ { "physical_name": "s_axi_rresp", "physical_left": "1", "physical_right": "0" } ],
+ "RLAST": [ { "physical_name": "s_axi_rlast", "physical_left": "0", "physical_right": "0" } ],
+ "RVALID": [ { "physical_name": "s_axi_rvalid", "physical_left": "0", "physical_right": "0" } ],
+ "RREADY": [ { "physical_name": "s_axi_rready", "physical_left": "0", "physical_right": "0" } ]
+ }
+ },
+ "M00_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr", "physical_left": "63", "physical_right": "0" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen", "physical_left": "7", "physical_right": "0" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize", "physical_left": "2", "physical_right": "0" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst", "physical_left": "1", "physical_right": "0" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock", "physical_left": "0", "physical_right": "0" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache", "physical_left": "3", "physical_right": "0" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot", "physical_left": "2", "physical_right": "0" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion", "physical_left": "3", "physical_right": "0" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos", "physical_left": "3", "physical_right": "0" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid", "physical_left": "0", "physical_right": "0" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready", "physical_left": "0", "physical_right": "0" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata", "physical_left": "511", "physical_right": "0" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb", "physical_left": "63", "physical_right": "0" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast", "physical_left": "0", "physical_right": "0" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid", "physical_left": "0", "physical_right": "0" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready", "physical_left": "0", "physical_right": "0" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp", "physical_left": "1", "physical_right": "0" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid", "physical_left": "0", "physical_right": "0" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready", "physical_left": "0", "physical_right": "0" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr", "physical_left": "63", "physical_right": "0" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen", "physical_left": "7", "physical_right": "0" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize", "physical_left": "2", "physical_right": "0" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst", "physical_left": "1", "physical_right": "0" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock", "physical_left": "0", "physical_right": "0" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache", "physical_left": "3", "physical_right": "0" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot", "physical_left": "2", "physical_right": "0" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion", "physical_left": "3", "physical_right": "0" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos", "physical_left": "3", "physical_right": "0" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid", "physical_left": "0", "physical_right": "0" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready", "physical_left": "0", "physical_right": "0" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata", "physical_left": "511", "physical_right": "0" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp", "physical_left": "1", "physical_right": "0" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast", "physical_left": "0", "physical_right": "0" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid", "physical_left": "0", "physical_right": "0" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready", "physical_left": "0", "physical_right": "0" } ]
+ }
+ },
+ "M01_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr", "physical_left": "127", "physical_right": "64" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen", "physical_left": "15", "physical_right": "8" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize", "physical_left": "5", "physical_right": "3" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst", "physical_left": "3", "physical_right": "2" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock", "physical_left": "1", "physical_right": "1" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache", "physical_left": "7", "physical_right": "4" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot", "physical_left": "5", "physical_right": "3" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion", "physical_left": "7", "physical_right": "4" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos", "physical_left": "7", "physical_right": "4" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid", "physical_left": "1", "physical_right": "1" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready", "physical_left": "1", "physical_right": "1" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata", "physical_left": "1023", "physical_right": "512" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb", "physical_left": "127", "physical_right": "64" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast", "physical_left": "1", "physical_right": "1" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid", "physical_left": "1", "physical_right": "1" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready", "physical_left": "1", "physical_right": "1" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp", "physical_left": "3", "physical_right": "2" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid", "physical_left": "1", "physical_right": "1" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready", "physical_left": "1", "physical_right": "1" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr", "physical_left": "127", "physical_right": "64" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen", "physical_left": "15", "physical_right": "8" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize", "physical_left": "5", "physical_right": "3" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst", "physical_left": "3", "physical_right": "2" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock", "physical_left": "1", "physical_right": "1" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache", "physical_left": "7", "physical_right": "4" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot", "physical_left": "5", "physical_right": "3" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion", "physical_left": "7", "physical_right": "4" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos", "physical_left": "7", "physical_right": "4" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid", "physical_left": "1", "physical_right": "1" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready", "physical_left": "1", "physical_right": "1" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata", "physical_left": "1023", "physical_right": "512" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp", "physical_left": "3", "physical_right": "2" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast", "physical_left": "1", "physical_right": "1" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid", "physical_left": "1", "physical_right": "1" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready", "physical_left": "1", "physical_right": "1" } ]
+ }
+ },
+ "M02_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr", "physical_left": "191", "physical_right": "128" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen", "physical_left": "23", "physical_right": "16" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize", "physical_left": "8", "physical_right": "6" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst", "physical_left": "5", "physical_right": "4" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock", "physical_left": "2", "physical_right": "2" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache", "physical_left": "11", "physical_right": "8" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot", "physical_left": "8", "physical_right": "6" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion", "physical_left": "11", "physical_right": "8" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos", "physical_left": "11", "physical_right": "8" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid", "physical_left": "2", "physical_right": "2" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready", "physical_left": "2", "physical_right": "2" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata", "physical_left": "1535", "physical_right": "1024" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb", "physical_left": "191", "physical_right": "128" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast", "physical_left": "2", "physical_right": "2" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid", "physical_left": "2", "physical_right": "2" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready", "physical_left": "2", "physical_right": "2" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp", "physical_left": "5", "physical_right": "4" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid", "physical_left": "2", "physical_right": "2" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready", "physical_left": "2", "physical_right": "2" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr", "physical_left": "191", "physical_right": "128" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen", "physical_left": "23", "physical_right": "16" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize", "physical_left": "8", "physical_right": "6" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst", "physical_left": "5", "physical_right": "4" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock", "physical_left": "2", "physical_right": "2" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache", "physical_left": "11", "physical_right": "8" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot", "physical_left": "8", "physical_right": "6" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion", "physical_left": "11", "physical_right": "8" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos", "physical_left": "11", "physical_right": "8" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid", "physical_left": "2", "physical_right": "2" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready", "physical_left": "2", "physical_right": "2" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata", "physical_left": "1535", "physical_right": "1024" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp", "physical_left": "5", "physical_right": "4" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast", "physical_left": "2", "physical_right": "2" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid", "physical_left": "2", "physical_right": "2" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready", "physical_left": "2", "physical_right": "2" } ]
+ }
+ },
+ "M03_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr", "physical_left": "255", "physical_right": "192" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen", "physical_left": "31", "physical_right": "24" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize", "physical_left": "11", "physical_right": "9" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst", "physical_left": "7", "physical_right": "6" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock", "physical_left": "3", "physical_right": "3" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache", "physical_left": "15", "physical_right": "12" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot", "physical_left": "11", "physical_right": "9" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion", "physical_left": "15", "physical_right": "12" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos", "physical_left": "15", "physical_right": "12" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid", "physical_left": "3", "physical_right": "3" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready", "physical_left": "3", "physical_right": "3" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata", "physical_left": "2047", "physical_right": "1536" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb", "physical_left": "255", "physical_right": "192" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast", "physical_left": "3", "physical_right": "3" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid", "physical_left": "3", "physical_right": "3" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready", "physical_left": "3", "physical_right": "3" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp", "physical_left": "7", "physical_right": "6" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid", "physical_left": "3", "physical_right": "3" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready", "physical_left": "3", "physical_right": "3" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr", "physical_left": "255", "physical_right": "192" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen", "physical_left": "31", "physical_right": "24" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize", "physical_left": "11", "physical_right": "9" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst", "physical_left": "7", "physical_right": "6" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock", "physical_left": "3", "physical_right": "3" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache", "physical_left": "15", "physical_right": "12" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot", "physical_left": "11", "physical_right": "9" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion", "physical_left": "15", "physical_right": "12" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos", "physical_left": "15", "physical_right": "12" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid", "physical_left": "3", "physical_right": "3" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready", "physical_left": "3", "physical_right": "3" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata", "physical_left": "2047", "physical_right": "1536" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp", "physical_left": "7", "physical_right": "6" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast", "physical_left": "3", "physical_right": "3" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid", "physical_left": "3", "physical_right": "3" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready", "physical_left": "3", "physical_right": "3" } ]
+ }
+ },
+ "M04_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "DATA_WIDTH": [ { "value": "512", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ]
+ },
+ "port_maps": {
+ "AWADDR": [ { "physical_name": "m_axi_awaddr", "physical_left": "319", "physical_right": "256" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen", "physical_left": "39", "physical_right": "32" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize", "physical_left": "14", "physical_right": "12" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst", "physical_left": "9", "physical_right": "8" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock", "physical_left": "4", "physical_right": "4" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache", "physical_left": "19", "physical_right": "16" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot", "physical_left": "14", "physical_right": "12" } ],
+ "AWREGION": [ { "physical_name": "m_axi_awregion", "physical_left": "19", "physical_right": "16" } ],
+ "AWQOS": [ { "physical_name": "m_axi_awqos", "physical_left": "19", "physical_right": "16" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid", "physical_left": "4", "physical_right": "4" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready", "physical_left": "4", "physical_right": "4" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata", "physical_left": "2559", "physical_right": "2048" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb", "physical_left": "319", "physical_right": "256" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast", "physical_left": "4", "physical_right": "4" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid", "physical_left": "4", "physical_right": "4" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready", "physical_left": "4", "physical_right": "4" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp", "physical_left": "9", "physical_right": "8" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid", "physical_left": "4", "physical_right": "4" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready", "physical_left": "4", "physical_right": "4" } ],
+ "ARADDR": [ { "physical_name": "m_axi_araddr", "physical_left": "319", "physical_right": "256" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen", "physical_left": "39", "physical_right": "32" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize", "physical_left": "14", "physical_right": "12" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst", "physical_left": "9", "physical_right": "8" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock", "physical_left": "4", "physical_right": "4" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache", "physical_left": "19", "physical_right": "16" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot", "physical_left": "14", "physical_right": "12" } ],
+ "ARREGION": [ { "physical_name": "m_axi_arregion", "physical_left": "19", "physical_right": "16" } ],
+ "ARQOS": [ { "physical_name": "m_axi_arqos", "physical_left": "19", "physical_right": "16" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid", "physical_left": "4", "physical_right": "4" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready", "physical_left": "4", "physical_right": "4" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata", "physical_left": "2559", "physical_right": "2048" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp", "physical_left": "9", "physical_right": "8" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast", "physical_left": "4", "physical_right": "4" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid", "physical_left": "4", "physical_right": "4" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready", "physical_left": "4", "physical_right": "4" } ]
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci
new file mode 100644
index 0000000..a82da0c
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci
@@ -0,0 +1,1592 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_xdma_1_0",
+ "cell_name": "xdma_1",
+ "component_reference": "xilinx.com:ip:xdma:4.1",
+ "ip_revision": "20",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xdma_1_0",
+ "parameters": {
+ "component_parameters": {
+ "Component_Name": [ { "value": "Top_xdma_1_0", "resolve_type": "user", "usage": "all" } ],
+ "functional_mode": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "mode_selection": [ { "value": "Basic", "resolve_type": "user", "usage": "all" } ],
+ "device_port_type": [ { "value": "PCI_Express_Endpoint_device", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pcie_blk_locn": [ { "value": "X0Y0", "resolve_type": "user", "usage": "all" } ],
+ "pl_link_cap_max_link_width": [ { "value": "X1", "resolve_type": "user", "usage": "all" } ],
+ "pl_link_cap_max_link_speed": [ { "value": "5.0_GT/s", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+ "ref_clk_freq": [ { "value": "100_MHz", "resolve_type": "user", "usage": "all" } ],
+ "drp_clk_sel": [ { "value": "Internal", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "free_run_freq": [ { "value": "100_MHz", "resolve_type": "user", "usage": "all" } ],
+ "axi_addr_width": [ { "value": "64", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "axi_data_width": [ { "value": "64_bit", "resolve_type": "user", "usage": "all" } ],
+ "axisten_freq": [ { "value": "62.5", "resolve_type": "user", "usage": "all" } ],
+ "en_axi_slave_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "en_axi_master_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "pipe_sim": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "en_ext_ch_gt_drp": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "en_pcie_drp": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "dedicate_perst": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "sys_reset_polarity": [ { "value": "ACTIVE_LOW", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "mcap_enablement": [ { "value": "None", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "mcap_fpga_bitstream_version": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "ext_startup_primitive": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "enable_code": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ],
+ "vendor_id": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ],
+ "pf0_device_id": [ { "value": "7021", "resolve_type": "user", "usage": "all" } ],
+ "pf0_revision_id": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "pf0_subsystem_vendor_id": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ],
+ "pf0_subsystem_id": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ],
+ "pf0_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_base_class_menu": [ { "value": "Simple_communication_controllers", "resolve_type": "user", "usage": "all" } ],
+ "pf0_class_code_base": [ { "value": "07", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sub_class_interface_menu": [ { "value": "16450_compatible_serial_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf0_class_code_sub": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "pf0_class_code_interface": [ { "value": "01", "resolve_type": "user", "usage": "all" } ],
+ "pf0_class_code": [ { "value": "070001", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axilite_master_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "axilite_master_size": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "axilite_master_scale": [ { "value": "Megabytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "xdma_en": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "xdma_size": [ { "value": "64", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "xdma_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axist_bypass_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "axist_bypass_size": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "axist_bypass_scale": [ { "value": "Megabytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pciebar2axibar_axil_master": [ { "value": "0x00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pciebar2axibar_xdma": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pciebar2axibar_axist_bypass": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_interrupt_pin": [ { "value": "INTA", "resolve_type": "user", "usage": "all" } ],
+ "pf0_msi_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf0_msi_cap_multimsgcap": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ],
+ "comp_timeout": [ { "value": "50ms", "resolve_type": "user", "usage": "all" } ],
+ "timeout0_sel": [ { "value": "14", "resolve_type": "user", "usage": "all" } ],
+ "timeout1_sel": [ { "value": "15", "resolve_type": "user", "usage": "all" } ],
+ "timeout_mult": [ { "value": "3", "resolve_type": "user", "usage": "all" } ],
+ "old_bridge_timeout": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "Shared_Logic": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "Shared_Logic_Clk": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "Shared_Logic_Both": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "Shared_Logic_Gtc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "Shared_Logic_Gtc_7xG2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "Shared_Logic_Clk_7xG2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "Shared_Logic_Both_7xG2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "en_transceiver_status_ports": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "xdma_rnum_chnl": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "xdma_wnum_chnl": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "xdma_axilite_slave": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "xdma_num_usr_irq": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "xdma_rnum_rids": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "xdma_wnum_rids": [ { "value": "16", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "SYS_RST_N_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+ "PCIE_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+ "EGW_IS_PARENT_IP": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "en_gt_selection": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "select_quad": [ { "value": "GTH_Quad_128", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "RX_PPM_OFFSET": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "RX_SSC_PPM": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "INS_LOSS_NYQ": [ { "value": "15", "resolve_type": "user", "format": "float", "usage": "all" } ],
+ "PHY_LP_TXPRESET": [ { "value": "4", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "coreclk_freq": [ { "value": "500", "resolve_type": "user", "usage": "all" } ],
+ "plltype": [ { "value": "QPLL1", "resolve_type": "user", "usage": "all" } ],
+ "xdma_axi_intf_mm": [ { "value": "AXI_Memory_Mapped", "resolve_type": "user", "usage": "all" } ],
+ "xdma_pcie_64bit_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "silicon_rev": [ { "value": "Pre-Production", "resolve_type": "user", "usage": "all" } ],
+ "xdma_dsc_bypass": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "performance": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "pcie_extended_tag": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "rx_detect": [ { "value": "Default", "resolve_type": "user", "usage": "all" } ],
+ "pf0_link_status_slot_clock_config": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "dsc_bypass_rd": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ],
+ "dsc_bypass_wr": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ],
+ "xdma_sts_ports": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "pf0_msix_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_msix_cap_table_size": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_msix_cap_table_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_msix_cap_table_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_msix_cap_pba_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_msix_cap_pba_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_msix_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_msix_cap_table_size": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_msix_cap_table_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_msix_cap_table_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_msix_cap_pba_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_msix_cap_pba_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "cfg_mgmt_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "ins_loss_profile": [ { "value": "Add-in_Card", "resolve_type": "user", "usage": "all" } ],
+ "axil_master_64bit_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "axi_bypass_64bit_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "axil_master_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "xdma_pcie_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axi_bypass_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "cfg_ext_if": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "legacy_cfg_ext_if": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "parity_settings": [ { "value": "None", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "ecc_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "en_debug_ports": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "axi_id_width": [ { "value": "4", "resolve_type": "user", "usage": "all" } ],
+ "vu9p_board": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "type1_membase_memlimit_enable": [ { "value": "Disabled", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "type1_prefetchable_membase_memlimit": [ { "value": "Disabled", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "enable_jtag_dbg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "enable_ltssm_dbg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "enable_ibert": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "axibar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ],
+ "axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar_highaddr_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ],
+ "axibar_highaddr_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar_highaddr_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar_highaddr_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar_highaddr_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar_highaddr_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar2pciebar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ],
+ "axibar2pciebar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar2pciebar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar2pciebar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar2pciebar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axibar2pciebar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "include_baroffset_reg": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "BASEADDR": [ { "value": "0x00001000", "resolve_type": "user", "usage": "all" } ],
+ "HIGHADDR": [ { "value": "0x00001FFF", "resolve_type": "user", "usage": "all" } ],
+ "s_axi_id_width": [ { "value": "4", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "c_m_axi_num_write": [ { "value": "8", "resolve_type": "user", "usage": "all" } ],
+ "c_m_axi_num_read": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "c_m_axi_num_readq": [ { "value": "2", "resolve_type": "user", "usage": "all" } ],
+ "c_s_axi_num_write": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "c_s_axi_num_read": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_msix_impl_locn": [ { "value": "Internal", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axi_aclk_loopback": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "pf0_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar0_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf0_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar1_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar1_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar1_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar5_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar5_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar5_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pciebar2axibar_6": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "bar_indicator": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "bar0_indicator": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "bar1_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "bar2_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "bar3_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "bar4_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "bar5_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "barlite2": [ { "value": "7", "resolve_type": "user", "usage": "all" } ],
+ "en_dbg_descramble": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "vcu118_board": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "tl_pf_enable_reg": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "pf1_vendor_id": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ],
+ "pf1_device_id": [ { "value": "1041", "resolve_type": "user", "usage": "all" } ],
+ "pf1_class_code": [ { "value": "070001", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF1_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "PF1_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ],
+ "PF1_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ],
+ "PF1_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_base_class_menu": [ { "value": "Simple_communication_controllers", "resolve_type": "user", "usage": "all" } ],
+ "pf1_class_code_base": [ { "value": "07", "resolve_type": "user", "usage": "all" } ],
+ "pf1_class_code_sub": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "pf1_sub_class_interface_menu": [ { "value": "16450_compatible_serial_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf1_class_code_interface": [ { "value": "01", "resolve_type": "user", "usage": "all" } ],
+ "PF1_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ],
+ "pf1_msi_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "PF1_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar0_size": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar0_scale": [ { "value": "Megabytes", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar1_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar1_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar1_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar2_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar2_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar2_64bit": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar3_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar3_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar4_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar4_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar4_64bit": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar5_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_device_id": [ { "value": "1040", "resolve_type": "user", "usage": "all" } ],
+ "pf2_class_code": [ { "value": "058000", "resolve_type": "user", "usage": "all" } ],
+ "PF2_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "PF2_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ],
+ "PF2_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ],
+ "PF2_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_base_class_menu": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf2_class_code_base": [ { "value": "05", "resolve_type": "user", "usage": "all" } ],
+ "pf2_class_code_sub": [ { "value": "80", "resolve_type": "user", "usage": "all" } ],
+ "pf2_sub_class_interface_menu": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf2_class_code_interface": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "PF2_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ],
+ "pf2_msi_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "PF2_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar0_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar1_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar1_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar1_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar2_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar2_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar2_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar3_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar3_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar3_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar4_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar4_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar4_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar5_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar5_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_device_id": [ { "value": "1039", "resolve_type": "user", "usage": "all" } ],
+ "pf3_class_code": [ { "value": "058000", "resolve_type": "user", "usage": "all" } ],
+ "PF3_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "PF3_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ],
+ "PF3_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ],
+ "PF3_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_base_class_menu": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf3_class_code_base": [ { "value": "05", "resolve_type": "user", "usage": "all" } ],
+ "pf3_class_code_sub": [ { "value": "80", "resolve_type": "user", "usage": "all" } ],
+ "pf3_sub_class_interface_menu": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf3_class_code_interface": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "PF3_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ],
+ "pf3_msi_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "PF3_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar0_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar1_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar1_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar1_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar2_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar2_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar2_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar3_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar3_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar3_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar4_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar4_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar4_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar5_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar5_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "split_dma": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "split_dma_single_pf": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "mult_pf_des": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "pf_swap": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "prog_usr_irq_vec_map": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "rcfg_nph_fix_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "post_synth_sim_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "user_pf_two_axilite_bar_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "two_bypass_bar": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "xlnx_ref_board": [ { "value": "None", "resolve_type": "user", "usage": "all" } ],
+ "en_l23_entry": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "pf1_pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf1_pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf1_pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf1_pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf1_pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_pciebar2axibar_6": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf2_pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf3_pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "gtwiz_in_core_us": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "gtwiz_in_core_usp": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "dma_reset_source_sel": [ { "value": "User_Reset", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "en_dma_and_bridge": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "en_coreclk_es1": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "pipe_line_stage": [ { "value": "2", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axis_pipe_line_stage": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "vu9p_tul_ex": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "vcu1525_ddr_ex": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "en_bridge": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "enable_ccix": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ],
+ "enable_dvsec": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ],
+ "ext_sys_clk_bufg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "usr_irq_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "axi_vip_in_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "xdma_non_incremental_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "xdma_st_infinite_desc_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "gtcom_in_core_usp": [ { "value": "2", "resolve_type": "user", "usage": "all" } ],
+ "en_mqdma": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "SRIOV_CAP_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "ext_xvc_vsec_enable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "acs_ext_cap_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf0_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "copy_pf0": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "copy_sriov_pf0": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf0_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf0_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf0_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf1_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf2_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
+ "pf3_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pcie_id_if": [ { "value": "FALSE", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "pf0_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ],
+ "pf1_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF0_DEVICE_ID_mqdma": [ { "value": "9021", "resolve_type": "user", "usage": "all" } ],
+ "PF1_DEVICE_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ],
+ "PF2_DEVICE_ID_mqdma": [ { "value": "9221", "resolve_type": "user", "usage": "all" } ],
+ "PF3_DEVICE_ID_mqdma": [ { "value": "9321", "resolve_type": "user", "usage": "all" } ],
+ "PF0_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "PF1_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "PF2_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "PF3_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "PF0_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ],
+ "PF1_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF2_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF3_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF0_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ],
+ "PF1_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ],
+ "PF2_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ],
+ "PF3_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ],
+ "pf0_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf0_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ],
+ "pf0_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf0_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "pf0_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf1_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf1_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ],
+ "pf1_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ],
+ "pf1_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf1_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "pf1_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf2_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf2_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ],
+ "pf2_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ],
+ "pf2_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf2_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "pf2_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf3_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf3_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ],
+ "pf3_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ],
+ "pf3_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ],
+ "pf3_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ],
+ "pf3_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "SRIOV_FIRST_VF_OFFSET": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "pf0_sriov_cap_ver": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "PF0_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF0_SRIOV_FUNC_DEP_LINK": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ],
+ "PF0_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "PF0_SRIOV_VF_DEVICE_ID": [ { "value": "A031", "resolve_type": "user", "usage": "all" } ],
+ "PF0_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ],
+ "PF1_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF1_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF1_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "PF1_SRIOV_FUNC_DEP_LINK": [ { "value": "0001", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF1_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ],
+ "PF1_SRIOV_VF_DEVICE_ID": [ { "value": "A131", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF2_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF2_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF2_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "PF2_SRIOV_FUNC_DEP_LINK": [ { "value": "0002", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF2_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ],
+ "PF2_SRIOV_VF_DEVICE_ID": [ { "value": "A231", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF3_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF3_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF3_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "PF3_SRIOV_FUNC_DEP_LINK": [ { "value": "0003", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF3_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ],
+ "PF3_SRIOV_VF_DEVICE_ID": [ { "value": "A331", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "pf0_ari_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf1_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf2_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf3_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "PF0_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF1_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF2_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF3_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF0_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF1_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF2_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF3_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF0_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF1_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF2_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF3_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF0_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF1_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF2_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF3_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF0_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF1_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF2_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "PF3_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "MSI_X_OPTIONS": [ { "value": "None", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "dsc_bypass_rd_out": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ],
+ "dsc_bypass_wr_out": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ],
+ "num_queues": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "enable_auto_rxeq": [ { "value": "False", "resolve_type": "user", "usage": "all" } ],
+ "enable_pcie_debug_ports": [ { "value": "False", "resolve_type": "user", "usage": "all" } ],
+ "enable_pcie_debug": [ { "value": "False", "resolve_type": "user", "usage": "all" } ],
+ "enable_pcie_debug_axi4_st": [ { "value": "False", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "axisten_if_enable_msg_route": [ { "value": "27FFF", "resolve_type": "user", "usage": "all" } ],
+ "en_axi_mm_mqdma": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "en_axi_st_mqdma": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "enable_more_clk": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "tl_credits_cd": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "tl_credits_ch": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "set_finite_credit": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "disable_bram_pipeline": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "disable_eq_synchronizer": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "enable_resource_reduction": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "c_ats_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "c_pri_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "usplus_es1_seqnum_bypass": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "bridge_registers_offset_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "enable_gen4": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "tandem_enable_rfsoc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "local_test": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "gen4_eieos_0s7": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "c_s_axi_supports_narrow_burst": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "enable_ats_switch": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ],
+ "c_ats_switch_unique_bdf": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "ctrl_skip_mask": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "pf0_ats_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_pri_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "aspm_support": [ { "value": "No_ASPM", "resolve_type": "user", "usage": "all" } ],
+ "pf0_aer_cap_ecrc_gen_and_check_capable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "gen_pipe_debug": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "soft_reset_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "msi_rx_pin_en": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ],
+ "msix_rx_pin_en": [ { "value": "TRUE", "resolve_type": "user", "usage": "all" } ],
+ "msix_rx_decode_en": [ { "value": "FALSE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "intx_rx_pin_en": [ { "value": "true", "resolve_type": "user", "usage": "all" } ],
+ "msix_type": [ { "value": "HARD", "resolve_type": "user", "usage": "all" } ],
+ "cfg_space_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "runbit_fix": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "axsize_byte_access_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "enable_lane_reversal": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "enable_mark_debug": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "master_cal_only": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "enable_multi_pcie": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "rbar_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "pf0_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf0_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf0_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf0_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf0_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf0_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf0_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf1_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf2_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf3_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "pf0_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ],
+ "pf0_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf0_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf0_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf0_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf0_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf1_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ],
+ "pf1_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf1_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf1_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf1_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf1_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf2_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ],
+ "pf2_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf2_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf2_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf2_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf2_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf3_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ],
+ "pf3_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf3_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf3_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf3_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "pf3_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ],
+ "mpsoc_pl_rp_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "c_smmu_en": [ { "value": "0", "resolve_type": "user", "usage": "all" } ],
+ "enable_slave_read_64os": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "m_axib_num_write_scale": [ { "value": "1", "resolve_type": "user", "usage": "all" } ],
+ "disable_gt_loc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "use_standard_interfaces": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "dma_2rp": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "disable_user_clock_root": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "flr_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "enable_epyc_chipset_fix": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "usrint_expn": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "shell_bridge": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "msix_pcie_internal": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "warm_reboot_sbr_fix": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "tl_tx_mux_strict_priority": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "en_slot_cap_reg": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
+ "slot_cap_reg": [ { "value": "00000040", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "sim_model": [ { "value": "NO", "resolve_type": "user", "usage": "all" } ],
+ "versal": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ],
+ "lane_order": [ { "value": "Bottom", "resolve_type": "user", "usage": "all" } ],
+ "gt_loc_num": [ { "value": "X99Y99", "resolve_type": "user", "usage": "all" } ],
+ "example_design_type": [ { "value": "RTL", "resolve_type": "user", "usage": "all" } ],
+ "enable_error_injection": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "performance_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "descriptor_bypass_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "vdm_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "virtio_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "virtio_perf_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "bridge_burst": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "insert_cips": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "en_bridge_slv": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "enable_clock_delay_grp": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "replace_uram_with_bram": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "errc_dec_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+ "all_speeds_all_sides": [ { "value": "NO", "resolve_type": "user", "usage": "all" } ],
+ "pf0_pm_cap_pmesupport_d0": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_pm_cap_pmesupport_d1": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_pm_cap_pmesupport_d3hot": [ { "value": "false", "resolve_type": "user", "usage": "all" } ],
+ "pf0_pm_cap_supp_d1_state": [ { "value": "false", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "COMPONENT_NAME": [ { "value": "xdma_0", "resolve_type": "generated", "usage": "all" } ],
+ "PL_UPSTREAM_FACING": [ { "value": "true", "resolve_type": "generated", "usage": "all" } ],
+ "TL_LEGACY_MODE_ENABLE": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+ "PCIE_BLK_LOCN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "PL_LINK_CAP_MAX_LINK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "PL_LINK_CAP_MAX_LINK_SPEED": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "REF_CLK_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DRP_CLK_SEL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "FREE_RUN_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "AXI_ADDR_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "AXI_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "CORE_CLK_FREQ": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "PLL_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "USER_CLK_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "SILICON_REV": [ { "value": "Pre-Production", "resolve_type": "generated", "usage": "all" } ],
+ "PIPE_SIM": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "VDM_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EXT_CH_GT_DRP": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+ "PCIE3_DRP": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+ "DEDICATE_PERST": [ { "value": "true", "resolve_type": "generated", "usage": "all" } ],
+ "SYS_RESET_POLARITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "MCAP_ENABLEMENT": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ],
+ "EXT_STARTUP_PRIMITIVE": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+ "PF0_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_DEVICE_ID": [ { "value": "0x7021", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_SUBSYSTEM_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_CLASS_CODE": [ { "value": "0x070001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_DEVICE_ID": [ { "value": "0x1041", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_SUBSYSTEM_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_CLASS_CODE": [ { "value": "0x070001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF2_DEVICE_ID": [ { "value": "0x1040", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF2_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF2_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF3_DEVICE_ID": [ { "value": "0x1039", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF3_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF3_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "AXILITE_MASTER_APERTURE_SIZE": [ { "value": "0x0D", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "AXILITE_MASTER_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "XDMA_APERTURE_SIZE": [ { "value": "0x09", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "XDMA_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "AXIST_BYPASS_APERTURE_SIZE": [ { "value": "0x0D", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "AXIST_BYPASS_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_INTERRUPT_PIN": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_MSI_CAP_MULTIMSGCAP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_COMP_TIMEOUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_TIMEOUT0_SEL": [ { "value": "0xE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_TIMEOUT1_SEL": [ { "value": "0xF", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_TIMEOUT_MULT": [ { "value": "0x3", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_OLD_BRIDGE_TIMEOUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "SHARED_LOGIC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "SHARED_LOGIC_CLK": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+ "SHARED_LOGIC_BOTH": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+ "SHARED_LOGIC_GTC": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+ "SHARED_LOGIC_GTC_7XG2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+ "SHARED_LOGIC_CLK_7XG2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+ "SHARED_LOGIC_BOTH_7XG2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+ "EN_TRANSCEIVER_STATUS_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "IS_BOARD_PROJECT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "EN_GT_SELECTION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "SELECT_QUAD": [ { "value": "GTH_Quad_128", "resolve_type": "generated", "usage": "all" } ],
+ "ULTRASCALE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "ULTRASCALE_PLUS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "VERSAL": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "V7_GEN3": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "MSI_ENABLED": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ],
+ "DEV_PORT_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "XDMA_AXI_INTF_MM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "XDMA_PCIE_64BIT_EN": [ { "value": "xdma_pcie_64bit_en", "resolve_type": "generated", "usage": "all" } ],
+ "XDMA_AXILITE_MASTER": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "XDMA_AXIST_BYPASS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "XDMA_RNUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "XDMA_WNUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "XDMA_AXILITE_SLAVE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "XDMA_NUM_USR_IRQ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "XDMA_RNUM_RIDS": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "XDMA_WNUM_RIDS": [ { "value": "16", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "EGW_IS_PARENT_IP": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXIBAR_NUM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ],
+ "XDMA_NUM_PCIE_TAG": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "EN_AXI_MASTER_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_WCHNL_0": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_WCHNL_1": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_WCHNL_2": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_WCHNL_3": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_WCHNL_4": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_WCHNL_5": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_WCHNL_6": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_WCHNL_7": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_RCHNL_0": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_RCHNL_1": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_RCHNL_2": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_RCHNL_3": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_RCHNL_4": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_RCHNL_5": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_RCHNL_6": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_RCHNL_7": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "XDMA_DSC_BYPASS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C_METERING_ON": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "RX_DETECT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_ATS_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C_ATS_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_PR_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_PRI_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "DSC_BYPASS_RD": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DSC_BYPASS_WR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "XDMA_STS_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "MSIX_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "WR_CH0_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "WR_CH1_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "WR_CH2_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "WR_CH3_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "RD_CH0_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "RD_CH1_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "RD_CH2_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "RD_CH3_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "CFG_MGMT_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ],
+ "RQ_SEQ_NUM_IGNORE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "CFG_EXT_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "LEGACY_CFG_EXT_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C_PARITY_CHECK": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_PARITY_GEN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_PARITY_PROP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_ECC_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "EN_DEBUG_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "VU9P_BOARD": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "ENABLE_JTAG_DBG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "ENABLE_LTSSM_DBG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "ENABLE_IBERT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "MM_SLAVE_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DMA_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_AXIBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_HIGHADDR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_HIGHADDR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_HIGHADDR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_HIGHADDR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_HIGHADDR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR_HIGHADDR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR2PCIEBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR2PCIEBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR2PCIEBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR2PCIEBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR2PCIEBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_AXIBAR2PCIEBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "EN_AXI_SLAVE_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ],
+ "C_INCLUDE_BAROFFSET_REG": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_BASEADDR": [ { "value": "0x00001000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_HIGHADDR": [ { "value": "0x00001FFF", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_NUM_READ": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_NUM_READ": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_NUM_READQ": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_S_AXI_NUM_WRITE": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_NUM_WRITE": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_NUM_WRITE_SCALE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "MSIX_IMPL_EXT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "AXI_ACLK_LOOPBACK": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "PF0_BAR0_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR0_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR1_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR2_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR3_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR4_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR5_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_EXPANSION_ROM_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF0_EXPANSION_ROM_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "PCIEBAR_NUM": [ { "value": "6", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_PCIEBAR2AXIBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_PCIEBAR2AXIBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_PCIEBAR2AXIBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_PCIEBAR2AXIBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_PCIEBAR2AXIBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_PCIEBAR2AXIBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_PCIEBAR2AXIBAR_6": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "BARLITE1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "BARLITE2": [ { "value": "7", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "VCU118_BOARD": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "ENABLE_ERROR_INJECTION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "SPLIT_DMA": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "USE_STANDARD_INTERFACES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "DMA_2RP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "SRIOV_ACTIVE_VFS": [ { "value": "252", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "PIPE_LINE_STAGE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "AXIS_PIPE_LINE_STAGE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "MULT_PF_DES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "PF_SWAP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "PF0_MSIX_TAR_ID": [ { "value": "0x08", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_MSIX_TAR_ID": [ { "value": "0x09", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "RUNBIT_FIX": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ],
+ "USRINT_EXPN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "xlnx_ref_board": [ { "value": "None", "resolve_type": "generated", "usage": "all" } ],
+ "GTWIZ_IN_CORE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "GTCOM_IN_CORE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "INS_LOSS_PROFILE": [ { "value": "Add-in_Card", "resolve_type": "generated", "usage": "all" } ],
+ "FUNC_MODE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "PF1_ENABLED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DMA_RESET_SOURCE_SEL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "PF1_BAR0_APERTURE_SIZE": [ { "value": "0x12", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR0_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR1_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR1_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR2_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR2_CONTROL": [ { "value": "0x6", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR3_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR4_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR4_CONTROL": [ { "value": "0x6", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR5_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_EXPANSION_ROM_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_EXPANSION_ROM_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "PF1_PCIEBAR2AXIBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_PCIEBAR2AXIBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_PCIEBAR2AXIBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_PCIEBAR2AXIBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_PCIEBAR2AXIBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_PCIEBAR2AXIBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "PF1_PCIEBAR2AXIBAR_6": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_MSIX_INT_TABLE_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "VU9P_TUL_EX": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "PCIE_BLK_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "CCIX_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "CCIX_DVSEC": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EXT_SYS_CLK_BUFG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C_NUM_OF_SC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "USR_IRQ_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "AXI_VIP_IN_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "PIPE_DEBUG_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "XDMA_NON_INCREMENTAL_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "XDMA_ST_INFINITE_DESC_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EXT_XVC_VSEC_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "ACS_EXT_CAP_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "EN_PCIE_DEBUG_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "MULTQ_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DMA_MM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "DMA_ST": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_PCIE_PFS_SUPPORTED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SRIOV_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "BARLITE_EXT_PF0": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "BARLITE_EXT_PF1": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "BARLITE_EXT_PF2": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "BARLITE_EXT_PF3": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "BARLITE_INT_PF0": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "BARLITE_INT_PF1": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "BARLITE_INT_PF2": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "BARLITE_INT_PF3": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "NUM_VFS_PF0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "NUM_VFS_PF1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "NUM_VFS_PF2": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "NUM_VFS_PF3": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "FIRSTVF_OFFSET_PF0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "FIRSTVF_OFFSET_PF1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "FIRSTVF_OFFSET_PF2": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "FIRSTVF_OFFSET_PF3": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "VF_BARLITE_EXT_PF0": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "VF_BARLITE_EXT_PF1": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "VF_BARLITE_EXT_PF2": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "VF_BARLITE_EXT_PF3": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "VF_BARLITE_INT_PF0": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "VF_BARLITE_INT_PF1": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "VF_BARLITE_INT_PF2": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "VF_BARLITE_INT_PF3": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_C2H_NUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_H2C_NUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "H2C_XDMA_CHNL": [ { "value": "0x0F", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C2H_XDMA_CHNL": [ { "value": "0x0F", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "AXISTEN_IF_ENABLE_MSG_ROUTE": [ { "value": "0x00000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "ENABLE_MORE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "DISABLE_BRAM_PIPELINE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "DISABLE_EQ_SYNCHRONIZER": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C_ENABLE_RESOURCE_REDUCTION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "GEN4_EIEOS_0S7": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ],
+ "C_S_AXI_SUPPORTS_NARROW_BURST": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "ENABLE_ATS_SWITCH": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C_ATS_SWITCH_UNIQUE_BDF": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "BRIDGE_BURST": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "CFG_SPACE_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C_LAST_CORE_CAP_ADDR": [ { "value": "0x100", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "C_VSEC_CAP_ADDR": [ { "value": "0x128", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
+ "SOFT_RESET_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "INTERRUPT_OUT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_MSI_RX_PIN_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_MSIX_RX_PIN_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_INTX_RX_PIN_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "MSIX_RX_DECODE_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "PCIE_ID_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "TL_PF_ENABLE_REG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "AXSIZE_BYTE_ACCESS_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "SPLIT_DMA_SINGLE_PF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "RBAR_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "C_SMMU_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_AWUSER_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_M_AXI_ARUSER_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "C_SLAVE_READ_64OS_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "FLR_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "SHELL_BRIDGE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "MSIX_PCIE_INTERNAL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "VERSAL_PART_TYPE": [ { "value": "S80", "resolve_type": "generated", "usage": "all" } ],
+ "TANDEM_RFSOC": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+ "ERRC_DEC_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "I" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "20" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xdma_1_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "sys_clk": [ { "direction": "in" } ],
+ "sys_rst_n": [ { "direction": "in", "driver_value": "1" } ],
+ "user_lnk_up": [ { "direction": "out" } ],
+ "pci_exp_txp": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "pci_exp_txn": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "pci_exp_rxp": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
+ "pci_exp_rxn": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
+ "axi_aclk": [ { "direction": "out" } ],
+ "axi_aresetn": [ { "direction": "out" } ],
+ "usr_irq_req": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
+ "usr_irq_ack": [ { "direction": "out", "size_left": "0", "size_right": "0" } ],
+ "msi_enable": [ { "direction": "out" } ],
+ "msi_vector_width": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awready": [ { "direction": "in", "driver_value": "0" } ],
+ "m_axi_wready": [ { "direction": "in", "driver_value": "0" } ],
+ "m_axi_bid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ],
+ "m_axi_bvalid": [ { "direction": "in", "driver_value": "0" } ],
+ "m_axi_arready": [ { "direction": "in", "driver_value": "0" } ],
+ "m_axi_rid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "m_axi_rdata": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0" } ],
+ "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ],
+ "m_axi_rlast": [ { "direction": "in", "driver_value": "0" } ],
+ "m_axi_rvalid": [ { "direction": "in", "driver_value": "0" } ],
+ "m_axi_awid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_awaddr": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_awvalid": [ { "direction": "out" } ],
+ "m_axi_awlock": [ { "direction": "out" } ],
+ "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_wdata": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "m_axi_wstrb": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_wlast": [ { "direction": "out" } ],
+ "m_axi_wvalid": [ { "direction": "out" } ],
+ "m_axi_bready": [ { "direction": "out" } ],
+ "m_axi_arid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_araddr": [ { "direction": "out", "size_left": "63", "size_right": "0" } ],
+ "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ],
+ "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ],
+ "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ],
+ "m_axi_arvalid": [ { "direction": "out" } ],
+ "m_axi_arlock": [ { "direction": "out" } ],
+ "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ],
+ "m_axi_rready": [ { "direction": "out" } ],
+ "cfg_mgmt_addr": [ { "direction": "in", "size_left": "18", "size_right": "0", "driver_value": "0" } ],
+ "cfg_mgmt_write": [ { "direction": "in", "driver_value": "0" } ],
+ "cfg_mgmt_write_data": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ],
+ "cfg_mgmt_byte_enable": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ],
+ "cfg_mgmt_read": [ { "direction": "in", "driver_value": "0" } ],
+ "cfg_mgmt_read_data": [ { "direction": "out", "size_left": "31", "size_right": "0" } ],
+ "cfg_mgmt_read_write_done": [ { "direction": "out" } ],
+ "cfg_mgmt_type1_cfg_reg_access": [ { "direction": "in", "driver_value": "0" } ]
+ },
+ "interfaces": {
+ "CLK.SYS_CLK": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "FREQ_HZ": [ { "value": "100000000", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_util_ds_buf_0_0_IBUF_OUT", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_BUSIF": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_RESET": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "sys_clk" } ]
+ }
+ },
+ "CLK.axi_aclk": {
+ "vlnv": "xilinx.com:signal:clock:1.0",
+ "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "ASSOCIATED_BUSIF": [ { "value": "M_AXI:S_AXI_B:M_AXI_LITE:S_AXI_LITE:M_AXI_BYPASS:M_AXI_B:S_AXIS_C2H_0:S_AXIS_C2H_1:S_AXIS_C2H_2:S_AXIS_C2H_3:M_AXIS_H2C_0:M_AXIS_H2C_1:M_AXIS_H2C_2:M_AXIS_H2C_3:sc0_ats_m_axis_cq:sc0_ats_m_axis_rc:sc0_ats_s_axis_cc:sc0_ats_s_axis_rq:sc1_ats_m_axis_cq:sc1_ats_m_axis_rc:sc1_ats_s_axis_cc:sc1_ats_s_axis_rq:cxs_tx:cxs_rx", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "ASSOCIATED_RESET": [ { "value": "axi_aresetn", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "usage": "all" } ],
+ "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "CLK": [ { "physical_name": "axi_aclk" } ]
+ }
+ },
+ "RST.axi_aresetn": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "axi_aresetn" } ]
+ }
+ },
+ "RST.sys_rst_n": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "BOARD.ASSOCIATED_PARAM": [ { "value": "SYS_RST_N_BOARD_INTERFACE", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "TYPE": [ { "value": "PCIE_PERST", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "RST": [ { "physical_name": "sys_rst_n" } ]
+ }
+ },
+ "RST.user_reset": {
+ "vlnv": "xilinx.com:signal:reset:1.0",
+ "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+ "mode": "slave",
+ "parameters": {
+ "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ }
+ },
+ "M_AXI": {
+ "vlnv": "xilinx.com:interface:aximm:1.0",
+ "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0",
+ "mode": "master",
+ "address_space_ref": "M_AXI",
+ "parameters": {
+ "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "format": "long", "usage": "all" } ],
+ "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "format": "long", "usage": "all" } ],
+ "HAS_BURST.VALUE_SRC": [ { "value": "CONSTANT", "value_src": "constant", "value_permission": "bd", "usage": "all" } ],
+ "DATA_WIDTH": [ { "value": "64", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "FREQ_HZ": [ { "value": "62500000", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "ID_WIDTH": [ { "value": "4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "ADDR_WIDTH": [ { "value": "64", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_WIDTH": [ { "value": "0", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_WIDTH": [ { "value": "0", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_LOCK": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_PROT": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_CACHE": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_WSTRB": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_BRESP": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "HAS_RRESP": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "MAX_BURST_LENGTH": [ { "value": "256", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+ "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_READ_THREADS": [ { "value": "1", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+ "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+ },
+ "port_maps": {
+ "ARADDR": [ { "physical_name": "m_axi_araddr" } ],
+ "ARBURST": [ { "physical_name": "m_axi_arburst" } ],
+ "ARCACHE": [ { "physical_name": "m_axi_arcache" } ],
+ "ARID": [ { "physical_name": "m_axi_arid" } ],
+ "ARLEN": [ { "physical_name": "m_axi_arlen" } ],
+ "ARLOCK": [ { "physical_name": "m_axi_arlock" } ],
+ "ARPROT": [ { "physical_name": "m_axi_arprot" } ],
+ "ARREADY": [ { "physical_name": "m_axi_arready" } ],
+ "ARSIZE": [ { "physical_name": "m_axi_arsize" } ],
+ "ARVALID": [ { "physical_name": "m_axi_arvalid" } ],
+ "AWADDR": [ { "physical_name": "m_axi_awaddr" } ],
+ "AWBURST": [ { "physical_name": "m_axi_awburst" } ],
+ "AWCACHE": [ { "physical_name": "m_axi_awcache" } ],
+ "AWID": [ { "physical_name": "m_axi_awid" } ],
+ "AWLEN": [ { "physical_name": "m_axi_awlen" } ],
+ "AWLOCK": [ { "physical_name": "m_axi_awlock" } ],
+ "AWPROT": [ { "physical_name": "m_axi_awprot" } ],
+ "AWREADY": [ { "physical_name": "m_axi_awready" } ],
+ "AWSIZE": [ { "physical_name": "m_axi_awsize" } ],
+ "AWVALID": [ { "physical_name": "m_axi_awvalid" } ],
+ "BID": [ { "physical_name": "m_axi_bid" } ],
+ "BREADY": [ { "physical_name": "m_axi_bready" } ],
+ "BRESP": [ { "physical_name": "m_axi_bresp" } ],
+ "BVALID": [ { "physical_name": "m_axi_bvalid" } ],
+ "RDATA": [ { "physical_name": "m_axi_rdata" } ],
+ "RID": [ { "physical_name": "m_axi_rid" } ],
+ "RLAST": [ { "physical_name": "m_axi_rlast" } ],
+ "RREADY": [ { "physical_name": "m_axi_rready" } ],
+ "RRESP": [ { "physical_name": "m_axi_rresp" } ],
+ "RVALID": [ { "physical_name": "m_axi_rvalid" } ],
+ "WDATA": [ { "physical_name": "m_axi_wdata" } ],
+ "WLAST": [ { "physical_name": "m_axi_wlast" } ],
+ "WREADY": [ { "physical_name": "m_axi_wready" } ],
+ "WSTRB": [ { "physical_name": "m_axi_wstrb" } ],
+ "WVALID": [ { "physical_name": "m_axi_wvalid" } ]
+ }
+ },
+ "pcie_cfg_mgmt": {
+ "vlnv": "xilinx.com:interface:pcie_cfg_mgmt:1.0",
+ "abstraction_type": "xilinx.com:interface:pcie_cfg_mgmt_rtl:1.0",
+ "mode": "slave",
+ "port_maps": {
+ "ADDR": [ { "physical_name": "cfg_mgmt_addr" } ],
+ "BYTE_EN": [ { "physical_name": "cfg_mgmt_byte_enable" } ],
+ "READ_DATA": [ { "physical_name": "cfg_mgmt_read_data" } ],
+ "READ_EN": [ { "physical_name": "cfg_mgmt_read" } ],
+ "READ_WRITE_DONE": [ { "physical_name": "cfg_mgmt_read_write_done" } ],
+ "TYPE1_CFG_REG_ACCESS": [ { "physical_name": "cfg_mgmt_type1_cfg_reg_access" } ],
+ "WRITE_DATA": [ { "physical_name": "cfg_mgmt_write_data" } ],
+ "WRITE_EN": [ { "physical_name": "cfg_mgmt_write" } ]
+ }
+ },
+ "pcie_mgt": {
+ "vlnv": "xilinx.com:interface:pcie_7x_mgt:1.0",
+ "abstraction_type": "xilinx.com:interface:pcie_7x_mgt_rtl:1.0",
+ "mode": "master",
+ "parameters": {
+ "BOARD.ASSOCIATED_PARAM": [ { "value": "PCIE_BOARD_INTERFACE", "value_src": "constant", "value_permission": "bd", "usage": "all" } ]
+ },
+ "port_maps": {
+ "rxn": [ { "physical_name": "pci_exp_rxn" } ],
+ "rxp": [ { "physical_name": "pci_exp_rxp" } ],
+ "txn": [ { "physical_name": "pci_exp_txn" } ],
+ "txp": [ { "physical_name": "pci_exp_txp" } ]
+ }
+ }
+ },
+ "address_spaces": {
+ "M_AXI": {
+ "range": "16777216T",
+ "width": "64"
+ },
+ "M_AXI_LITE": {
+ "range": "4G",
+ "width": "32"
+ },
+ "M_AXI_BYPASS": {
+ "range": "16777216T",
+ "width": "64"
+ },
+ "M_AXI_B": {
+ "range": "16777216T",
+ "width": "64"
+ }
+ },
+ "memory_maps": {
+ "S_AXI_LITE": {
+ "address_blocks": {
+ "CTL0": {
+ "base_address": "0",
+ "range": "65536",
+ "usage": "memory",
+ "access": "read-write",
+ "parameters": {
+ "OFFSET_BASE_PARAM": [ { "value": "baseaddr" } ],
+ "OFFSET_HIGH_PARAM": [ { "value": "highaddr" } ]
+ }
+ }
+ }
+ },
+ "S_AXI_B": {
+ "address_blocks": {
+ "BAR0": {
+ "base_address": "0",
+ "range": "1048576",
+ "usage": "memory",
+ "access": "read-write",
+ "parameters": {
+ "OFFSET_BASE_PARAM": [ { "value": "axibar_0" } ],
+ "OFFSET_HIGH_PARAM": [ { "value": "axibar_highaddr_0" } ]
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci
new file mode 100644
index 0000000..eac8627
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci
@@ -0,0 +1,51 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_xlconstant_0_0",
+ "cell_name": "xlconstant_0",
+ "component_reference": "xilinx.com:ip:xlconstant:1.1",
+ "ip_revision": "7",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_0_0",
+ "parameters": {
+ "component_parameters": {
+ "Component_Name": [ { "value": "Top_xlconstant_0_0", "resolve_type": "user", "usage": "all" } ],
+ "CONST_WIDTH": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "CONST_VAL": [ { "value": "1", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "CONST_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "CONST_VAL": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "I" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "7" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_0_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "dout": [ { "direction": "out", "size_left": "0", "size_right": "0" } ]
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci
new file mode 100644
index 0000000..aa4314b
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci
@@ -0,0 +1,51 @@
+{
+ "schema": "xilinx.com:schema:json_instance:1.0",
+ "ip_inst": {
+ "xci_name": "Top_xlconstant_2_0",
+ "cell_name": "xlconstant_2",
+ "component_reference": "xilinx.com:ip:xlconstant:1.1",
+ "ip_revision": "7",
+ "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_2_0",
+ "parameters": {
+ "component_parameters": {
+ "Component_Name": [ { "value": "Top_xlconstant_2_0", "resolve_type": "user", "usage": "all" } ],
+ "CONST_WIDTH": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+ "CONST_VAL": [ { "value": "1", "resolve_type": "user", "usage": "all" } ]
+ },
+ "model_parameters": {
+ "CONST_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+ "CONST_VAL": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ]
+ },
+ "project_parameters": {
+ "ARCHITECTURE": [ { "value": "kintex7" } ],
+ "BASE_BOARD_PART": [ { "value": "" } ],
+ "BOARD_CONNECTIONS": [ { "value": "" } ],
+ "DEVICE": [ { "value": "xc7k480t" } ],
+ "PACKAGE": [ { "value": "ffg1156" } ],
+ "PREFHDL": [ { "value": "VERILOG" } ],
+ "SILICON_REVISION": [ { "value": "" } ],
+ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
+ "SPEEDGRADE": [ { "value": "-2L" } ],
+ "STATIC_POWER": [ { "value": "" } ],
+ "TEMPERATURE_GRADE": [ { "value": "E" } ],
+ "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+ "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+ },
+ "runtime_parameters": {
+ "IPCONTEXT": [ { "value": "IP_Integrator" } ],
+ "IPREVISION": [ { "value": "7" } ],
+ "MANAGED": [ { "value": "TRUE" } ],
+ "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_2_0" } ],
+ "SELECTEDSIMMODEL": [ { "value": "" } ],
+ "SHAREDDIR": [ { "value": "../../ipshared" } ],
+ "SWVERSION": [ { "value": "2022.2" } ],
+ "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+ }
+ },
+ "boundary": {
+ "ports": {
+ "dout": [ { "direction": "out", "size_left": "0", "size_right": "0" } ]
+ }
+ }
+ }
+}
\ No newline at end of file
diff --git a/nitefury_pcie_xdma_ddr/project/test.tcl b/nitefury_pcie_xdma_ddr/project/test.tcl
new file mode 100644
index 0000000..0102b0d
--- /dev/null
+++ b/nitefury_pcie_xdma_ddr/project/test.tcl
@@ -0,0 +1,90 @@
+
+
+# Project configuration -------------------------------------------------------
+
+create_project -force xdma480t
+set_property SOURCE_MGMT_MODE None [current_project]
+set_property STEPS.SYNTH_DESIGN.ARGS.ASSERT true [get_runs synth_1]
+set_property PART xc7k480tffg1156-2L [current_project]
+
+# Files inclusion
+
+# import_ip ../sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci
+# import_ip ../sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci
+# import_ip ../sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci
+# import_ip ../sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci
+# import_ip ../sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci
+# import_ip ../sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci
+# import_ip ../sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci
+# import_ip ../sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci
+# import_ip ../sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci
+# import_ip ../sources/ip/Top_auto_us_0/Top_auto_us_0.xci
+
+import_ip ../sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci
+import_ip ../sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci
+import_ip ../sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci
+import_ip ../sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci
+import_ip ../sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci
+import_ip ../sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci
+import_ip ../sources/ip/Top_xbar_0/Top_xbar_0.xci
+import_ip ../sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci
+import_ip ../sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci
+import_ip ../sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci
+import_ip ../sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci
+
+
+# generate_target all [get_files ../sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci]
+# generate_target all [get_files ../sources/Top.bd]
+
+
+add_file ../sources/Top_wrapper.v
+add_file ../sources/Top.bd
+
+
+# Constraints inclusion
+
+add_file -fileset constrs_1 ../normal.xdc
+# set_property TARGET_CONSTRS_FILE /home/colin/develop/abstractaccelerator/fpga/xc7z010/timing.xdc [current_fileset -constrset]
+
+# Top-level specification
+set_property TOP Top_wrapper [current_fileset]
+
+# Verilog Includes
+# set_property INCLUDE_DIRS { /home/colin/develop/abstractaccelerator/fpga/xc7z010 } [current_fileset]
+
+# Verilog Parameters / VHDL Generics
+set_property GENERIC { FREQ=100000000 SECS=1 } -objects [get_filesets sources_1]
+
+
+close_project
+
+# Design flow -----------------------------------------------------------------
+
+open_project xdma480t
+
+# Synthesis
+
+# PRESYNTH
+# set_property DESIGN_MODE GateLvl [current_fileset]
+reset_run synth_1
+launch_runs synth_1
+wait_on_run synth_1
+#report_property [get_runs synth_1]
+if { [get_property STATUS [get_runs synth_1]] ne "synth_design Complete!" } { exit 1 }
+
+# Place and Route
+
+reset_run impl_1
+launch_runs impl_1
+wait_on_run impl_1
+#report_property [get_runs impl_1]
+if { [get_property STATUS [get_runs impl_1]] ne "route_design Complete!" } { exit 1 }
+
+# Bitstream generation
+
+open_run impl_1
+write_bitstream -force xdma480t
+write_debug_probes -force -quiet xdma480t.ltx
+
+close_project
+