From 330d8de4f7a4d0525da1876630cb41f46829e9b9 Mon Sep 17 00:00:00 2001 From: Colin <> Date: Sat, 10 May 2025 13:29:27 +0800 Subject: [PATCH] Add nitefury_pcie_xdma_ddr. --- nitefury_pcie_xdma_ddr/.gitignore | 1 + nitefury_pcie_xdma_ddr/LICENSE | 21 + nitefury_pcie_xdma_ddr/README.md | 4 + nitefury_pcie_xdma_ddr/dma_test.py | 52 + nitefury_pcie_xdma_ddr/nitefury_xdma_ddr.zip | Bin 0 -> 332210 bytes nitefury_pcie_xdma_ddr/project/.gitignore | 1 + nitefury_pcie_xdma_ddr/project/Makefile | 7 + nitefury_pcie_xdma_ddr/project/normal.xdc | 20 + .../project/pcie_xdma_ddr.tcl | 96 + nitefury_pcie_xdma_ddr/project/sources/Top.bd | 1889 ++++++++++++++ .../project/sources/Top.bda | 151 ++ .../project/sources/Top_wrapper.v | 196 ++ .../ip/Top_auto_cc_0/Top_auto_cc_0.xci | 364 +++ .../ip/Top_auto_cc_1/Top_auto_cc_1.xci | 364 +++ .../ip/Top_auto_cc_2/Top_auto_cc_2.xci | 364 +++ .../ip/Top_auto_cc_3/Top_auto_cc_3.xci | 364 +++ .../ip/Top_auto_ds_0/Top_auto_ds_0.xci | 329 +++ .../ip/Top_auto_ds_1/Top_auto_ds_1.xci | 329 +++ .../ip/Top_auto_ds_2/Top_auto_ds_2.xci | 329 +++ .../ip/Top_auto_pc_0/Top_auto_pc_0.xci | 297 +++ .../ip/Top_auto_pc_1/Top_auto_pc_1.xci | 297 +++ .../ip/Top_auto_us_0/Top_auto_us_0.xci | 337 +++ .../Top_axi_bram_ctrl_0_0.xci | 259 ++ .../Top_axi_interconnect_0_0.xci | 354 +++ .../Top_blk_mem_gen_0_0.xci | 264 ++ .../Top_mig_7series_1_0.xci | 2190 +++++++++++++++++ .../sources/ip/Top_mig_7series_1_0/mig_a.prj | 232 ++ .../sources/ip/Top_mig_7series_1_0/mig_b.prj | 414 ++++ .../Top_util_ds_buf_0_0.xci | 119 + .../Top_util_vector_logic_1_3.xci | 53 + .../Top_util_vector_logic_1_4.xci | 53 + .../sources/ip/Top_xbar_0/Top_xbar_0.xci | 1825 ++++++++++++++ .../sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci | 1592 ++++++++++++ .../Top_xlconstant_0_0/Top_xlconstant_0_0.xci | 51 + .../Top_xlconstant_2_0/Top_xlconstant_2_0.xci | 51 + nitefury_pcie_xdma_ddr/project/test.tcl | 90 + 36 files changed, 13359 insertions(+) create mode 100644 nitefury_pcie_xdma_ddr/.gitignore create mode 100644 nitefury_pcie_xdma_ddr/LICENSE create mode 100644 nitefury_pcie_xdma_ddr/README.md create mode 100644 nitefury_pcie_xdma_ddr/dma_test.py create mode 100644 nitefury_pcie_xdma_ddr/nitefury_xdma_ddr.zip create mode 100644 nitefury_pcie_xdma_ddr/project/.gitignore create mode 100644 nitefury_pcie_xdma_ddr/project/Makefile create mode 100644 nitefury_pcie_xdma_ddr/project/normal.xdc create mode 100644 nitefury_pcie_xdma_ddr/project/pcie_xdma_ddr.tcl create mode 100644 nitefury_pcie_xdma_ddr/project/sources/Top.bd create mode 100644 nitefury_pcie_xdma_ddr/project/sources/Top.bda create mode 100644 nitefury_pcie_xdma_ddr/project/sources/Top_wrapper.v create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_a.prj create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_b.prj create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci create mode 100644 nitefury_pcie_xdma_ddr/project/test.tcl diff --git a/nitefury_pcie_xdma_ddr/.gitignore b/nitefury_pcie_xdma_ddr/.gitignore new file mode 100644 index 0000000..b195813 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/.gitignore @@ -0,0 +1 @@ +nitefury_xdma_ddr \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/LICENSE b/nitefury_pcie_xdma_ddr/LICENSE new file mode 100644 index 0000000..9d8bcd7 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/LICENSE @@ -0,0 +1,21 @@ +MIT License + +Copyright (c) 2022 FPGANinjas + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all +copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +SOFTWARE. diff --git a/nitefury_pcie_xdma_ddr/README.md b/nitefury_pcie_xdma_ddr/README.md new file mode 100644 index 0000000..537b507 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/README.md @@ -0,0 +1,4 @@ +# nitefury_pcie_xdma_ddr +Interface Xilinx XDMA PCIe with DDR3 using MIG-IP on Artix-7 FPGA using Nitefury dev board + +Follow the step by step guide on our website:- https://fpganinjas.io/xdma-pcie-vivado/ diff --git a/nitefury_pcie_xdma_ddr/dma_test.py b/nitefury_pcie_xdma_ddr/dma_test.py new file mode 100644 index 0000000..70920a7 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/dma_test.py @@ -0,0 +1,52 @@ +#!/usr/bin/env python3 +import os +import time + +############################################## +def main(): + + # Generate some data + TRANSFER_SIZE = 0x40000000 + tx_data = bytearray(os.urandom(TRANSFER_SIZE)) + + # Open files + fd_h2c = os.open("/dev/xdma0_h2c_0", os.O_WRONLY) + fd_c2h = os.open("/dev/xdma0_c2h_0", os.O_RDONLY) + + # Send data to FPGA + start = time.time() + os.pwrite(fd_h2c, tx_data, 0x80000000); + end = time.time() + duration = end-start; + + # Print time + BPS = TRANSFER_SIZE / (duration); + print("Sent in " + str((duration)*1000.0) + " milliseconds (" + str(BPS/1000000) + " MBPS)") + + # Receive data from FPGA + start = time.time() + rx_data = os.pread(fd_c2h, TRANSFER_SIZE, 0x80000000); + end = time.time() + duration = end-start; + + # Print time + BPS = TRANSFER_SIZE / (duration); + print("Received in " + str((duration)*1000.0) + " milliseconds (" + str(BPS/1000000) + " MBPS)") + + # Make sure data matches + if tx_data != rx_data: + print ("Whoops") + else: + print ("OK") + + # done + os.close(fd_h2c) + os.close(fd_c2h) + + +############################################## + +if __name__ == '__main__': + main() + + diff --git a/nitefury_pcie_xdma_ddr/nitefury_xdma_ddr.zip b/nitefury_pcie_xdma_ddr/nitefury_xdma_ddr.zip new file mode 100644 index 0000000000000000000000000000000000000000..d74daeb170745a593ae6f5702c953863f2df94c7 GIT binary patch literal 332210 zcmc$`19V;A{;wUI4I8Iv8aHh0G`4Nqc4OPNZQHh!#W`Ohm zYD@$BuMVarzb5zn-J<-jlba)@D&9$j?7Azjf{9CKiB0}}!UP>J{j>Bi`kpdvE!51! zSJRJYr^jF-qE7->>?`!U$*Im}D~BUAKFLBoAh#00bBGc+LEh&LeqYwxjWQ1HM%XIJ z9l}2;_F&|)T%m|4;t&E^<()9jdq!R6e$#$HZY3RBEP5&;jY;jVjT}M4z=$icF0ud+ zC)ahc2IIle-peKd0p;Z4M2TS%J>!o_Y*HXA4CG=D4$~N@567{RXaf8Bg-)Lu6o;a~ zkN&*JxJq`eTZc}ay7R8;453nZ%ixAv`3=FZ-7S zAAS(ek~7$kNfsL5?<~%|EY&dlWkJjS-3sIQzxuT8HSKhNFSCh%x6IxL zX7Wt2>w8;t;%`e$@;@E;pI&7q7FNI3-FqqjXx)Vi2X%5Hc%R;*Y$qcpQ-dMKeEt-8 zwAy_uszqba#YjNAu=nDO23#Yr0@S0QXa^l9*!{ ztTtt_WoW{;1@%O2S9|#K+)o2Af$i`>i0P}xXKdTBE+2N`2j!h4dv~79_brAePHr2U zg*+%oCg;Am*^*L8L0u01q>2+;+SkPZ#k83P6`*88imbfNWl=L!T{^W{HV-;!QW)F z;@ypFo|pMWZN1z6*tzV~c`eAMhPF~cx%_W2eJuyrHTVz6eDPP#<@#VD3cZD9ZVSb*(QK?pz2ttqKhPC{0&Ngo9AZD*)Zk z^>{x(9CN)r0kO+36+n;c{+K>}I^ZB@l=Wi{d-na~_@}m}uXxhOt(d|5(XE|yLV~gy z=rD4VTCGOWrawg{JiOzFwfMuX^rG@j#LWvkKPM;*7SiG?B?RK`n=(7Wel3V`RHH&| zoG0oFm`-wUX#bAZU(?Y=JF3^HWHuyIwD@K4>oXzSB`co_of%-&<;%sUBl1cwb@mTc z=(qr*wy`YhCp2#J#|7-j1K2o}SJ&-es;k^gLiFp$awqo{Zeq<3OM*K&ZbbpN-zEmo zqEZ~F_cHuykUlthygJwYdWmp`O#7rWe(v{y?NNUUl@1FNiRLc^6ka$wX!! zb26ggp^T1hCe|kBa55f|aT1*wfrG20=c7w~deti?Usan)^0#bf9i_WU%SA&00?ybt zSVzN;5^@xSGP6ICrYV%w3iQ{)IBEk-J^}51RU@knOs|}TNjJaydt*_Mg0oqJ(^H6UJIVYCZO&C zNQuc)g6|6Ypu72m#mP7&HrjM>RPSmBCN?Uw2&PvhEt-;MUsBFr2~$AbOS)@>}cxz>2f+?8e#a;sGB^$Ren$$Xp~}-W^2W&m(v+m$J`HGPa;+ za5$UXfL}x>a#&s* zi&ogtfcaPlAAXPx}fHr7<&dO<03*^x&yZJoR>V zfpb8K&CzcWkA6vWVRW(xIF6*QE;*X@J^F;Kf8e!nPXn?BH;*W8M~+rBP7-O#cmQY% z$&Y~9ui3cc0OKhKe;&LqNry{A)gj`?20i8e1+d?{Bj9A`z>J0%c!IW;sJ$J({|qjF zM!-nk%^)6u6X;sJFAVgGjg<V5F^JueUS6k$I*I_U*QTW5MHRy}>WL$oetZ+}GYq z&|!L%djTeb`CNybZwmCMgST#BQS1s9o!8iu;dnrMJ`7km-h#-J4wNK7YJ<4 z#j-+1iZJ@ltMv*g^p0spg$2|vi06he7(Rfl7(N397*o}{M#wuuGsGgeg^~!C&;>z~ zYgt7rY8vSsEXI=ir6QNw=@}?_DxI>_>&PF^BGe+8N)#>3HM8Z>y=-xrYT;(>`yr@P z?CO3ZufuwHLTzs45fSl&ZqQgY;(}0vMr||+CY#Yy*4O9k`F|D3GoT;LYr$Q9T;IaM zKvi1RQj$}^biGQTW3 z@It`RV|(4}Z4^^s(5{xCJ`T~-^4rB~FhxDiRuw37T5nO>Shrc8H$sY(IHIwlw`Wwv z5w(}w&TsMK@b9$IEnST4-4r^`nAYWu5G>pzd8JYK1u7GVtFXK7%z3(};-uM+E)wPK z_TZ65)5FY`RnZSbDorA0^NTP8CwAKdb#Ogtn?PCM>z7z}z>yU@mVX+bMh|Ns1Px&~ zE=3Ng8IS1+-nGXQ*BmqgE1wK~UQ{Z`kBBG!HfTg~4vJ&7y*0qJBf1x}J5(8yqS5=r z7hWurUlw1s~ z!P-+rt0HGYlsy0%0k50rMG$pQU1OP zaY0en{BnI<^pDc~O}>P#J6Iy&Qb3@c#_K1P4t;-;Ks;;2UH3X?xmjfYA1Nu#2Y*+dmACfBq2;Ib9IO8KN{MY^aB%s5lIP z-Wr)Vj!$b)=m{Oiqhi%k>$zF}4S6m_I67fY5-M%{++($GZ(wY=kMYic_wqp~AsB1V z2(<=;e`&RfTWFYXPVFd0>S0Eh&0UirOhLn4C+t4-gVaug(0IZrIlH{1d4WQVZT1ig z_!*PxVeCT-Bl*^+%gt{0|v_ zAd@g;&vOWjXu%&)tf<|@dl0(t#KaC7$!291Etm%SEMqfTYP(bPAc9u`FcH4 zM4hr$*xCDIjLtOZN}?-gMT8!#cE&387LR3CN3C#S|ku| zU_S@3opUbZC6ZdPB&R%NzsEau>$4dTRtV8E_x%$oyOBfz2{TQed1xL?VT^yGrguL>_D3h(cm`)P=l8|(a)(97YG$D1|p^Xnm@>s zyNAdPSv79gG77XfmU3@nC7#Wc`?HsKIPDFl@1sz-X+FTO${&~ak@06|HKI#f5Zr5G zF(HR**~({;mzFnv7f0qQ7hdPdtNY%FS}82*W4)wJ0WSW{+i^|e6aCaVZq#xyuP?KT zJyNGp7wTd2^+bq%7+dF<3s-9T9Yilg3(A9L%E&IbdQ*`sA)~h^rJK>XvGJK zD5X~BYy_*JT~vr4*{Yfb?$N4sD=EVM$iZ0jea*0Qm8*3Riin4WAprwy%W%5`D*IJ&}!@k`Fc9{O_ z;gLO7W!}nH!j+qw#%azC7S#_97FoCZd@NEJI{&fkK4`g#p@nSd(ZV60e8yQosrZ&E9LQhIM~!1Bo$?@R@34>!C!UC zI1;_Fl6pGQ)KA6=_qfwsrT>d@OzzM$C88gKk1P~ z;Ynd*Ss**X5|-_c9?0=$5&_DV29 zK@N+ATWV-p1%`((<|Ub+tqY&oDu~t>Qgk@yF9C^91=Pr(PJz(>6&aoJoQ&^Bc1%zw zS72ei5kP{!jti5R#=`b&Il2DnEV%RJ5sITCmfhd@dHyDE`kUPC%lz#!Zy0Fae6uQXa|U z$Yj&L94j&tg+gn81wa35U|w=HrprX|>}I8$6x=XU;n-R?Mj_H#GmU>@Ppvls+fEAe_RTi?Oce7!RvaFUH8f95c_(5CbgRWs^8rVRe{S7woGp!RhF)?Z;^6uYJzA^St4X5_7BW=k$!` z`jcG$$Z`V%ti9m{(Pc{g`;m8+k7!5S(0wPF(m2j-iE=u{-EC{mA`qQimcI}#M! z0!9qC&DNdz;ZbM$=nc^;xH|hhtygF6RP08O zU%>=!jsgU5WKND^V~qlTXE(->&w+_@{@v99^e&4HaqMk8frP%F+(n*@I`R(~dJ*EF z*JJ__XTRC?C@U9p7f%@ztri+U91~HdfYuic7V=iD>=Hi1zP?7W{7vZD?v? zZe{bwyZ^T(I5lVgO-d~L-z?$xd}tb){iz&<0JMJ{Ap5>0dt~Zfo(OL_lnxL8fcpPb zIcDZorkW;S9dxvR3B)W_E91I5AFw zsk)IA*ps|%P@7h7oJg%uc;b0ItumD=*!-+Lu1Jx`>qrrZME$=54!SEQ?#DGuUg2?MS9FI?Q>hkJ?3 zh&aD2aE0`V%KebT4TV{hW>Et$rE-kwQb}W;6M7K$xduA1WkfY{@P0rINL^jHYC@VY zsH15~K)Ey4rww|JFFk5ApOlp)!W&>FQ7oa-r=UErjMzf^%WdKBm+t??Rby>#Yo)FG z|KzIq%h3P3SIzIZR6I&n>PwK^EGGy6fGN^j*!G_<)Bm*u8FLGDdn-)~3tg+PcE47q z+&3DHf3P}h6hzH8=@D9X<=kb!vppkt@m5b#=$S06fbY5Mr zNAQ`33t+?jFIT6UBf8d!70KD<4w6RU)nPU5>lox+2FwOd9V6n*<8+iycDGuI`?bmP z2eOKD+#(j9v?}T!!rBs}#bsr~DW&EdWlIO*o(M-sFKEn#6QQ})FE8}I5#WwGMj*B- zsjaP0UtC>_&|8W3!>(&ln9V)0Tnz0JO|DL7FK6>bt{aQGMSX0LZ)`{?-+oA}+u(DU zgK#f2()LMcC50h+P>FSP#A7HbQZ?(Re$JcxKE$1C8x?cXD0FgX#biw5QXJY>J?sku zt|+t;PxNI5+^}CdCsICzl5X$`M&GQTL$|RuJt(3gKvqnMCvH$Zrsm z(7%!ZMojFdo~d?x-XM&BwY-;^U72OLJd-cSYq{XbM+NJ0RE?H{xis9`0h)oLnmugP`@I(Gs`QUFV^pfy91OJ*hL8K1mu z4nCeA&38H~+U{M0q~V!NWZ(t2yCxm)bHv`@K%2?nn%Nhn)veVL4@%0U?+vm;EHB^> zNbTb$9wXh#>)&)7Kj`QFbODHH!AEp9cCHBRoCew7zp2PU{R*Yq&?4Bj{ z{?Hzpcd6B64JL@^ET8fA6zeF50;C=WLFf5ELyrH}e8%VhU`77ke9qwf07d@Zgd;Ga zc-XNbG50flI2xG`K+r`Q73<>?*USSP%!km&?2>4w~FEc1rkXiVz{rf%2L8K-7f z(ix{}x4y-HDJZ|ie=#V&1^qaQ;o_u(wJvrFue@xRYJdu1&jL2zj9#J%26PPRHF(M> z6NP&yA_g!4d*1@KV2U#Z!KkoaITJ`1R8w+9BV+RuUd=Nn-Ge6#fnO&cLJfhx7@5Fr zmj6wh$4|BnVD2k#2!C1eVm!b`rrCv`;r`g@>DMXR01;Fg-4+C3!RTh)9*A8bj8q%- zh~Ru!3>)qKn|wg%kjnx91fH0X8lS1M?4Bf>zJvBcCBsY^WKRudr63Br{=}}h=z&7A z2aaZz#KZI@@!|TOolZ=L#Yg_@ul@lLFiKEkV#^&W+st?o$=lP_o#I&C*;lmf4fTJ1 zH~;?MxSwh1{Qd^^Z@+^aW&EwF7WglX{&pk%UBOb(KlRqXZU5g_ApBpf!0}@=K&wGwVRGbM8*1OLw#_S zU3eBp==79NdeDpOE;~%bjS2!~Iy~;EU}6Y7TO!z78q)N|w)%0|B1_Q6xb^Wr2e|65 zhHmbKIBLTVN zOAZ^WIO0VfjX3^ZZcCl2qA^FgyZ~ASria>jqFt3KTeIz=z1k-Z<7sw)-QrjF@_4kX zxH)jK6Yx4He;n5P*aM1=pQMtUVWG#SP(iD>mdMm^pOtgC30eIEGMOLlQy3l*R0|P! zFJ)Es^!Bx*4qJA}wqr<@y8f$U8$D#c5ZWs6h>WKCX<@YP;UhR5_r4uq^IYQ&Mb0bF zp~W=~Y=n74>e^Z7PtCXp)gBo}J~xw^BCVX+?fLoQK%c?qehJ5s71mOcbede5zQ_Z* zF}4?cHS z0rmG-=V~`}Ze!5O*3o{5E48#&ppeapXl%&YjV-Ng6V|;~`@+`e(WFsild=qHc8PZo zJYkU!Jmn#nPF0=cIhlocO4V2=rVU5OSSR`cF6-h>3}>y+bSk*ZK;?SLM$=X&M@P^e zB<1iP;$xBy%_n-a2w9;X6V}&PT&RXyML;kwgn+h5*iOx|}EPVHZ1%sku$IAP__ z)v02{pUR|PUtt>26kOApu$_?ECwd;Q8MbCz^Rx9#10IohB_R$~w%*7dOIh*A9*?^9! zJb8wH$3n;MTO+K{n&r|FfDt@AaZOMY=0a)aBW>Wm&qN8(^w%{ddsH?hh|Q7QWi}kF zqebJ|rCrlrpy6g&*pd2^{o81mQrdj=E?pkI$BrfubA#Y6N2-d*=b@R=4f5~jd~yDG z3xqW$r+re=jh77yIt_pwgOFBfSRiw>8M`~V6G2u~5GANCG zGOyz*s@x}C+Rce&)K?U44n}PHNzY6rX@t=i(}LiGDSS2f<(lE5ai zNf|9A`rFfj)%fBmrVC-6GxwVAt`4DiDoKRZI95257h&6L?p=HSi)XYa*;r?sq2C-e zQBg*cZUQraO%_Ff={lKQi>5omlJlpFS%;U>Z#YGk^PaxaG?dZh zP!j(hC7HG3?&?bpz>U^y|RUT57a8XZkbZ9Ye zkjk&Psro$svn()>psGz9u#+^Q1xOQ(9C+lVR2D=%x0-pU?9)vm`bBjN!hyV<@b?GZ zJ4W;!>o|C4Eq3>mav}^Z>vl z9GGPbV)1R-0TvK<+mu+|_N`BTSX^6KzgO_baT(Zu|9VkOMC2ZH8A{hcBslSfj#FSh z@sK4+hSw^OmLOngRS7EipHKX=<2NAEQ|1#~>WF z{B$BvaV(j!s6{$KQ>E;m9U%uyQoZ~2bD?E*fq-Rv?db#BJ)xyCWikGKY%Q0(?OZG( zaP7TBXb%2iItTLhxGX}uu{iqQtS=~2c7W#$serD1d6SBxf^K~wOAl7X%RG!=4o^Pk zH$@eB;R1sKJg3rr%%5Pkp*kS)Q%Ps1ftCJfQ_}&veVTTy5dJ}Z3i81Gr-}M~;*Hw8 zG&n=CDVS5#sgCnGf)^4Sl2K}0l}Dme1`2Kmv=vZI(Je}dCC-=R96xTQ@&>&|liIcJ z1SM;8^JzBJt@ygFvXZH$1N)Y5`O}>#HtO3#UHBFPFXthaI~UotgeQkP;q>ir31?zi zL|VgBOF;LeG`^9vn!K)0IHcdHuSz~{ev7sex9f~c9mY}rG*>%IQf0N99q&BM z*mxZMB^ffeJi}GqUWjNIBG%GQ>W5O7 zPDPEt-MP|bAk<|LJ;a1ezDseeKeH`A@lF4Y_2T zAjJr1#U20(_&5rHh~?ZWxcWiYt3`({AUkRBo8s`HlfgG>&ULtkY{N&aigivHHHxk zH{w1(;*5Z*j)G*9Bo-mEY5(FU4aw zt&Luevu|bALNY;8r&Rb`E9{L9Ob~BI4ed1HY0Ed+Zq9o=kkc2Ld!r+*F(B=16;KK#;e2slyj8%~P%I0Y z&vw5hb1|K&0#*2FIyFQ_dU86|guMW0I@M({|GkAGF*FjVH;tyNAxiovl(-l*^72{y zc!2OnWZun|6}OT4IU0$7EsJ_Tyh$*1F=}XB#$z!`y!-v69A#3@ z;{koyU#AkGWZal+3A|T^gpZPbvvrC6-df|ncX=P0UBI?*#Pwbc4c>c~z~QVlL~%F# z{)^fM2$ZuJO$}@jj|d8@x)&>|hFaos_0z%ZeL`^3d%*t2(4sAQK)U0SinXyUsvH?v zytd37GEn=SR9B(7@kVhj)*?;0tU}fnvb_S8`yvYp*q2njMb)lB@T^}Lz{^ZbT4q}f zu@(lW34tYoEtST~Z6Fpmlnt0qW*v`j`ocamKA2}1pN`MCL#vh{G`b9o`^d}ek9uqD z39&ax(Af}n=J=LotV(<6O|Fs4Gw0gnabFyFiXxMGK1!alH)!VHn+o(%nQ9bjob%3i z$;d}U;9I$UeKzyoYd$fxXod}4)3CVv;kBuHV}7w)X5aSmYg^errlbEsFrodo!1fm~ zF_~VDjN*RXyT-ceUG2Y>D=s&m@wnCM2v~aEZm94t>*G znyM9OH@j}?)s65BS|Kum%8p7jx6F6uL}+6n#-aM<{^Y0_aZxue$<6?M~^+!+xJT89PSL^YTQZT=eC-mT-vv%#nQalY7M#q{G^{MQDNW4RrS5r@mx!eMkl) zUn~%r{g;0vQ=O$^qd&*@m6Wopd(UdqD5TCYKHA=--C{<06xyrnI;qx8D zJlU}n1&pE2VUCs2cPJ&(4w&3`^$k3?9nXL%fMG{GJn>*3Zzajig-_Qctt;dLHX3LF z@~3ZG;Qanq^{!W>u z&}jJvCo@~~`=7fN0k<|B$?7Z{Iz*Jw#~wLaJqsxtfjgChye!X(4#|_|Z>jm$pbeZ>mr3u#5k| zE`4>`)=;BW-g9=!yg*0l&~V;~RsQ4~@KT!bUy;euFEZi%gG_+_Ad|+W-(=ziWhF{8 z^CHoMhz3uqV?18VOZ9g;yH&#vDb6_MqBT9{a>{j}3Tn~c)@EEW#_RF4Rb=9zt%p( znY-K3G;LxK?A2qU)$B{yV|rXKlv^-##iV&8=FF2i_S>_D+;TlupMfFjKV_8kIt z>$}fNJ6eyeEzQ6^w>1fVbC0!TJ{XuB!arbN3iBCh9+{vK>$7|BFiqhSrdF@9v*k zTU;22cX#$4Yn4GRhlEk?7aT_HI-imWJMn+UwOJsn-d|kCB2{jtguP=){4Xpy^pO$? z9(3{MaJkVN%dVm0a3>TucxY{77buUd=w;+6*W#Hyy}G|Z;Kf-1%UD5d7_UlAIU*co znY>H<%$qb0fUjw6R%#-RG7ysq;xm4Ymdg9E&U)cuH2|Bz($}Uh4IY6ODzA^I#5mwX z)mgVlg^qLr)Jjv+JU$TWbduB3q2+FQAvX{Q6Eb67-rVwCWJXSuobgB}n}QN?h{V=ha*yeNi&lAM1N zlyC>ue;ka{x#>)0uGclC9Zzy0VhQp?Pu>&BcT^h%GCBa2vjL&(hny~5m8a`yb@!hXvb3@WMqG)cByj2L-UX5t8%e9|LbHbD;@L?Hf9jx)?`5FGSNwzt>Eodst zIz57K>VZwO-op1AORhr@48Tnwkpf>5d9g z-^N?o48{C`CDPV8+Ik?;tWnrj+eknlOLx;^Fl$cS)*6BO^Z@l2kV&2koKA7xWVqI- z@f!;@WObf|44w<$jU2~fh(JJc&srv@8XGnS$aF%1g>H*Hp0`G*p_TiEr?JkxmEYLB zEYPu&+BKMPS=vfpjT=!LGUy7kZOPjyWX&+ahlZaSKd8c+R|MBuv<;Qob@-bq;3o~A zdJRyJcBu1qD1Q%>jUOloKxEzEWOzVw{?Kxq5XA_%#U2m}_+J$Osmr-p+Myuc4)Xld zK_GJeERLSPna0x(^&CeD4?xZzT#oy>_mnn(`7lkVbe-zTde3Y&g`FX#W_~3(hDsVY>j1qMB1&Ab2HHhh z%V$He+YMQiw)Jx(GZN2bjeVm8RUqLvN+7Y3eWQdDeZn_NkSTem1k}7YO3)FKc&CJz z#5YRFJ`{Z81Dzqh_f}ZojS?nxv)(9Se^BC$5^S#D!6O$T`i&B#k={9_6!^WfY1{X1 z0-4^>hsHML{qRN6H%<}p$$f(x6Z|jlCoM|>8j05yM!uiQQUFn-U&I&rUKvCIKn;=d zY5sfrDDd8;{b$i*L3#N1YJvdYyF~GLL!X374(@3j9xsQA+5X)d?~~2pi_(qLm6$I# z**?N0d)CDpR6eZ$mf9Hww=(H4%%nrJR#VqV%t|tOmpnP)UtxGo4FU`72JAx(8KU_G zVM_g;ur73%17Q`z*Wzhg5l0SJaYF0-HW?fkT{`?WRUiJJ zatY1<0hfGF@E}lme#oG z_Cp#f$7)K-H}1!Q^OD|e#m%1U<%OXJ?7O&uH(}DH0k)!uu1LGoV3NXsc^cr)5=N<& zfP2?=*BNx&nXt{0jZ7QQV~hwoUaQIChQp!3l3M51>10{qvW3SIKZ3>bV+6Ww!S|nF ziEM4P?d~sQ@`fex?^v=Q_l_kn#ecyPL)*>YSb_@ZL|8XEMp^4NvfQ8Wb)3v4;SM!f z1i{57E3fAcYe>zz186}ELK$sy0F?f@GewGv$~oGe&s+96V%RZ77A0;NJ)d23xi4mdn%_fy=Txk*B0WI zx99Cq`<^XMTw`M^L`h$E6>FL0&E*ltDFZ2>?0%0?o&4q#GaPvoiI1{{ zS%S8WyM;7~#3`$2`RMRoa5(}+q?dsP_Rv?JH=@+vTmfLE8zf;dB!taSIE}KDw<}xn z&YMV2irJ3wAbBcd%s)k zVoJb2>&=;5%9*WOr6kD29R>)OQLmN6D&<7PH)wCgs_Al+4R+BL>$S7aleUPNc`UIC z*!CJQPJ0@*&r6~&j&SZz_}j-f+WS(2Cnh)j@a~dvbRh^c-z=rtr21Cc_l_l2@5aQN znc*BFA?Y(ZVi2zmS&j>RXxqyd7DU#v5|2>|vzt&RplTtqu@>w=QeUVt8&I2d3BWIe zd~CQJixc3$D)6Db1~QqkaR({08P|>#9PY21V|rU=92_#t>I$aJDeSdMxEzvUz}FA8 z!oZg)P}Qk!JfKlZ;$4fI6;^p46<$@YVM{m;NOIvc#6K z2~OINn8in~H2i7KzMeo1bEB1NrtGSI%2%Qb_y$ot9zfC=kjLz{rT}nK9;|-KKttnW zQP}W$|B;amZglHrEgQfzPO5|v8azX#DsPxR@&t62GHAYPYwZcEOC>^Yl4*l8E7N?* zoJrl7;R+7ePKl90udR4no{mKl*E2>bGYuQuY7QTdHWs-a64`2-$|?CMFtRCZ079gy z)X+_9nURnkdt4B?Jzu%ik7a%E4$;q7lgn~Wif~|>M2Rs{(;TQLTiAp?C$Ct0OjGDH z9M!XN;g5I7C7a*)T!(PgYEr9&Uq#-G3F)>he>d-;anM{}ky$h6`Ii<6*Nu?Hjaog8 zQw+s~gT%)qedU`d3v0LeHg+rmh&nyU7Qf`a>?iGo+ci8^Ez`S?_EK;Tczub@G(k|P zh{}6u0Vs-@vu-8>1fyjS>+zU;2INL>x@5Iw^!@$zl$)xVs_4i?ItObLlB>-}Rd(X+^`HyUiqcRI^c83kp4Uo{)5m=fRwlu){;lZ2APvDb5vmT44+vtaNgtH-aMu=0Fd&f6l z@-o_Id7!E-GMlDUTXoG%@`X?L>w3`G>QO>;?9Oi4*1Ip61BaY_^Cf-Ya>e}*DeUSv znf+Nqc-1VQ(41zgqFQ8ui9N#;)#H*3JR z*}c0p`NNlRLlZS1^1b;Ius`_{<^{Dd(_g+M0G$4}FENj1d-Ekx4eCK=@4m$PmoEwV zZvjl>;!oeoxQb6~hF(#`wsAcr;@1`RWiFz<)JFwgm=&;oQQz$RH3LHf5_h-B zb8^)*c=^kJB=a60xbkWz2Se@*oQI>Dm@!f=Ju_J5&UP+gx`%!-^f1U3nWJf&m)tev`v+OHBJ6`c%6R%jqJmbSnk z1sIraKJt+=JKDLDsI^k(FFk!M9?xnZ(r;oz*d0U~)-sHU>Pz@Q}svv7H z+9qg(^+Ij9G2c)npM9s$jWF{ezNiuC4=eH;Hhx=?H`sue`$MB3DB!dINuy|K_}F=% z{NYA^AM}PT_GiBh2@p^e>iHLn-!Es_Z89WD6y;!0V0RV2rUdF?Jd;*>xGXz-9%Mm) zB^IN%FiLW2gN4n%*1Z2EN~AsCM9D!2xQ$Tkw6CpH($@mfu;z0Q(k+d9R=ma2;#J#J zDO?l{z?4MCNRV`CTJhLNYonVA1CHwiXRJwaSD5IWr{qM}N|Q9{D$2M$X;<1nDaSV+ zQH<`hZk$E^be^2sz>ChBXGr4LYJK-y97yHta*OB&hrJc~y{sJa7*!=4o^=8y4QW2t zCF@pZKAFKudS~mr zfUNgwRg8mB;%e0BS6PqMDA7;9T4F-cQ$eDL$lTlP%kP3y&XW!4Tl;JY)e;w1sUp6_ zhEC$lWYO=PZ4174bNpHSbU?@P{cu{QfhlL2`$VX5=Sstb_mj4SLHF1eu!P@F{gU(P zDzzk7@VzpnY@n-Dlbfvf_RHt@E*~T4T8L7F1>UL=gMIIE3pg_GRwohwa>5w~cB4m$ zAZ-n)F^qPoDY&hZO!Pz$IxcZ-SD`=)$`#Hs>rYT3JZIJQ1|@1Td9>ei5GelyC20NC z#)Fxk3FLL7KVyzXht5dCYc~rW);%q2yI7wE#gxn~GMp2zEHvr>1y+b1?#IG$sk&H; z_N_3Nt-Rbxs>r{N*d8Ch8I#gOwEC~=leX(9vX!*>dyN}mRLHif6&3}h#`(9%=?Pb8 z!!e-+D+S>$0~VM*LO^7Stp>4s|lc00Z9e}BW2t&O3Hx}C1Jjk%Tj+s`i;zU}5x z|3jO!y8fH(_3!05ni1zgy!|%I1tb8#kN>~Qdsis`u<$=)%X{trV4qiwoT_=!+b_JF zmT|J$-!JkPHA`B2F#e3kzF0b?gJ_Y@QXb(+tT^+^&Vv!HrBG-X73sH}+{JXes{Qkx zTXLG!rQ0xF48@lJ1Gl|bc+M1$}?A1iJZs#!NM3fv(?cXlFIwxyc4i0p+ zi?<7Pl%>4HD~0BY%pVN8*u3}--*5&fz&z*PxaxaOmw%D|CAfDNg&dPH5xgYkGmoO= z#Nit8?s~!CnvJ%SHS|b22?H`HFV*lZ$%jZwiJx3{7OQzb49mqVJ_{w`4OqsV6deso zs*5UmN4<=srtvHV3*hc_np{!V-R)$aD&$P-^)5sSsGDacW>Kbt+l<-k2y;MEoShV| zw1?~f*=QSvdYX)!e@^r+%V?}og2bklye01fsFR3$KI$w=ODp9EHQY26eJvc(?bJgG z>q~1BumB%M^(E;r_XOr8U9vz+l&I$qwb-^J8v5ZiV2{7 zV&EJ6N<~drO>|K}u+#`Vswj-3FzbnG%(ntnE_&V*iw*o~#h%R=61P#@Yxgcaj0wD` zm1lZ9yZa&%^>a^4fKTnb5BSOUG8ZNn;=BSDdhb$d`btx)AZF9FoR)rXlT(e$*bN)- zAlY4+c&Qz#2gxWZ)%T0oSimg5i=W!DuB03JZ6{mJWUnu1$E=jfy*4 zXnc^X)Ar*H)Xnh^}b8)L^Dck7*v@-{k*SsYk=F)I)*O_~U!(alz`=ZpUhV>3zMS zb>Gir_qO554kStb?Tgc~M#C8vvRX+tL#1aM#Lg(|kNyPa{*J;UgTt?-f(f-~^J^B= zm-^uAlVwYBCAJ?Gw6|EBGBAe&Odh))dWRFb-drpy;DeZ%s+!vIQ)1Jbi_JX!!^K+c z@QDwd6UMecc@b8oAk>!jMv<93#5C1w)wXwX&$|@M)f?6Zn+`wT1>ld1wq)I&&A6*S z)f=!XuhP`2-xohmI5af^@aRMZK_inFC%lCo$0a`Rp-0kt=)vwe^jD$B>y)w#5XS@yUpk5o>&Hw5 zi_QYY(}YRPhH)M$eY;AvBM@b+9&V9LDsH~DZ@P@8hn3emQZI@sM^qY3zAq-ROOJzZ z9R)(5RpD=v6Ft^@KDVYr^kcUMWxSzpWR;rVL(cHH!JbF5M`Ass)Z;4_X?u2e{_Z9} z%Y%l;VaLR88|ymGT+L2-(`Ww6#;)wX*;u3^(Yz_eqU#snYeot4(W{^CBn=sbcBdYl^G${EBs=+^bv#}y!zih0A zzC$O7{~|QHRa2QLYWjd@PkOHh3Ke@DC#+_{ z5Z_)#g;658X7`2#MHLX@#g8BGKR0E^9=j^fMIX78qAH#wYixrwjKTd_64>)G8kc24 z_f9cT`?XCp>NXm=@z*v{Z5j8uMHTLoBa+2#M)N*qEmgGHhKL9=tH zWnuCw4gnjYP8ko~m^D47BkijUl{7Qo;2FkhkBHWHT?>9VU}rRruQoT@vT!5}a= zf!fXiy3`*bwQ^`%xkel<^<~oRY3@$VMrkcb?9h24z?G7@ak*8m4Znlo zu0x)o819gg6v7TLcUc*%gy&>Xh$5XEC~wtr7`jKtB8XNIL(^e(UMytv3nQ|Q8T0>- zxVMa|Gfc8ZArRc%-5o-3cPBUmcX#*T1a}CoAwY0QZVfpqmy`R13>PC3Mnw0{qH z&MEcc8QJeU2uXUM#=@dMO#a6-miZs2u`mBPjotqkgx)ww>D| zpja9GW{yJqtsXo2ha?*NSCZ)UZ&OOocamt^-$s7kO-OeSyv2&SmHsovK<1mZ@BWv*A#qttRNdy!qehQhV~% ztW!F+W2{ni4!dH02^7-e#odjvPd8@A;BEOLC=HXz@hg+O_AqYgTB0)mclJ|$#I$|; zQu`V$L<=@(07W!9cavhP4hhEX^%x~6*eMYo2yRBkHZfb=MEMz;OTrj0@3@7@jxN_I zB(OLJKf(`Z)1X8pghySO@lIa@nZ=r0mH6UH$_~j70R!?#N{RIsl4$=wkVNr~84X1} z<{&5)j`+eg36Bizbsy3r41qjRR|8xGQ_B;iZZv$<&y2$wQnIZbVRCvOIm4G`pThb$ zuudN()?>UNd35Mm#U)*96KRg>A#>YMCe(^&2NEKH!dJC?!Fws`rIK?dPPo4Q4web=f-M`e!LO!^hmzamC_md{5 z)i9Yo%lH%yb z;`PvX;G+!WFQm{9dO>dgEh+RL;SVhJ9Nzz!+WwU}3Y^;Fw+MjzMne4kts`HwMH2SU zP*>{L>isCij75={bU#y?Z(;5`L6nY&ke%TBWt0e5SUi0C^3OF}VK9qU%@;gN{_G*; z?5mY>k6qA=c=B5PjG3R(7Sp8VJlQau>U@Zyx7^3B8T&KhAJql+O)|+q4^~FvcVE-f zyy=$Yz4r)0u9XPEjH&teO|s~g_g7Aa$sd*1BXX59ue8K5b^+<-wLua*`{p*t5aaG& zhjPyGeECaAMhMn3I-_#}wBioX*>Y9`r7!&`Q#TzUmJ`aaGhx!Mq|S?)1l!SpXat?~ zJ9H3A4ulT!TWJK&2TkhV0R#ti^z1t$C6#w%0=g({!qWvs{vFgOK7XEpWba4vzhfqj(C^t4`Mn?MCGd`u zpb!yzMCS;$s9Ww_c?!9*)wdkq)3!|QWY*XfJ$TC{()^s*N2~VyI7I9k&d;_RgJ)kCgps*XujuS++i}{~!?Y&ya`F|9wnB-_2YXC?a6&02CCk z0U{r|OuBzUoW zB?*wA&8OEes(Ed_w)ALj$YwosrppwHQ;W|e8~HW?k#Ne&DsNAzHRkmk=C`>mipta` z!G`^O@+8I-FPB>f2X3D|v*>^J?~C^@KQl1am1;C{yt!OFcNf!X>HMCXHb}|{SKYtg zwUKIT;a4AjQ1tyuxG?6RCWEXhqjdB)>}uDSV%xqzU#{io@1V`-iiLGL(~TWZ~1-8PzDDxSDx5K`TpHz^q|l-9My z1ea_@s2{F$7^*E|%L&39cwMIr&R$P4@LiwER|^OWAX^Wf5^{WZ<2tluP=FK;9)Ts} z5BZDrc{cpt#V5Ia?>e~B9$PDZ{F+$iIswk5>KULtU67o+h1XW}#lLBwj40|QK~%$~ z?D}a?>djH7IQJPtPr!pV5eu+`9|VJ}B4y)#a1#Dz1NA2;$WC?;489tZjf>(W>~AA6 z0T10o{3rNf^-DG`jFYgR4b=qv$EF+scC>@wKS3NP;Q$-633&LX96@&0gJ6i&iEP|I zL97XQuqJ&0cDRFJ&{d{vTnMM`Z#I8|n|?NK*|-D;!Q!ucyUQ<4Z=%N~2P$3*OoG9Z zEHbhBsc@f+QpAHZV)P4*7O=4>Bm}{!Ly0~j=M(v(iNyFrDIy8Nko*afeT>Z~ibE5L z^OsOWl7u0V6eOb#{SypB6N&YwQbZDk$(0a9qYfqcsE|+eCupXKBny)(DacA4O7U?r zpC}bgB;Nl|&_F^Ejyjb1BXd4cFj`NHKb9hrFw9?q;xJpW{%-k11XQ6P@1iyA-ctVM z5Au0mb%&Rbf9=ERMf~N3uF9qAX__Ns6!}Y3paWV$UJf4EuG^O}=mbZY-E?No50?T0 z1W*HDpzcIOh~PVZ-*}K>ApZm<2Ed@*iHH%&cKiZ)VqzdsE(L@L{sgm$5Ycx0{CU2_ zK*C%K2og{YfPHlTOE8#cA_fxYQb3r%Yyb@2J)0Pjb;s{d5aO~!fBt@|ZK){3n>hwts%L%zwf;Y!hoLk3IV@ zuYygsF=x2>PY{P~|NQDHamSngL@?zYeei0-UzDZQV2{!(nLu#O-?e5+dS5jpV8h|uMOPEr-QFSTK8;D zn3Y-JHYb$khF=YzUnXpLaAr9bc&f(7pt{(jU+lw9Pj>S7PJds$01Vromd-y@uB@!U z=(qG;ka^7_LPT!bc9G%qwDt+3z~?@mXe(wVmX5zIPS;P`v0O}Z*n|1br17|zbRW>b z;av6UV_l>0n?=1zBjDsI@kch<;OPbrRu?7&ciX4jH!q(M$cNq*${aPPgU24rS>Yh& zx%xaV)D{ksd0Ch+;+?Yia+d;nRMQMH7^^6=7R-Qian=?KKG(?w(Hk&NP1$+l-RIpZ zP1VKMM$v6b+dLlYXT9U-qcqIt^6)6iL$^2xg)5z!ucxiiB)X>TX-Cz|W0*?pS!!o& zy6x*)^)}VHtu*Yjbef!#ZJG6!=t~b(FJuT$<02ftxG^AZP&pz3Djq`FDXw40;4=A+ zy&66EORL-s*NnB3`rE_ssWq#ZUr(t{L)fayAppjPa<)ji_W`<@A()77KHh+vf6y#= zzuEs$w@LrsbF&*b=v(U=IyqSVz36zqy8jQlPJiD{AwJskcma-BKC6L%c>Hgq_8%3; ze@ggo<;MR3A6?bbbjnW(# z7)DIYWZbu49~jC{*B>(bl`tdvp?K~Ox2>G~%o*d&f_YND-R_!seP`Br1Q=7#EcBK# z1yN}n6@f%O;NN{A6aOGAYj0!!v>vG&xfhQ+!h-JAV9{pFiY$}60quWHKK9iVS|r~a zC8tO_VhS$z!mnlo<&JpX35gZAJkocqe8CJRL^Aw~i7y!RrG#`u?t-_S5{Hg=7;)<3 zpwMuhy|@1j{WP<&QXzPJnwDe|8&X7yGi zz*a$10fZ`h>YC~DpY25ae(JBSfJoP>K(0tWX6Lfqp$qB+@}X*@fo1-ZAIzZ zqH9g&t*`^@^e=C@R(ntF3TwGMX1E5+lyuS35mJG1VdTN<3`MShg*jGPGuwEMW|&!6_c5I_9F z%=&_%Ks$_ERCwrA^K=+O?e$t!$W{V5(^_$Yr)Fs=^oL z9V**GhVWpQ69~^P(ZuE5J{FTBe*$BJjI4dBM#Hm*s55i``)#PNyZ6OKCE$XNJdX!s zv}-0ysI1?@+X@zPzmV0RZ=#}`Zvz^Y_=uxm{4?S12Jc`8n^Is!Dp(=E9agV**o=6MMYuvPAcSa6jO)gy+=l+L_* zs^mJiRox;OFZO}R^LNa1hJP>}M5oqMkJUqvh6>!nv{Xi^qKz1iT9UE<)L%+c6&k*~ zhn)=9>T4rxojsTXpW5KYxJY*rQ+3rXglgjj(?!WL=K<*R&z!N7DI6}2Bah_nT8vK< zR3}gd9D+)LdB8dFiXOyuc>CjKZLoHE?i5MGh*IP$F}UZT?mH1Z)!jJN*zF&TP5oN3 z&O6A_+LAZ*lb&&kg;2U2lm}VU0^?5?2(0qlLL1e4C6=^TLlhx((3$sY7G!u-3br|R zmdH3jh}i8@eEU$(Peqkg&R09YkA~+!3Nt_tpw{>;L>`T4T&s0BsK4Uz=5D3RI4~=(VaSX%6j$M=e@vl zY=+jsK{8Ja~2UqYPQ*2%d%dQ6DsKGCB>~pLz zEOL2^0BD#-*v{YK`M}9JuChb4w!BW_apaHaOhzySCEGtcO?|s!t%b9Hx_TfwlU#S? zhiI~J()f6qH3nr(7(&GbW}#hU0m=+b!p{2vM&k_vt(ww20;Ps(47PG9yOxGvsb(EZ zZbhTQ)yMVs!S+hr+oZYnQ-YQ)`q!}!ay~TElNS~Xl)eqFSXW00An@bxF(!Hf2o2K* zPFC|F8kYXKtP2_wpE-5IG4+t82Jx}CU!|)C%%498Dk}cnNxn8a~O`r+u#RF9Ys-Ui;WEoa5=|Ji?&+Kd#bEskV3= z%dX%=(@n>mGjn3DMjpmKq1k70&btQ-z5hsFT;vs5?YS#BOFpAV#sGOyTx*hE@pDBG z7o&{<^6`{v);MgWdJl=zHYA+1fO%*kYmQkbB8D(%!Kk|wE)13Rm{RJ5%%*%o*Cci{ zR|&ESayXT>b=>dr&0+rX(!J4>Q5H^y*i@AvM+xUif>};?dqoZVF*MpNGOpYpc3!J5 z>4+@40AsAd?Oy!oda2BX-IiGbbwnTOKHX*CsX_+GrMP*Ap>KXrn2ax3+j^~dpJf8t z8nwt~xJm9u@5*Y`bJ*Yt5G#&nJY;>Ur!flY#I?)Oj0vF=LWumrpAtyg?1!}eZ#4Dl-)4Y>}jH{7G0c+BN|i- z+|_j@v(;mFL47=$+dc@Jag?muL2)8_NDS%|?b#t2ud&;Zd=u z4}UnhWov&1rIW9tTrz9z%w(Af+Tx_bH=k;^{o0=i1rC<{P#wBoe)WGU>8B(qH|_I5 zfjk?IGbJboj1@MZMCfl3^Xs%c92)cB@Uq+J)v{rgYf4%zWNj2+zNYm(Yh)}lQDk&pp z^_oJ;A2cddIPdV(s`-3(vwHmY$NuFn8{S8)|CzS*|3P;1?|Ozn{V!lm$_UiIdM{1? zuz!pkbq$`^w>EaVq`QCzxC9js6ibx5gAHWle2$y*yKr>d1g6+WeT zwSYgk*&y~9_T`8y|C$q*)Sm9-fD#1$ZfX!++u5Tet2#YGo3W&fdO9@Y?cqkvveFs` z4IMqE;6CItCPtaJm;qyMFSSivoK{85$S0OzuqjendZa6JEL8_hmP8Sh0!bM|K@NDT zLcO}+h=Kt4QNi|Q-Qy25a>;atl3EAXZ(nWc%w+Z=lyu3Se=Z!k$Xm2Cqp}V>z&B=! z6Z{zG)L^nt9B<0@j3ei~GZ&MSH@QN!I}a?NMS<7T;u*?jk4OqR`gX5p%C3Amh0V3;EJTrh`W7zDF;G%m|k_X1$+P zpN273D7@uUXGDwT;$*s$yA|P(Xtc7 zl1uwxnp{_er;|%Ij4utv6)YWrHx49?I7c}fn&lzxY=z7CSMxub^4_~+VVj+d3UP%L zTztw&*ja&?qZhMSyJgV#%aXl;zv%-TK=Jv}$s_@@Xqq9J;@r zJ&cM1N=QiKM8E7#x1pmlrt1Y3n1|b#^a;po>xJL?bmdVh8+J*b*;1gDKR>bdR5j9+ zcwO=EW&2HkTun)nI%(2FWlrNS*k&^WVomzYAFP@kva4~W!bEcT^XVIm#mhU*mhuW| zdr;UxqZt+`hVBHg7?Y}f2C!>gqFF|3`r&#+Cm(VtHl1Y_EWG)!K3H_2&VCc0Ms%1< zZw!>f_* zbPQF-eXj*%`F_8OzczL)ZXNlUk1fVIR4Ke1i8NIkJ>yB+$wvmqY4NnmQ`F$T^#8Do zILwW@=m2w>2V&89Iku%;k1P?%Qr7vpgv=?`L_|I*_vH< z35Yb0BSM_8t>mj~7Lqc%IvPh!#oH-3s>D=k+gT#7+QQ1-HMjAX(_szk64cS^b+3WU z%k$u+HeZ7*#rCHao>-@S?sG~9S1Y<%K5zzBaAc<^zqD>}YFmG!^?xAyfv!E+bYa@>kC~DybCuKzK#d9}<<4foIXxY-63f`W?Eo;A# zYIu+bhXskda@5K(GWQVC9gv^7HCcIEM_*#G^QU)h01+UTakG*{`1c6D`eB2A8XCnl`dSX0M+HpIv284+wRL)d)_?M0rcfIE13?53sDd$tih zS%46MD_EZ($ni{h688Mxn3S2Z3KtSWIC0dG1Jr{>*+0Ys^k&br(^SGa(tD93S>h(3 zWvM!B?DocO=BRATG?S&jZqVe&`yBNn=QV!iGX*i^&(Xo4WIT~AajpWeUw-2HLCLt| zj@M+wBR4QJPOz7UF_D=M-~pIPjme2^qsE-|WMx<(JM2*q>5IiXedap{qA?*DL&ZU{ z(G$M7?Kq>9>?xRtmU%xWSP?R*26aqY7I_MwJ^AEUseLyf1gil{_v^N{5?{d&Q0Mb{ z28-56v2FJFC&yi)+AJ?^HhakrNBj(;Gfz9bY4n=Kg(qy+wyKc=5>O!WiiGZffKB}^ z_xJdT(FWswX3RLTGpcMux1R5=Nb*X96NILSA0BsY1_beBhe;X5z<(Nf%KWnBDk={$k0#RL*eb)8oJeX_53gvIbXyq+6tA2T@!rNwW-@HLx z2Z5)v*8I`^1PkL zqTnT;$n%U+1XRTw>UI1`8T&u(cig@q$Cj6%qJNym3iN{NHR_9gwj)4E_)^c+;Ly$F2n?NhOPYky%y~9gpk2bE93my%WPqY!_$&2GihGk%WOU#FcbsE(`8DA+% z0~IRrIyR(G=89*r_wjJohQ-Bj?w>{MWfO4}Lsgd&QJMpnk8~`SARU|M)9mz->;g0==!u zVWF2|&*wS5sJ^(}Un6?TT3h1bf}BevKy@2Zl=2xT)~LtV2nZi=b9snJk>S-QZ8Zd` zXksoiWTQ=d-8fuAEoJhb4m(s71TASD+$bRNDou7EaG|2Yn2LrNWtWG)`ZI^;2*m+t z+*gV@XN{B<_>KEzE}p}=)T63hjXx-*@h!1T`aTAN*C~6%+GTIROy{gb@1(f$5MqGx zw*uNGR%5*yL^8w|01ICV2Ri*`sXwT4a5@q_%ni0GY(_ERM0@X9tblBRgr=ZOz~J4{?DqYQ+ybZZedO0+Zeq|*Cb&%lyjgzoVB zflnUPPd#(FdbNNTz*K%}dZ>+1%rsnz?$k_yM?q;tsGkSPhRu_60f|7Y6}pVk9b&2Vqx-;9;8O$N5N}Q z!3qdxyU{DKh9(r~y_OJ^h9hIJgrzQBACA>eDq3wV*@5~yy!JUT;grQ0Wme3}q&f`Q zVNZ%3#*tDtBy(W{ic2KZJRK-O_T^5<)O!LE$j$=8zSZI+cPDr>D6Ezai*9|)G3=K}{?JY&rX2AZpT>pATn!9rwR zo0Cq|f;E%nkKx-0#n<3hxOR+FPNV|Muv0p4PBFqg^+RIk*tijv5vC)SAU&Y9@ARs% z>N?7xO9}F=)hrQWKKg83|K#f6ibFKX1H#|GITlc&8H))OG>?&cXPie(#3`gOrEfQ3 zDPS5a@%QK6!LmJ z(pKU&QpYtyTo=lFa1%qGnaDV_e$dc4yotla2VXjVXnQ|iGPig*dqWs$r=E$KW2TqX za{^(czX(#5!0@{b%~bFEkT$`w?HaF$m8>m^G`Y9Y_dcqceOdHrCJ;!H#FQc!bm5i- z0khka7l50)cj|)>J*HwC@porAis|a=l5!zOSl6avEY-7$g2TO26GzSI&l?1n-?<6Q z`Hcv-Ej?LFAX(n!%Rc-0>!%ltq=Y!@D99YTg>ku^@?8>9*duC*I zpi;lU!+ot&j-&!xX7*e{)JY+Z;hY1(R9G_r(O(Ck8FsS7_=;~Y-ZJvG% z^yV^xnI@HEbDw$)I%-C-sE+~VLXF-wuG+IiHg6o#=i9C_|EO;I@Dqlu-z8Th4+E-c zpv!`}`<2ey4)uaD%}F?gU<$y^&&zL{0egB5Ul?vZdUmk)CEHJ*)FgyXrO^gjckU#I zGi-lyVRyY(!@DF{yJ(RKhSAL_8SA0m2}5^ruv{$)_3b-_(de+P&b(xG>w$R<=7W4w zB+t!T4p}xTfe53jJc{pQOsT!qP++Kt;|J;u@*5+fA9qDfFJVNaLftFOw2;RCnP^3UAPBMtIXzf$Kw>StB^zT;$@jjS=4-ucW* zeP1=(quysj?M2A%GZbJLb(II_N*{b^3N&gkW%|2(W6uzo3;493A4GzW3&B^Y0C~`| zC^~zCF#1=R9qN0YWCWEhzZ}-&))g7`d>TQKlf}>u%K6xk31DJKAFMj1yVRu! zJ82N{SPvS7VHhDWQ0`)_!nYb6_PBzTh$@h7KZjg*E>`F1bt>Roelf~PT-?rc8y~C!9Hl$Q6MAEj9H1W&!Az7e1 zIfwg-nK1H;a^KSwx60aRm%IqC-QojRTa3;be~rT}`;~OV*R*6^Ux9e*FxxMNS*{!A6aNb^ ze7S#|JpTsv_ZH`WYvIT4U(l_k$0uH#nUH^T$@PATzZ)^St*S~-JpBkD|ZJ_*O z1IFLv7hT!8U&Y`gQ_@@gOGPw|Zc#3a7bj+4xY9*`@wt z;V1sItK$-XDjZvEB9)Rj!fHWkc^d+#3qOo87azWh3c09{#NqNG4b!I@{?v}Z9U+WS zry#j1hcL(wl?;hf36c{y61H6ZCHxriB_+`1U^CNS98&*d#_hutxD~4d!Ri7$7|B|j z)=CN|XnF$_E=sPc!}0U8!M5uL#h6o6=H_%y{f3eM#)vGz-XHD75Jw|!=0DntST8}i z+DsWp8c?1fY3Pi+^$^kSg!N3y93V|at~Ug2fV;)$>b|_)Q6)wR-I;Y9LS4oVti_!z z9+!#b-T}cjTt>TS$_W?>yGd|P`vYDsCikdxl}UH2(W=hNmcGT|MSjBdlf(UmX(ibL ztF&(d+*y0i9N_QFzsl1$=3$Z7s-{2}0RVZSr1}){q zdPc4q+O<|Ul{Wig84NMEx+*PnPM@Fcw%k^5)`I30bx&87FuX`j_#KKbhe^|=I%2GA4x!3m)m4XUcyOR=f>y`{fMc8RzoJNMlZ|or;B={R`Rygha%_y?nEHEt@^7 z^QHhHHPJko(jg)mQLKKj75vdps7wN+0h$v8c%}0a-RXq_H8;Iq7i6|*kq0X@VxmCB z_wPW(_fQo`H#(VJSbWzbkYbMaO`gC|4;XyAkaAg3H{Gd=?8)7TkE(>J0Xftq*I0O7 zS(cwb5`g+8VG-StMrd4_3PR*0+o~VJM(XDxw;*9Ry{f6%yW#^*-xqn-elD$B?v)z+ z0_v9#DzGe=erXR5_tT0DK%||VzzVt2Gbm^d9)4+|5*ZYeP_MhQ&LLek_wwGc50GtU zs#}o!&^gCU_LMp23X6y?4_>&r@R9|_Ynr;ZCttctzB5J;x2tI6zakFVS${LAbajI% zU%GDxzdGZgAwVsUkBm7xk1^k`#GaYw6s;+d)u2>lUUh9^=9p)sr0wYIR%gr))qFMm z`Z#dr^*t{nU1QEyLK*LXL>eZ)7xuw za!*6Eu>E3$Z$+(eMyA5WF0m9(6QV> z2mxiskH;HJ8l#Q^G&`DOH&Z9M5&8EX+)VIG!Y;y1j(JKPMadF;P%?l_%e-Te%L#XD zj_^isCArPW9?mn&rQ2=9>`jNX1}y#ifiWVlAz~Xf`;8DSHK<#0gK>hUsHRBP)IA|{|m)I^+8}R2w{C zi2WOFR_tmD{%@NfcDvKUK0ogt1L*1l)VOe}!xK%t%XsvYnS;*r*OvRgHEt~mvO|6& zTZdhcAFJ1B48ptF3pE|VOS(8BWs&DFUatuBRJ7SKZZQVRt*_tPpkCsvn_J3{;vGh0 zb))>8kzMnQFCv_vt#kr*{VbBu!S2VtPdX?x&x{u=U=40nsifC3&Vtt#ZR-7Gs` zNh_zR-A(t_T9s;kEgze+W4Iryvc@fH4sBnL8J}^Vcf~DhJ`8YA+(0*^GA8_8wg7cD z@TC~7XZ3(i#b5nR@b??mS)W)jN|y&}tVIvjwnMB%utH3@^5`@NA99gN-T(>7EF4Kl zxbmk2(L)K9ZncE(%AdX3nV^eO$qm#X6rCr}MEHv-RnlWMe;h*tZ)f@lTE2wy03NuI@iA$> z5}LG-=}AxJKATaT`U>2$kTJ}?dTPcKCZ?vWtLm8zH8cFt zX}tk2W$3i%d}h5k2fWbyX4AS0isQ;D4)P29C3t|AiHKAMzhoM8I)C=C^-e#r!9w zzg5id-~Q){S<`XpFHTAqEAb$U)^`^s9g;jBN0MaCfVzNvSi&vGu;Ogy4qOlC;wN zf?S@9MyVGW$r;@P((rTFTQ4EcG6NjlI&oggCfwI6j=*iGxwtC;G_YVk{`8MVN~-10 z7WjWPQu-=YZu~bRB|M;!5>Tz&6rN@8zK}bL5WvwHA8>II`MA3TV#VT+xiSDOn6=)j zxR{(jaJV3|kpi8RCU$pc=iZ%^2H%~ODs4LfSe-?<{A1$D>bEp7`vWc&C2Dy9^xK!A z3~gp%rfQ^H!Zh^K?Iimscf71g$flzDYlPS9bVR71oIWC?2`;!DIdg31R4?;4+r7ppBePFbYmai*j^4c-N7ZlL11rV zyTmIbaA#U4l<0Ct&Fh~hvhBfwSuhPylNY0=UXsgJV#!0R@Nm&TiJcomNbJ|(N(H?$ zo-|k;Q47S&|0GWDy>O(V21cWv@WCMQ+iyi0!>z7MTL+Di;U6$&tNP!}et-$2)eNO+ zp0T0}2gz!KTH}f9DH_Z?3>MM1&Ubs*(Tm6f>O?eK=fBqQj^8E|`aWw!YR?rQ)BcPf ztWoVAHhppeika6Qy0-(x%qMLR72ERkkC}^CEg|ZB%O8U0@SV_0Bi2kTa^eBw^U-yfI51Xj%v6i94mM@rE`X3 z^`<5;utZAtl3V2p@rbXLW&}ND=XPqp=lUJ>i`NgF3vY6QF;CEJQ;@8e^KTlb)e2SJ z)DAZ#^OUfLHN5q1Ax$*%_OmaV`Ilh@PB-8S>HrR;k}*2tR6iM|&(N~#9_E^=4-HeK^jQ?WM#*|)=>HmNiO>++45860=H(|bBjTPxvmX`Yisj*ML9XQI8kItgIn$n1Aj zb_wxbi=>3mImqmOfQ%8)BW{0c9>&);SQ=Hbg@-QD__dAY^-Isb>JcOfUppB;Qn(A+`upn$ z9}>V7Z3Z$Iuic4{b*Nw1%ZAhIpurufy`eZl_nu&1YI$7=4QLVcP*8QzO5m#tN{@T{ zVkgC`E!{AMI_y$MkVAGIJR*MIP08p+l2>pzN$cJfcl+`=+LPWe(k`hEMR-oW5-48Y zOTY_}~Imaa7tO zx(qF~2TpFQIgonqm2rbfFKY-0f2iy17@6_{6rwt{1H;8#4j_PzO3gq=rP||N#NC;N zAL@>be9cAi*Eb{R#~a z#BevxBUj3M?%r>spiE|Ms*$OAS27+w-BD|gG#eWh$eG%6qtIC4qem(h@Y!;RcH=qbkTLtROx`29kiq7X;dIVB2G zdM~fkhWNklW_7zFOu0M83^9vyVoB`pWs*G{tgOWE;%B7!(hVuN-x7q_DHA{%AByeo zWzkI^tUNzK#z&0B$Hpe>JGHItfV74P-Ud@`2?0Pxli-G-_t+B;PX|O$g9P zu?eCaQZLQ~qkxBCmc_1xjpPN2+n z6uvf0oQW0g6z)8J=!#7Ixu)PZWm!b$8BV`AY#*KL#7+XE{|zg6Z3<1vx_hr6`D;oa2ZN)$6jlU=OKerW@j=3@z zuYBz!yZehG8Oy3|$mGzUY1-f^;un{Wgn9#Akyao+4a$xBuG@sdxDcL-IgzBzXa!C0 zPpyt~c60;go8#lZvU=DR%AG#v-|jr0%m$trEp>woZ*hQWgnO}_;4K_Egt`by}x+!#O8QfVPr4S zjL{aKM=U0UOv8h*{l*&n#RBO}L<~>0aABdTW%~z47fQ#=h^#&($mo9Xbx%OImz$LF zc&?qCm`xzAX*U4-=4B);16r8L5$Yx@6`g)N$x+TNJ#$k0r*+*3!ppTTWxJe3bQC|Z zwebGLH@DXA^^KIpfN)HL!|^O*0lyq!REy;%H|V&V*~OnZQ=CD+5Q!b;MI5t#yxM4{ zcmHajQQma;&XTfS{`JMj7-g!KtxYtzbh~VEj&oSG|1HA%Yjfm9>116w=QIxCt?3jQ znNrAUSSlgJ-EzcBun(6-lRG3;6OI+?PVA}oo9OBi z0cJIzPeVS)D(fl+Mqhz|^kme=L%o zr&TnBM{m?cj9GV(yANvhYp@A5+ z{*6`F0&i&sJk4FT6CAAVaPDrC#&4)aNmIYC9`&=;zag9wl0G;jek)dFFq{H57cRYU zyE5>#Wz+&SC!kxhUw1}7yE3p!_hbGHUSf?J*z0MyidWJ!DHp$)_!^qE<@~+Q$FRoD zGgD00$LraY_f{lXEH_1t;w}tqG}7>ut}#WiKCZftZ5se}r# z!#`sklYnsz|FYhg>!=z%lg1xbb2ZBrj#KlH7uW1*K$qSVJdxG5>&5Zr!mN9Z)O8gj z#C7Uin}$b?b54uk>+$pvNRr}o;oMRTAuU;O)T&1 ze54O{h<^nxWC5_d$cb;!&mVXy5BGMB%l7!{LqUjq{z|O#Q`3*{5v=HXRkm)Q)konA zRFUCU)gu~S;0*jeSz|06rh>UQJX7kX*>v$xQOWt)+5k4MM95Y5*`t{^5&kHONp!>A z;eE*EIlsP>fb}(=trmhE(mXal(3E$-QvG0m;XA&DE&t~ocJUX)HxOWRfjtClE__@V z=Y2p<+p3X6@_{Z%qDn@iaOPEMek6)+Gz6Hp7V-2kFGJMN7w*Y;Z0B*^d)f{gEz$^S zu7iG844hVLbx2=g=9@DJ^=Gupp1YdQ#)VPRl_F zOekISU=eVeb!K|Rc3|87AoMuuy?R(-;bmdQR74Z#@N^>DdU{woqNK3Mpjg=8Q`&hg zpe(Mn*dO|}`&E{5Y5Ap>@-B8mCKw-_p)ntuKf*C=cG{ss+q)wNhu>saFiB`aYdD+N z3n^yU&~CbldQ~W{BLg<$yu|62zIdI7-rN0XCEc>6`sFIZ+2FMHdFV;ls{(J$d4(iY zS4RZ`vn`iJ_r(V_`zD(TDtTz?&i&^yjM@Lg+gkwD*=$+EKyVH2EZ;vwUIXtuzJ=Gjy6HLiZ?}^%-HdV%pUD)r}zWGds z{y3JK$_vT`Cmw-HP^u%`q>ign*i%g`gx@Q&W_QL(4PRKzs~^|~>B{)TnbhRRt;)$8 zJh&o(@P(e+-mja`RZJ>U9EaEDx;EpXNciY;cP}@mUGPok#jWF5=J=@8;aX)+9fh=4 zJ7&Un;7%U=*@0^j_VA_CA5(;%9*c4O2eIicWtxL1&vkA;RX^D}$v7i8Iw_TaI^LhG z)EjJu0@pZQDf(XTvDFe@SLrr%h_N*#RpfpP91&5BQe5p%m}}W%)$UDu8QytpQdkQ6 z+KDuYR=TrQuAduPQaKHir}kRH8C-Iz{Y5tRYFI`V%MH&{#edrb>v?5O)5gc<9GRh%!DKoaHtY`w=^{ z`O^or@r929mn-E!(mbTxkPriFw7|Q_Cce^Ko~SVJ7Xsfu!bdkxKaxbENhjATf72+bz3ihj=?~k$)U_gd)tShIY)sG4G)87IQl%O=R zny^V6@vGZ?kh+cB&edSwQn~WYQ6YG4fDv=da_BuoO}36 z9t9j;>6IA4Ct&lVh_j3gELI9*!;2Q$)b%D&RHujv;ct|>3LTR%Yeu4$b$P6+vZUP9 z-U&BDwLBvdC4I1H+GMz?t|57Oy0%jBk#=Tp!9`zZ7hQo6i#gy+rlKN7c@@B?svqx# zc|cfptQnX?7$PI)mj&u_x9uFM_7Cz+ZNSvsb#dD1Bi`7Gt`@Un(tJEFhoqvYvM3P7B!W@Fhm{` zlzx?WN<(3}X2VqaeKHN@@eJGK%dKMRfFX7B$JmDK>tqdZ^jnpG4W?22YZyiOqp)0c zCCXpjuj4qj$>+67fVRokg?~GtDR^1OokRV0^`PuxQ$4=O`xTir#qS}R zDHtPaBoco`gD3WD$ZZn)KA8%&7?kY+2D*01Qu9I_p*8o7hkfD(; z*@I^&CA1|25fRnO{7^HRMs?ntX9R_oL22mg?wXN=>_r!BEm-R9m}_!l4Tp>vP$8J- z+l6rp4%@v>yle88<2H9%EvH1Blh^OMrS>Xm1xev6It{G)jEbs{q6TFGaCWnTaZ zU}sr5u^7zWsMP8c%vTPQ+?{9e@G2EtB`PpY)^8tg^l!{?+ucalJ-QV13{!#tsu41Y5FXgdL(#_?t)DiX#|>v%*E!dNZs=!N^Z<9NmpxCGig953^dh_`1!s zpNx-9VQTHN8m*w)OGRIocCXyi+~%G_L=ex1nyywR=BDg@y^_SbdPLD^moy$X+HH)$=%j@rvd))zQ@UE_+Jj_Sw2)5a| zUN)jj>5ApCxGy}PtSyJzZKq5eQl3Y9GRi2Z5F`Jjxb)vt zM`{BN@K8p%$Wxr9nXa>p_V_19d~=c^gcuP*{s64wr91-qW*hAZPL2fTBqInh;)fXU zpp3ea{{aATHA3T?r1r{D0TQYO3NZji83iFv0h4Be$@&v8FT!Zp5_C=PcclcmTlsNF zi!iQJfZWqx`VBh!1y$gY>i-XfWWAvBKT`QzDS_@*LJnym#&r^rdkRUv{TToZCHP1c zaHRyhTZu8GMIYCxL+;rN@c0uTmh}?P_ekY^r3A8D2|A<&71xPG?nx^B_GcjbB_0s2 z|CJK-ZY9c)7DZgAEV*Ysz~iq0@wX{PvxoFUkTDVd_B*iKCG;6nE$K@4zcc0;+wRg2 ziN-{VvOBT@o_w&5U`&c=|ITm;$nId&=y`;+A4Q*G){>rgu-!>%(;g#JH&nBnRiX;cUS(`bFkY^^#5@~{{6Z2oY%vInPYLN zOS6?_XVsB-e)>c_Nd$FI&L2$vJE4N|7gRJke^UAH6!J-P8YpQ{xdt9GjGaZvS~DZG zdV7id^2n&OUxb)tWF+MOraHujjq(owh;I>^v{ja;#QA4_f@%;jnk^b^{FvVPGvd+|bSIU0@$T(ByTq%FqtqvN}MU3w! zqKp)h#|tguLi-~i=S*F2r7XT%oiwCNAK$M-8QBZ)0L<|xAVJ!hI{QjlV7Jm)&!UhIUp@wzS~m+g(P zoNiCUuBh6Wqa)gq($1oax15%z(7!V>wvW!mF>DlN%b$hn&(LREwB(OHWd6pOw=H`H zd@VO7R>Ip^^!AeTbRBv$PWwM@WWBvGq8+;#0sj50742tY-Hrva3%PnysWunv8gU(2 z%I43>G;2`ciG++tBU$D7;ZPuoh>b+YSmotmP^eNNS}H+*S`LXd?;UK|(o^%UIei@! zGWX==Je-NRuXSz-$pB_lBci2AJZ4YWxg>ey5(So$9f(D_B74nS#y1)nc>bJ@FY0Al`%t}W6;Sgnre4 ze$|40RgZqv%y+ux5dxcJ{v8J20e$f3VZa42Og#tQ*|9q*HMP!E8^m5)q+UlPQY$1< zJ0wyYBvJoRNeDr>XPS9sW07#jhL76A(Y)Bs+W zS%+6yhXuYS{$Vq#P7|mMQ;U7R6;aUu_Z9(Rj`iP7ArUO5pc;h48fI(#8qm0k68PNReCMPBMfN^|x#yS_*z^Zhqomf?jNL^*|qAl%%@2ZsRHaZnc*vWaO% z?~Dwnn;Y@0KSD|#M^LZ+t(G9%?f}nJKB;w3mnVQHt9M2Rz*8ct@DC8IqL36qNQO7m z4Xk*Up*9Hj2jm0HB);(~a1n0_W^Lv_GP zT<9D5&jfRZhgKKhmAWy!0IJmo z@M8IySPk$3%=KSDIzF_>$Zp9=8^b-IT4Vq(`k#rxP#xlcx&8~t#D`W6-~|YN22`s6 z;Klqiu^8Y5nCnjv%|(7fB4SQa&$xAR5h@9Cy^mgwVwCs{bWE@+KZ6X_S27c@!7t?m ze+>?sg2~8V?KcJc@G;1I{7ME5GWdm`AekJJ1R2a*FNYvX90fGyFTuJtf{#L^o)M`< zPsGRyo_aY)k>c{N(ZMCW3^KPOWF>C{UuN->{}LQ7eUtt@=@bux%o2c0_&o5%7(bc( zlo&bRT`#9DQatW1I{2@^y0$ume@wbgjC|{=m*WvBK64%&{KU;5(D)hi-e&ZEEEI0P{w`UtpNIBn)mEa+P3mxt3hKD#XN3FCi^} z9M=VUI7t154 zTv$}jOo~@VWjm9~gSpi)BD#M7EDxJwq;yF$`^0#{E?U&i2;YlgJ4mCF)2bD{Rv2{o-&0L7_Euw6C?}|6u?68XTpOv z=0cfrBG0>&{<@w07eLOX^q1}Ipguvw@IWFg1R*B85F;*>Nhk6@0tJ`S;@jCteS-Ai zfjU?Sy#Nou9MewZe+05Gr3JRL{rUujXLIX9#up4OleXo>;KL$Ev~%%_1j@cajZ95 zo6T`n3f*e8w+!B9|$6&W}RMPcxNJ56^C{KI1lf0>8jiS(i)i$AN zC-aqXyN@7Bxm;-w#?Z3ZK&)cz^ok|k=Zr#ZeC9^?r4n3xz0ZAz zljg|l^Gl278;>k&C#&sk?S)z`uh$##Bj%m1<&%E3KkK+@z)Ksqi}PI8qpr_gK6kw1 zbG4gVlD zQ?;>sd)i#O5VK~NEALsj#d6!V5b-=_xKJ@ScK3O7d)usY`eETDl(f78|P!-d5TB!O-Gj8_r#-(qkX76?%Vpd7s;jciSz>>)*3+o}SZ%(j7cIpNp6qR~|Z4OlPlSRZAHi z7gpXHTi5RSuAMTf@bG2Ed05bPd{Q-EM50b4AR_O1i%;KOPqp8?LPN)8F-A|3h?P}Kd`ZcymEaN`h*|LA{ z>(!MAj~yD`C$$~#HR<0KO4Uk6XKrv_VrM^{!M>i?HZ;7S%$(F->TLSlvCF!jxcc(a zHo%Kepj9#MFy!39iK&I&{zfu?w)kja=vL3!wB&yAsq~mz8m-m0bR?rm$wIPYHqGpkL#M@p@+Gb6Q^+RO_A1sFFy6|Ux9bEZ-h zRISfN*V-|6Rz2;9kLB&ZCi{N!eLvjqe(N>YiFm=cMD-olD&Qr!YQu0lMSny-mQi^4 z-YI*reQ=5Ov$SgzUW+-S(WR}#%5c6{uf>F`oomf%r4)xIvir21OTBXWxyHt^g5IHI zD}MNOsJ+>{^nQIixVta@8Fma;vn^+x`@Ml~?ZKr*^@qRV+q|>ml14pl_8i7-_;%6~4W zJqb3aL6TB$9gT<|)^CgmUD~A5$R@ontWX6)%WzIdRw{V%_a#-{9+_2fpDdm~3M+YO zKj=CBS}`^QKA!yPj?WQ&MX494ghemZnw7IhS+;Bh^Mkt?{|JRc!{4 zepTr_|A@0VaWD3$H81h@ZSHgFajB}iU>(6qmq%sSYlxHS_fg@cM)YJH)b%b!TH6kFB{q|4{8)iIH!#8+T|wk2qt|@2}C&e-2@%p=UaohHkj*JYU(# zx--@uB-HA_ec{=Dd7pnjRqAze41<_$T%%1HOK}bIXg-N><2=+AWMa7A^jU;Z4GFcV zd_PDWD0e8lJeIPIxAee`=olNbXT*RPX60jF9c?LU@Z2HXT={}%e>pa=q`Re+suP9Fw<$J{Er`{8}59e#*p~r8l0aq*2{IvL) zqde~N7R?9npI$R7Z_97`tT|2XuOh=^*)OF$`uBs!1th=|=ey5Nh)za$K2#HCr>{$) zxf$#=#nyLtRt?&V@I>9uj}z;wi(e0)&H8xD!e2kLsJlP1VBWknwqF}tEQ9AfaO3Ch zi;Luuybp#u%q$@jfoDvQmu13%k@r%f~rt?|||zvr;}Y{|67a^Bw$>Fg>ik9Kio$m{sDdzYD7 z*ls#6e)j62u1USk z0-mT$WS4lRs+jh2^JdmrZtlDL=%~F(1*2_x>TyQ%S?aNOGhV~|h?}MX4=rP6V)FR# z$iDvRzJhQk!&CfZWtfNlP3hqM`a0vhyDc}}yyAZ}Q{`&aKW*Ac+0Gc{I(9X;+sM$c zoL4HpKL{j3;Z0wdJVj4iF|M-hYBN^g)Lgul+|QZ_w6^*pU*^7_GowdlX5U5!Yp_2_ zO1rhUUngMZ3$~V?rJDC{CBU7U0N?7iO7Nt#Y*tn~T+iQghWBn91V27JTV2GR8t{5} zQbvsLPgKwQx$(rFxskQA+u3jsrXI(po+8Q}Pj&`+BCzgO;+-jtC#Iu+ef156((CTq zT)cW1jrz%^&U7phXmND`{Sdgo`8h(01wzKI{lIl=BF9_qQZ(#%dKZWpj#u@AH?E*5Ffq@Y>XQcUdaHC4k6<6;pxt)avG zw%;4bGFLHAUI$sKTRdWsV>f3S8i&m{p+#nX6s|vSe5xW!^ zS28UXDpSmSV=+BMSiUAJhhoa^l&Ts~|9w9^d?g4D%W^t}PqiH#AxAlMczLGk;Gm-y zv3CxLd)+YI5iv(zu>HEigK7*%(9 zRPlb+H?N+DMp#Nt$*}jp$|5py67B#AJ42wGf`JQ6_?$b0U^atRx3HTy5$)xq5G+z% z^`bTtjan?RP2F{9IA2h+qJ3v~iWG2>=gQ_VF40uVx!G=TxIVK@onv=*Nlx>##+A+K zC)z}K=jOYZ#BYWFaFf%lcooO{<;}gjJN3P!vR(W(Ur@E8Jx{-I;sOOz())6z34@e! zyqkpbT(NzDi}_iYvfHzD15z^J4o~)SfP|%*o{K>?GJ;P7R1zn-`68WUnso3ch`11= zBf3ts$8I8r9-iLDbsSeZY$Y>XUEJ`5q7kE+cNcB@cE69N;L7jNk4chM>Sy1v1CHa! z9a1%ChydAiHMA%hv}gdn%SUL;4qPxiLDrixuYOJ3=fq_&yjK5Hb6Gs^e$8Un)C8%G zYOU9`f;~j16V#qY<3~`uXQ3-|lV9+nTgUhl!edTKG0})CHVAu5{jGEU=IWD2vpbJK z!2R(v>4QrB_a8NC?&}3R$W!F z5Vn>9k}mTM=x5>!ZP#}yv_OJqCoIfwB*~5V4h(jV+s2 z+o+WcW7oSj!aI>Xda4SsOuzwFe&`S+`Mr>59ek4Fgx+wOki-AsW0x;6M>WrOu;d7~ zpq-8w^<=ORUm~>Y(G^7n`2M8gSARr(A;^`Z3|; z%M4o$vL=F+)hC7`_9kQ#0i-j(y01;P(!;=KBdBLL51&cR0vIXe8BvKC2`?BpIv6;@ z7+6#pSjZVz?&yhn=n-S+;k4=DKGP$<&_NB+p(fEG8qgs!(IJA+iB8ZCf`l8-eHV`y z4~6dj7TOSsR1zAS9*PH3l=>hgG-hPRR2c)?d0C&34P$+M(<$|Br9JAShyzs zU$t%`Kd_Z5J7FXX<-$upY@-PN6+Vgt>uw)E{gsc*G1k6`U^qJgux9W!zDrSlD+Dx1 zHrO*ZUMmIA%@jKYQECt8$EY@P0}_Odd}m68to|z~Hlc(50v4M|(gQT)*wD@XI@^x| z7T$5z-)dOWi7Y~zD7FfM)U@x*gVeqRsqrM<`NQYe=z?yspgLTis7X_Ak)7h=Sp-_g znyi`mS|cX`W%pr@Hi^;``9VT7d#~9e+Y)FTUPG~=m< zXOFNI+!u|o^<9eI0mK?(D_F-i2A3SBG6rWSWNk6wM8?kQWX@-8v9aW}vrv1D&;;ispc0O40SNkLU=mxcFAWtRnXE5!*~ER6qZ7kze>nluZF159t< zwg0Nlc2Ql98cG0)quh#wb)$$VaniHm3JuYUc?#~G$6M7fS0Wn?xFd2;0mzBqmIY&L ziXU2aTdKBXNtno`g%2|@cAhiq&lLIK$eq<69@ju^-f!d^a5FmItkkl~8*nnj-i?py zDJ^7-uEosIPJ)JF@J@gy0lEhGtvLan#0Fe)xXK3LNdQ{{o`g56ll>21!0lu&u(c{` zL1fE`BQKJ*Dva!4R_fg99_$56yeQTQeE&AUleh{Hf(~sXG$FYHuY_mYfx}$IxdJ?i z;5NXMxMC^N7g^J^s~pW-*K~Wv|GyXI0bI5J?_}ZTLZj)#``@P+|4SC`UjhE}*3KVP zMo%^VUyAY0DDbCC6goAQ?89V6EM3^k3R;}SyurhrPW-_=9bzaFU84)h0;Q9D`{`+vzXF4*5j zHtWAuG*lk{oDhkt7s$V{KwqZyaLZBG{WxMPZC4$oIT-Lp4Vy*~Fp$hP@Uy^7`FN~i zAZa`EjLoBG8&nFut6PhC9DA6*YH+~WhL{yL$m)9d>c`o9? z2Qu3mkj(xLuzp?8LW>tR{B+&LQ1Ue5GbFb0qYXkBl*wK)naHe>XW z+l)_qthWhkKQrH+Pv%ul2e0--f#NKe@arQ(5w!ixt~O!)8BI-k$DG`a_6XL)6DlJ6 zCZPMQ@YsXWeA38oGH@_DM`C0WGslTdQ^peZXU8$9Ak)-2Ua6m@ozbjdm#_1n# zLof*#Hv;3Bm|sUsc`kCPtCJC4(bU|SYGQ~xVlFDFYSpjNoD|(ta{Go~p~q5%$?0tT;xsKA?@nfkvsB*ka?h1yy{tNxuifE zF(Ior1MV=~XVzpIBNFIljIN&N*IzsU+$8WhXVCi~Th8e-V2~Eh-#mbChrl?bSW2C?pv!of9pQVT!a?o~*PKet2IMR9K6eqdUC z_adKkvSgFSN*$D+)3A$JbrR9Q8Tu1*+NRn`E{-z&Q?s}jMO=ew^@TpTIx`_c*8~pk zfvr0AJQ;ut-NKceTocWc6LGVhB=L>0QcWM;~f=>6Wp$A@bK> z7uA7GAS}u2!xOPZeQsQY>D^Uq3W4fJ8|Tu6BGI~>0Kz^!slK@FF15~Udg^aUjwRWc zthnxg4Bbimui&3QF|@+-=qU=Cqm21h$FF=-TLmcIldmZwA(AwnP%l4M&%~|ytEq1_ z0skDLx5>l-oew@qhCa~2f$fsSiDQ_Nf|0sPh$g!Cguh7>^*KrmpA{KM=jki88=h!< z*et$HGr!xf^N5xnk`w6>x@GRYy_^Z(BX8EF&XeLQg0o-}bX^|$#R53bFkg)9+H70V z!mmK|%T?(*Av8>h@T7i!!xk2q2^hET-}Qp9DZ7NREwaL^_4kM~}nBBSew z1>#1!^U#MCF?Xq+{lpztoymkP*I(5|SE9EB9~Y^(?ZmNX;YX{W zahkw;+(yW)M5XvknDuUT<7dv0Ex{~;PIq@;c#IY$woq;0?7A?G-h1`AuCR!qN=egKe-X3Sl zBpfO}RewLHW}X_+aAJ`Vo|s5=&odPg`2FhrSV0TWRm?YBahxxB)f(x&MrU~tIT)D$ zp2?Stxou+P;n1{~vQIoi^i9K7mp|ZFfo^G??$fUdt(zaR)37*^P0xBivDzcqX*+@o zVf?fl7hxxQ6+PqAXQPwPh`o_t`hGR^%}w^R&rmBsYozQAM^kC7n?W<;X%*^Cl78bB z2!lzhlz(*6n2dYtyNIPbs+CnUq}1nQM10Xx6?=R&fSS;nA*$^aEkJBm(U=kBHlbI( zEGAU28+2Ylt8ku=`ANYM>BQ#hw6)shys!``3xOSwE-|sWouAdYAL{7CG+n%=+VQIF z8-Q~swX|6Wzq)6=ZEOFZ8OE{y!7zUM2g4ZgPYmPZiHh#u4C7QpHgC=ns^1J_wciZm z`2jg7$Ej9^`Tt-TtNnvvtne=k<4GO>BLKiK{>=zT@%+yW<8S}QFh0H$ZT@$LF~vU_ z#&N$H#_9luv6LvH**2r&FCC$y4{}_Ni~t=W2Arf1dgn=2yU2KeCQsa9TEFvEFev{=3$vDzg6$_{vr-U+?}u2>kd5&jM@P5D()7=au{h^yTBgQr!a;DE0M+K zE7>KCZ^|SVFI7-N;rMkcLX09Dq*2v=LgM(=5}g1wp&n)pU?Oe*rhiYq9pr_&<%9YW zkbE10iU3402u6_)vg{*%nHQuo;b&!F`YHjnAJCA1PTv3C36RFS)yW%3nGjDI*jpmh zDHZKE9521{@EtismCR@n%i#80oLTO;Lx?CQ(pRLU4JqlX0w7s5a`YP@ot&JT(c2h* zNe{JRW~c1$KdlbiD9Kb``EYj1AAB6l_auWNg?ky)=La({x-t)`e$A~E zyj0@Ob$^$}01olcT{VF4tM94+ApF+A6$1#r&1>=i!moPjFUoNP^DoNryvZ-h@iFZ$ z7IS^dukOpD0LrnAL@qR4=v906FDH0uuq2^JVX0rPoP|UqIpGZwzaq0N02#?~gZW)@ zlKmPIES3pCMHc-stY$2BKnj98N4qh%99Zc24ySli$O&w; z68V(n{+49~!eZxOW+lGnJHr3{rUD?O`QO=8;0f37-v93_eg4a);#Yuwzo|I4U1CFi zTk9n1-tT~#XdQ-I$2lR%0NK++PFY(q3Zw5)dUw%}CA0kW{!F##4HQ!q_OsW5W8T!% zwf?zGvDNet>gB=8VJ@f)Vwn*;y|yoQo8xV|8PzHMMrVJW{33f>r20_Jq0oNK44_;j znWWyszHlfiyW?n>B4 zY*cE2dEv?4(i<_Bi>@DyuNXwG2E^E@HTvbq4g*Pq&|5#C)wyEcjfl&#rg?TSW-$Le@AoPTTNyoxYGkGr{PV;zE`tiI~QD*{x#}p--Em z?M=<;Qyw~a1CrZm)df?4EP(tSLAy35exGH7{(SqB9V)!HfssaepyiAE-FDffQA=nK z%oO@_~sbAfp;wjL=5|fdd(Kw zC%Y$^k(PHij3wl8^OrMx0{l;qX*{tqnK{Bce!FqF7N#9^~5rd~t zDZ4|Ba5~W+rG)hZ+;6SVa^GX3i6(_jwj+k&$Dhmwb9=s~Ga}vD;IOat2vq&mRQY)y zsMQQ(2<>rN02kN3hm&~Ml{(;1I5^JEY!^}E>pfyP&dzt6uAHxuR*IFFY&C3X)qwpd zO+`9b+tQn476xnwR5%;4kwuj@;>mz9;Yqcnb!M&yb2ZHAez?$ixD?BtGY_RN<)1p& zeC~X`Gh}pV$ix?09iM`up-UZAD_?)l!h;yPEf-gsuv9S5P@?KvGFl(5L zmWiC4`3Op@IH%ysD?KE163;GveBBgXBmaloV>giA!GgN!ZtV3b{Iel-)zSb)1guK_{>H|$`Z93V&4rJw9*zY`?!;x7 z4khAYBa*aUN4+ElcM{}&s(CZLCXU%T^YZC6%k9hSk0u2>h0Zf$T`}-ySd)1x_UL2l zi|;g8Sh3ohQlonFR`r?a;N)x2P}4S@H1>I%;gywK5uN7feQ1l-v=4TmgB^vBt37hP z$UlwQY~Bn7=QBu~ALUH#q1dkjon}MCqpyvKALcT-n6*4FXIfb1C(O$e+5b&*$o!Y) zP%N0(U6cP!FlT-5t%0_6uAZ7kEgRQx9iHFnV{sEt4X4)D388C7gce%IJGe~n(gov~ zU0{cL21DWaNVbpA2-*`5vu^E;-!*s01k`XhYlG$@D(R?Ulc>xs~(zM zAC9fgw(bS||4ryKahCNmH*B2{RYT&XMbV0RcbHexfMNX5z$1iLQtD8D=Uo?cm$0NL zG5#SjR_HXHtgJKHcySq))n=pG8cNFzkjk84iDS}|Lh8XIqfv*XLT?00Qr!TsN)Pj) zMUXj}(v9+UBSLT9%WZcKt=&&u8Sb|yY6B5ahFw21t0fFcYy1+?XeF@~aJ_IlK=a8* zGRX6p5j*;IdQ2q@&B28@#K;wj`OheIWzBL}d*?=&b5WsRzP_al?~$- zIe~LVIKblT2Nm2EX(vp}u6XdYyX@AFjc-K=TOBu~;kpZQ;H+WuVRz@u0-Qa`K^CqD zsIC_h&B@qF)=)sL?c>sOPccIT1Q3KbY3yxbW;-9}Xv_pkq0r$;^rp-aMmgBwP4x^S4acNj#ekU)&UH#k~6LKjgvF5qwxHwo_`jhEVE-B^7^h zhtsIN*1xW!=A*wEiLzEE3m-IiMG}3uz+q2#yn`adZgbdUVf*ZvJmpu~k1{t~DOO-7 z(5@R|$rPjh827E8#lu`Jz><5jkG_}H;X~6E#bP-7kEaAEB_8%2!w}1k0UHRX{9O7# zW!HJY>f8ZS?m_aX{S|{wiHrMS4=8HK75I7Zk%g);Dt8U`GA_9rNz+pZusT1%POy?1 z3TumN96>_6#9lWS(A?%U-!4xkPQS8M?jkCXYAVnQPU6{2`3xMb=ida->ijLt$w#6> zXi)N)Sg$Kq>~=4 z^mSt~&WQ0+P+ELJir1e&T@rs17ts0LaB*lM+t12zA_6YCq@ADJ)M&QQlIxQu~8)|M0ffG3h1YrH@PNV zqdR!B`IF9txBz8ONhYRsW069*gLDUZ{iVsi{fQmJF{k8_c>bj7(z;-HfFYW= zFCcJgrhsfRHPu7@NMv}HYSB*=mbP-@YrpC1!6ugcX~ET9W+XK}U{219>41{j6+x}_ z__9W}l{LmU4e_{TPJvV~dhnjDXdb0(hd7dhqWnNloK#IAF27J0Z)^|RJTp!m??sRC zc$U1dK0pI-!?PB>MEOv$P`g)*=fPt=BpwpKP6w_&!%wU3koF{|CBUVS@2VbHWWlh~ zk=z2)WCh`HDjy#9-$g#heHMgrG(7+!pAv4$@3AlMyWPX@!2hvYPSg9(3E=hr=lQa~ zb3;1l>)Kh`Xqj0W8UqCAwV3{)_-VxVH%Z`sg=awH*a!Im2C0+@9qJ0 z8uTFBYPw+hKm1bvL6wvH(AhNV@aKu@id=^~KOVn2pYDvY9TeEcNpn-Cof-ai#4 zBM#GZ32sYGU8f#nMtNBntCT2`iF5u{5~Dn6G+tL+=8MxPhx|J%c=udj42Y$e2j4R} zoHU9xO014r1%wahh{~W~TJEJ4E}T%OU<}-NLpqrHjkV-&z7}-OQxc$ zEV8h^eN<{}wiJl+coIPsnaSgI^8L-?p>;c5Ra=Fd@1T1PY`WYv~Ps2fMXG zALA-XD-y9(f7Ni+9JnXi))Wn&_ zdS1AO2~{=YwqUzzhv9Pu@Gk1h{3j(&m>(#HFc?J6--dx~>PWi;Y`rEeQ}rHMHnuvZ z_f~@ir?`73^%$ar4?VP;VKxLD4OAVGL|_qA7;hRTrn%J9@&q($V}$u%1tz#M82dsM znaa#w$gJH0o!naq>RMFxcF={P)@B?hjtja(+seP?mY`VIbmK6?i<}1Fq&b>Y)jT8x zt?UThxX$1e+mizvi|w;@yAm>Kzt5ZQ{NUApiD&K?*m;oF(CDij z9sPO$8gY)eHI{hl@4D=33-O)a?MD1_dPWBB%U#-pX4xcQPfkn2mPzb%?uT%ENKwEI z^TM362yB)qv2Un+&uD1h$MkbZK-rU8v8q;0jD64Etsmo{U~L-WVcIkOg~OOz^^AkT z>q_Fe_SX6u7f$8Y( z+FpCLzjn8!JT@`b({m*hR6ZZU(%YcKs_0%ptmgH0oj7yq{DV{J?Wn0(-FT%@jeleU znhGk-{QX(29}ZP}<sFC}4q&P<-f{u9~OnZ@DvHZC$T%L`P>zSW}sJ=xV4UBn7+o~aJ1 zf`Z7b@03cE=9|1?int#w?5vs&P~_h*w%0zBhHv?$8+b&mkT{tsx0yNDC%mmVGzV8g z(8g!8H06>!@P`Bz(o(On5ic9Ho<&eXSwH!$tPIIhGdHd1-DM%tbKwaN>9b)*+G}Ga z6)HPW^n{ZqCOc^T%t}r!m(S>?&PY{PXfbw-#Z*$59odhdDBh>sph%VxyU5U`zl%@X zha8@_#vS!iQK?gBW(4-z3puJ+9MalJWFdb{)Ml5YCFpz7gPC7{1VQb4U@b8 zeuEEFLqa(QuHMolGY7lW8ty zz(L=|h14}Cv!ZRMn`^pkD2AcQo86MwP$i3JJ7)LWQ3Fgsg7klV6GTDNNr+aE7!3&2lnrsp>q`HXbqkj8r`~n%j1&Y|C@4`J93iS&g0d z%!a;8K>FdtaZ`?^Q*Bx&%fxVhURQL3dsK|BfGiQ;KDaNimN&-|nFYLA|ED*5ERlFX zqb8OJyDSLp5b8ImAT^w-KK*{iyC0QtrXN{^?1MfCIPeo>H`MkR8Ed_2Ahyl5m7&59?iSMD6I#jH z7NePL&mb+Ac|!}%pD%1^(!FZr1w-fc%ERYO;l<1 z%c0L~1t!P1evJ3{X=ImIKntkOy8cY^%#)d6&BBL^ey~T+=HIov+$e z&s2eYLN=td0z_5`rt?GwY;dcj%{!nIDx17(UBWxz`@Nz;a}I8VkeB6*2U1W@AX+NQ z7TSfMxMgMFP4L?XX^OU}sO+F-IyY_|UAj0jxAND6jAMZe66p=&k3Tu4WoJ;XNy5e# zlAIPW@^ZwY%!vt2IH9KU29IWTTWm8^Te*~jQ@pFvYdeC##!i(=6cgKsAD)ilPg-T& zcR-UX537H@A&xhSy*;LAH+9)%{`#}i4*aT}ALe6`67_>otb7}@_=I^)q=UCfSZW!8 zQH@XQv{?TmeQqdS`Sm(&m~s~PG-!$l&@tI`F2O_vp zY=bcLC%qD5^W_pAst$^6HN~?A4bVkIh!Ym~2TdD>UmUUgd~n z0x_=&60*y%u|Eqr;MzSZ^D^TV!ubS71dL?;f#f4(R1i9W_gAkkD?m_wAS7E5UkQ*1 z5Lx*^vVnphfRTbgzxKdI@lo^T5CbDY0t}caK5{-H4=+#wfd4Dc@+rF}0w@vHmXIRB zNAi!f(m>t=Ax_Z>0~>CxFv*cOySmvPE7tk+TJ-hvL@{N4>#F38*Oac zwr$(CjZHSTZQI7iHYT=hC%^1{KdASqr+$CE@6?&@)7{tU^O>Hisnb1ueXr0+(X!B_ z_k3gi@e<7(@k8Q;7-{=G;)SnCBfA9(>mxT?1#oRK;=2WLm4gmj1+&-#f7A7W0(*s# zD1%wHQlmLK^9-dr`V=l1iUmR`9MVJ!G!j@>i6+SSV9r7fpOL;y1@Epsddbox-Px2v zBTZWOafLE>Rk(45Hqm4*6Y_;nN(dA3bvsF%`UH#eM2LE)X&y<8`h>ICM9}&Ko1lNv zaAH_)iKgDT-#EmWlH!8Vao%}*xuT^9ayQfYN}^==M_j>SNSPmUrWM;_xIA{C}l~=a3c8`!4#YX~-k< z9<0#lHDdMwwxT{a5otd@&qIRyx+TV%pQ{Frc8*w~S1YXTGYq2g;zw4HC)DisNmFX` zE*D1AYYBD~7#G!W$@mzLZJ|{>id^yqZU&62uWpK#dED_z+*ym}l35s(Yo0lVm*`cq z67e0b2tEwhK6ajB-u?|}!u#Lzkg>*suMpz@{ZjkCzn0);WUc?Ty73?X3wC;=nSo>C zm^r&a0|A2_eJx@9ll&z@{=$F+`YTp8uZ6!iMhgT~>ka~B_WzLRA9=9#sf-W2l&zxJ}ncQ%1=ky!OZlQF~)ho|xD{U^PMk^=9ewCA3_f z6ciCP;@RLvo3gl7DLf8@-lKWV_2s9>?E$sxrTS6F``f|!ApD!z?PO%%)GDse2U;}Y zi71yNL9%z#2JFU%w9kNS7;f0*o93J1o8ImVlY;bCcmr_Qkey-)vh z+Q;s&KB6eKgqeg&wNbEXe$BVN`nS=;?e_O%Ay9>fEl1v{z2I~1=``W_Tg3wt`xheL z`-}D0U!R1$hUHw|D=f3O3T+SduU^kGUXR?-xAVps8kfl%o-^@}J~v%ef}!zY8%9+7 zulyxsiI zrTAX5^+bEZ@f|jE;sH0hD|x+~PNf=;OJDf2953G$^kx5oJDDULS0Ss-ID_WNKweQb zVuQlC8%4;HE@w&i^F%6!iQEeMgS@CXR?W)*_D&RR22^B@3*o)<1-eku#O=lXg5?ln+n{iA+psCsR&S@(O8Vy@l>q;9@xgL0kA zo=;DG0z1Ap!Y|FoJ>0X8Q+VwgCAK{CBvK~v_vxuOhy~IkzC5IKd9SoK=c)xuY@7Pm z9s*ia&hQtlrf>1$heMwhHMb!rRK8e%b!=$;=VgfM`gL!j0^=;&fw<8WqM!3E9eVRD zzE|=?Ppp$i?pu&kcUo=3s`hV^S1#kNw7Fmw=L^_?)1>V)N`l_4LBW2Q=%a zh&mW1+#U?dCk^uB?IOdTQCDdF5N4eJGmjxbFUtw0%i&BZ8q?Yoz8Ji1hUb|QvN0k| z0px}Ft@Ey~SSAehe*7`++M84vvU1=BM4pj1;G%L+)`bid(U{j$Qp%glZ05a(>+$nz z&bX3}gLNPQszoZNX*aPrc|&ekNqNe&CV)|bKC$GL3P;xX3kvhMmA&QA4XzKuBUh5J zmO!#>s1^zkj5obaU^cNEm5aoX&v8K$ADZv59XX&9!MShw?h+TLN0Oy+D_}SI5U3g3 zpqe%d-#jbCu3xp3yR+3Y5lFWl$056`;kcpl=;vyXN+Lum?oH!Udz(w3A{qEb72B*} zPA^Be;{qZkZ0}^(^yEQ~N^G45>lER*#=QwAG#O|8fE=ZXxN5om){OCqr{yABKR3in zxF@M`mR;>qm*)zPXNB_i$Y<{vICr?E6Ps8MZ7PRTkK5huL3kt-g$W|Ak_<5vMYvfK z2cm20Ctl|4_=tm!k?j1T?9_D7VUmcu*!pXUN%%?IH|X|gzAp{FCjq{TrFY7+F_S~C zLLZHI>ghVIhz5Ycf;;hpxy`=)FI{M3?<07NYe)XWa83`wDVw2NXbP-^kv9h~9(lPb z$E*SUrJ3|hS<)Vac-qf`Iq=NL!}xSgj?8=3djs$4`XnvODN7G%A>YHOlRfX&SBX#L z?wq9eQr;owk6)7}OLq@94)m>x+6Ny;Bz~yjj^Dq9GIXj>m#+>4Y`neQ3RRzjo1yf} zJWy12e-x-PdORAkWY5EDm+!i-V71zhV$8J?!opHPS}4BxPF7VlG`pk2RhpHM%nkAu z-K7QDzX(-l5+Ua2{8A!n;(<1T_h+$fp0Cr4MnqKhcmn+C%kv#Uy>8|^$IXmpU(ecldY9W#1N^lAFF)(8k zxqfn`8hX+hAY*E$(YA7C*d_gMzEES)Y_JB`N)oef`%6ahgISNRym^N*E6dJQh2kF0 zII_GTEuJ8l*8M(YKio069544DWo^ZS`wh2|4f2wHV5X|s?#`la*Du*Iv^a{K;(rq6jsZ$T2|aAO z-PWtISd2x1j((8rm>TF3EB52fdHayWHo+{Xu{toIaCV*~UFr8Lp~^Al5#_(7VCihKO-F6M5wxZ4(IabV7n@b|vjVfb0rp_;bnK^4;U|k93VE zyiLHGr=6C~Yb@s8z@K-ga*UaT%q&(m*juZPln7dy16b@vrp2kHzFEr(P7 zB$qiY(?FSX2vN*>Zx_Cp4GWm2A*V{(HGvvMm;-bG98>r{hX_1MJi1MmK3_; zXWD`S(If^;<4S~@tA&gC%=6x>6MbiA?i@5q^=(^B658e@DleLAyyc6xgQGoXwcvE> zZwe9X+jcaC_`&Y&#e#a6uQ#9Nta{AAR>dbS_$Ad*np0ftfacS!Z9OMP`UY8(t&MHN zLqijXu*O=FbhnPw@w1yqb+`7qbTyjpSHQ~W87id)Z9%;eIcPd`i$)^B*9wK}fcehx zW%&nwhuox4t&)W-=yQL?OvPN$j zFI1N$=gD|CRCh`)2l>Pc@J_b6g>0eH?U5sAT6#*hYKA-;R~uCa&puJ0oJZh$r1mYL z1TsZP7=efagj^ocDl|+_LJ3SMk09m;3O$)TqFZQ~-&ch~9)Z{o6#p;V93kN=qB2vm z5>pD`uVROgFdi`l$d@Ho=m|Phf&kx_S#k+vfsil|F+~8W{J$S2kKpS6yj%_nSX>EA z=b!uh?I%m<9tK}f`?IK!dG zbiCgb&LZX>+wAo^ZwSenDgUA7$n3dEXWrq{C8YXonkjHdYX6={-a%G%pi*s1JHlP$ zSutT9Ixi5???y9@c-gR{8&rJ}%TF{Zq#e^143BArB!orjj0*PByW3?(GTj_?rY8x< ziiE}CD$zuKT!WG#!=^J4Y1@giA_Fp5OHtU`&qn*J979=J0^3trL~yHDGA8OTNTXW7 z0@P|0y8?7ZT*L&_>h$+aSk#Wax<}tp#~g^|F!7t-f1YeP_+)aw_{!@h!HcItq9b2T zI#a+=mjjEL&M|NxZyFK+h1||3-gANsys#cuPwf}KU{b3mi0g62iYAv{R&oZe7jI0f zz+`ub``J6Y9<7=_Ad%3DnBExNrA;osM;7357FTcI58v(_^i#o;t@1_ZQKd82i7IV0 zgGH143=kg3V@W=JvB;(wQVpLxgmL-Z2{E~rMQvLk)KjX(Gv)>Elf6^F)5`*>5Z7U_ z_eXXQE<8YW?E!Nj7RX5vH4Ja#J3_2GiJz(ukujP&GCYJwW~)8m1;KJ1NmspP`!b7Y zktJim%j30^&6KefJzHD)rHAvnlssws;7_50KkGW)>aAr@)L3|V-2VNEHsb1g(}^=D ztm5)&At&quZPn1qLGxphYr&2@i2~)@Yb1Q zOBSVS)Y)$Zw10b&iX6rN+tV~Fhxf$qlLd+mzq|ZV+n!j8iR{wG-+x^QmpDO&HTz548a#3sk z%T?(AFIUn#_`h8F;s0_`(f`X8*Y_`%#Z$n4a_%J);6w}{KTxvW6Xt!;K%lPIQMC(JON4SPFp-{j&<6br96vyD(Wvx4*Ae`O# zzZX7BRDK*(Y@1YWbhiD_6UDI5#~?K6J2xQxp;!%^LUV`H{LE1OF}!M5jE3dTxfxX$ zWFFB0`^7=(sPl?E}fR{H2TXt z;S)j za==%Fe@uf2t(Z`zw5(czuYjeO!_xJdz?$~3!KCV2t=h|$ebYxgDRru zNRK5egQXKNg$)&eMoFFI%M%6%R%j>zLNB5yGt*B;pr*+A`BjHtzFVocW7C0RhGbRs z)pt6ga5xmjq&&CROkRL_#H5K(xCLm$G`f64Ne;mrx^zQ{9!7pW`b^#m5TTO@GBw3t zIwb0U=^Fl_`=^I54x#XWI1s7-;Sl=jFHFi5?<)f$*HH3ane=%c|nY=%Xl&R88KtjGGlG7j}HHF`k(;zA}#q{sv2nvlD2QZ6(Nw&YAU8tc1 zk1q@r1+PzO0GV1VTYfYDLxR5@OP88t=-wTD=+CJjR}MVY&5qIzv(gBLlm?PmVfBq$IcziEmFPnq?$ENf5%;qj=w`YOVP$s-jCt{?ia0+NT%JPr`E^kOkTXa6zj+DxiBKHXe^X_0Y8Dk>@? zHPU#)b*ecb-P~`}BI}G)7F0%Sq;aCBaU#fZqN{PD!Bp{@6!ELn))ZOW?zypJFMH8$ z-PzT#v4K4q{&T9qj`=J5%s`$H+B=iB5TWqJ>}XRb55~^)sA?hHdHhrMm-Q$>bGoAk zed9sXWd*-Gz&5_lcu>jiKXV!ewk{%O* zM%KoM#aTSfa-Ot2B#nKtX|YiE>g!8TbUWMOu3$GcM( zq9Uv?1xbF#fK$Bl+nT!0t)>ToxFCsgF#E|u^|-WA!h4?a0vGAZ1L;h$ z=5n;7bfDcO>WU7(G=Fg;lJ61bSitmJ3 zs@~5a<)^`zpdMYeedb!@v3?a+FY0PeVysQVv>P?=&=_F`n#o_kt5#aw5ZYz<7*%eK&d|l9aE*Dw*|D#pdkDY66?+Hni*I*K4~x$|#nRj&cNI@v zr26eg`>534cfaT?pSJfdGE5NaKBYSym(o@{%iOoCW5|7s$(kM6-P^BSN}-VZztcd( z{1D5zfrXRpH4Pw7vNhn)8Lx82xTtAhGwNHLPi%)rY5#TgCHWxv(Xz_pdJ{*Tbq^ymN+76QEUEa$+6c387?%I&oQTASv{P}wAz*KJI8WfyVIbSoRp1f`YOM;h?e;} z9o}`;CD-`k%+-C=@wE*of%K*Tr{9ogBEOKf^9c4T>d6z#xD?^jkvQ52@?P zoTt|uzeFl>cMBlK2KL>wrqc2d`cQY>Q=@QLMoa}%;nXrbGscREDBw4fkRXOWp1Rpu z{d9|IuKkq2ZdTABH*};Y3&x`r)5Y>v!%T~5zWtQQE)M_yJT@kAlUJgMawWPaZuuh@ zEDG;wzJ7Eq{>+HG-(Yf+SUeLE37Hq;-RR773sVqWzzPbT=+69PNwk^QWgp|&hP zHm!)dmcJTepG0E*&qMABm4wU1{KqsCzk;3iXdhj*gyGD`*$xMv&F4B9QGO;_a=>ceLi(>I9 z5!YQJUP*0wrEW8fA&VdFn;lCOJreF`fQdNL*LVnX0*;eTIaQuP_Jv; zk$W%vFi@9w9wOo(J^3K_v#gqg+!;)D{a(=bP*8Q4XmvaI2oAV-eyj-vDWfbl1*o%C zJ1qgNVNGPiqC_G2-@-rD;Ph~ay^N+0F976k2rB7-rkGVa9k?4E(){MQvx0Gueu~yd zByLA5=~fdxtVt1~-=~R2aO3%RK?ewAq|8weL=9DeLkYUxEhmK?Gsi02p!DU1c86)ndc}eJx-dV z;L3+Kd}67aNGjsZi?U1^b`o20zy3od6K<1QqW|e{rRu77>SVhbj6Ja(OX%!l=3y=B zIn3ik-Dk@4LtCEUq9lS_Q#t6F5bamMGPA!uFlgy8VO!h6;ShMXk=2hb-6)l|a z?anbn^A%B}xqHEbZ15k1A_QccmyIJm=jt5&+drZnn2lb6&VhM_dlK@izs=R!4$_Ai zfsLSNGCS6KMMH#tBR^beX@VwwmS`z(8WE2!-orJiuzjm=$hC9I zdS@qoxH(A(s?p3085?!3k8^cim@|HHJlz;3(%z)lWtqG*dQ>UW)tVgtWuG|WTFLQT zbs5hn{8pt(WO{$n;?-zcQr%*T$Y58Feo|ic8{=}r3K}y48`coCK)-JW-mhfwawjgG ziLw>R&2R@axt>;+4E-Gv>L`COU$nu@_|4~1U}TL1HEv&z z9@Ia$nI3g0y;;r#KK{mez8)3OwctYv{S%rfngUkW4nx!=_@yDwGe0LRNBM+B!b1qC-(zV_v02)57FP}uPIM(Iv))zc-X+yAO2lyZkyQ7M;>|3;Y&SDvNB zL#x7F>J?Doq3|rFeqV4yUVkFK;8-jplQF4JtnOTJl3ITvwt!^15Lzcc5vdPlL{Oex6Oqhv2+?^CBwvE3KjVgVI_@jnOr6ZM%8lp?4+mW z(jf3njF3-CW^MaJuWxsUo&r=!cT>+>s=en%-PK!bEK-g2tV#1&4My7TLVMg4sptX+MF)wh! zei!#SDz8N^Tk~Lk^V#-3s>$X@+t&gjqKeZlK+tBDW|}jqB}?!5{5x- ze!8&l=&K)o1xZBahY6*wY2qvc$^&w;I;n!iMiOz#bcmwT$J z5GZ~ZK4dUgtiEL2aFY(v+d@1@_}W}1@6sd>(tM-HPO+~OZ@W}pBgkU^EYjhj)iqFs zlWdz+-cS53+pk-q%*S>oX=)Ru+3UlkW&b)Ep!ulAZtqU1uG(Q(8jb2Uv~wkgjv8zm zSDS|F_u-ASkB(tn6X~xs#r;iz8wp+PzN6nvnK~QB$sHrfpGJ0sVS4{~nfa(LS>apS z*r`KKYX=QP+L$HrG{@|zwUS1x5q9ILhr1mZRl9F+@b4id=~*nCnHzcYYnzVlrnKh= zY-c@aVAd@o-Te<$lyxU>)ri`>N!5gyIz3 zy;b|CR&8Y!0&(iMWOfzpK$7`?NWS8I8$WCFz4<37lEQkV*_2WJF}Iu+U0&Zv3kEwL zXI2j8pZ9KqZs`#w5d{)#K0ee}lfc@%M9YkoEPGO4feHf%LiS;LIUJW_i05u-kI5+lrkcgE?k%VdW!H?x0w4JD4=>Nngp1l)7LI(3ye0$QeIC443d%f0#|g=lTTWC0 z43tTQ@Z(-<^iZAaU6xI3rULD8P##ljmPU86Oo&36U2GwQ+H-Sl$qUTokk?YMJ2ScSBPc@rEU;GzLsZHj&RhY3(P!W4-*&E^i> zyIQ_T|!sq70pe>SG=hD8c#~@T+;VxAbYY)i19}B z!3vf>bKJ&}36{#5Xdwv2)P)-l%{j~yVHKPsV+>+C4;xeAVw!!b&peGcV zOrcNAJo%@DswBx5X)NyUJ>BoC`-)B$?X@}G%VOuYNsJksH7@qTa4NQlxmjXbY+m_O zyToi#1G{5lq7ivdw#dQ0l%%Gi3z#9~9KYXp!Rn1>PosPDl;T-8u8`(_PUP#qD70|i z6Rh(mz~8c+!TRFRIfBEESQO*JhC4i+sKFhIsewVu_OFDhJ^5z_qF5E9Nn#wdH0VbK zcQ&XZpB^aHeC^qY_7JD=N2(Jtq%jLB#%t-LGHVK!F6)K8MJF?JM@H4vvxPTH<|-0W zeb6-o9&@L5+?SkY*ib;VeTtz8FAQ(qqC#g}uYLHwfqwUmc0jbVC1pJ5Wmo7qoz#3y z70=f(R|g?#gI?<-Tor^qS;*p32pf@d4zZR18?k&Av6kVVj8i2CJI*OEDn$7>1X~5M znDtk&DU%OQu^3q+m*Mtm?P4#K4UQ;z_DcZtyNToxLf^@WnV~88%4aCOtCgNnS`?*R zuNt|a2*!`-pQ>+R;}a7Pj$JVh%)z4s_QFdD&p(5w+%DN6(YtZ4nTKS#*8qpG z5YaZD_OZnu)^C2k9+=V_V&UOt$kM22zmA_oe{josbvsDG>S|X7bcMqWBXDcQg7^e0PcN6<^%boQZFa=SwuHo9kXgyk+Fw zmBDL?#sA=lBsyoT{3?5bRu(vwzk6OB7Y3vJur$4*-tUARdm;A5v)wj zPb!GC9@5f5FX@_f|3Z~j`^j{n-6i>Gvm@vV|LBV&4PIaCvSag%JbT;0nb~D1!I6~C zH>o^++dvYv;yIiBA(&Nb-<_OkV#|p+FA~eepO^Q;S7_P|50ly$1=obo2-a`I0xV+F zo*yXhmJil0Q@1yQ51a+DC&{O{7N@u;r?^#huK2L5-CCb=nxAsIpLX|z=vsL?X?Pay zh9B>p@6udq-tl`=besKql^Am}k>eG2l}tO!6{UwQd!L``3aU49X42r(-2kpg z>XwSx!)R@l<1vS1<*CNw%2^oCTZ?HT6M;yb57W>^jSVo}1_yp}D(%x+d{Y8Vh&FVy zob`dWfH}XxBu4xVWGOm_8@w)MSZ85}p~#7GiTISja0|KUR8vw6g3psrL6YNFFG?<9 z^F5SIR$P~;3nWPg`n^f?>#6A*E!4RQP2kBYtpVPeJltq_lZ(aI;OfggCK4&SQpPpN z(r?`>aA;_O``)qrL88_5HCQYo2W3?oj2*H$8`XMi3v(;d_uSlglJ_CthgTn4+-uKw z+dDe-ph)~ZMGQw(f=^`@#4Jp@NJQ6bT8t^3OHLj>tM*$jRgx?iv_H@XhTLWUM8$3WY%6M3Z++$iTWhuAIDts}69}f)-?Ku6chz`8#ZufQo zN>?^b=v(rVi|9_XxC_kV{ryDf zm2=ujpLga_`B3Mj=`-Ehx5es0q%PU|{FdrXOBa8C-+XjottnN+)^zCoDowGA1B2F6 z)VTImwTrgbk?e3YT0B?B*fr&A6chSPI$Hs=Tu6Mb#6n| z^CRaOtE?Rg_M)ljiYpu(NQBRk zkKv`a*Um{=)eSY?AS%bXl-``<^b{qE8v(E_KN+G(uN4&zjFCXI&_Gx`5 zxd>LHD?-|yU+zzSzk4%Z*4fm?ll3ZudnTxhmWPGS7%y4bzi+N?J{+g8(t7ZuR~&F`55le( z)l_qU(Z-pmmp%23`}};lJN*RuZ}*3juSn$o?fd`)r2Bv0*}&S|RF~D!*umV`@xQ$w z0AE4K|9`z80=fz`oW%uw)1ZKW%(;O6UwA?MPq4pbeHuFl3uUG6K%j(?|Em{7q2{_v z{xD+KqoPwwT4xP$F7G8yDmRQ+-QbHR(Q5qOo*i8Skpb9Bk#TeuHJW=thd>!Hz7e~) zwQw$E6prj=w-v8w6-DFLp)x@lIzN@gPnJIt&)fR zmazEvWdqv`pn6c*mL{&-QMb;VK~r6vO8AGc9|%I4z4HKILHSa4X~~Kybtvbap;X+H2xASdIfQWDOEZcx8bka9_E zsE;cXeUC^%#M4+?|38;uZez|Uv)4{JzKMGacM`e8CGoGUi54XhqmkZywq(Q0V)Bc>S|!Q>yI|>nvsFG$MBCP%UpWCiGXBy1 zW>WY!{rN)K?)X-_xu(T*wDNm^&NtLx)b~Vx&mBV*BDy zr^W!mt=s79hS~a2=ZbxdIcl)dO(hEPN4b7*Im#YeQZCxPg|f8C$(7XUt=2<|L(*!E z)O5Kw9or5kRv3>gfo$N7Udp1w-Ms1UvLnktD}&?n$v`zU4*#KG!mnXXOi;&;qeqM6 zuyG%ym^1OKMBpG^%;f#G5cnXQrDdyn>DwC}GfCb}NpHM#kSaLFPKla{O#$3Nn2-Be zDh`~V>q{Cc7@Z1fI+aS4hZ+l--(||7!yi}CXf7JsRDfikSP+AISDG@5+H0^K73$;8 z;gTrv46W;|m~rl0OdVd|U!4VQK|#x8*e4m*ZrEeKzJ@_-G%JdLwVjd2iTCK4akI5G z9{zf);8qu(Pt&1acbJvBen0ogRHKwmDvq3itXS_nRKmiDSUs@reZ{tospHBjvY^Ap zGS!eCM*{Fpu!l9-xy*r`nv$J={gJ3LDI4X{U`7rt?~DHS((3P{Kh4Ezrt`C55>_L~ zKkviw=WyiA!fg;9E{evat9xXEtFeOJ*o14{`%O;#w71bTI(IYCoc zUm@NSC5SYaFVf&zSmDelxpM5D$s$kUh|9%ycOxC)xOA!Kc8t=6MwO6^y>%E6K!Dyv z;9waH^gI#8y`6C4HhD{aL8_>WO$_NFd1yiN!F1Mnv0*r_@qm!C>uh#Q_>At$?+r6& z&E^T2Q&f|R;c%V6cLGr|lQcKZ-EwwsyUgW!%Zb5gB(+T5Mv5bZ!To@Qa0h%$>2>4H z)HQPq%n4LGUA^?EN)g9774i1Dafr_H+JTnOihkK7V;&z@QAXwvM$nYOrT)(RW7smBP@-MNf&#JPryj*@vuO`E?Egt(%Z+#^*x|0 zL4}S|GFvi$NOC}k&b97(O2Rey4{y;5QUm=*UH0#9z>0Fb#3UvFzCfHenf4{Kw}@Vn zb#p0<5FMqhf{7~s;Z~618ncMV43}v6A^-Rbx*GFbYp0NXEq}#_R&o~V1nUc6Om^es zbVG5W<-Okk6{12dQT@|`d#qHH%m->vbard_0p~m!{ZH0Tf6(IfLxMR0{ZCL92dLLz zHM_3_&>#ok?RS9=dVd`5%$_}X9A>T+Z!`ZBpa}S^3@tZ}zvD)O{<>TcY3V>3>UBt3 ziQ zthmSgOtdHnnT>`LdnJ>1hyCA%vwpascTlT6ky8NxUbYRo=Ufabt8Vwvp>pP@OJnq>8f@=@n`qd9!K9bm}@ISXv?_JeceL&vwlaG zCrAb{+Ohe8LVv+0RL>Q-VpYc@r?j_z{B-!0_(&iwpMM(bx>b+rcIIMdt8%s~4;e@5 zeU$-0Pgg$Aa3+Itoh$iGv~f-L(86k1wde3t%8+F%c@#yU z-@g73v}>>@@EAQqDH;SZAmFo$H%qG2ElDjYIf{G4@KerD{U8A4$HWmualH63vv|Pn z-bubn7h86@1Se-$-7kuuN^YEbs|u`yYk6Ic@n29443D=O^~wYQ89U#6nWLIkSk)pp zj(gug1>k)jaF{8-+s8LbxmG?(IG{TV<@)$TD_U1i^YE)k+8lHW4`Ux}!}_fF-JOpex1DuF}+|)wmEWNxOwRTrL3KcQ=+}*tJ40HU)30(OC85V>QoC zyDa*xVy~5Zm~zR};fY$kk}03;W~q~7cA(5>a>Sm}$vF&E%ou7L8!wtNxH`vcNQJy% zDOCeSZvYB(;^$d@h%wYc%jfqaCZuUv$v$G~&H6JT(K;7~4)_C7EJxb-F|ouuLBD-r zU5?CYieBQ)3oPf3I=>G1CCsA0n!AzCEz_%U|CX}Tfnu>@_ngA4Ohw5yx)zfMV6faz zU5~nknq-)hH0cC>l;K;U;j@m_(c4yiAKX7#J|v?PeAgp`1`FC_&Q>7<%$e0y>^PGd zGdDg4epVL|TE)EMG80}lO8n|AbpDnrO}jkNXZxKqRz^{1H_*7NT4_O5>(i7nC<8%r z!!%5lVS?lxbh=!V3(IRkoXptHRfnhf^=cHOAMoJ@pY6k$9PLh8sS)Mnlw$5aB7l|` z*8lb({;Q7`!ZAxUKm-JI%L)qQ^8fy!{;wnP|9GDMFMndw|6LR9Jk!!~Ww_~g_f)mr z^X*2I=2C`NJimC!&nw7`u?&vFUNslMpDjW=8dHj|4J`plU~M_j?=*T$%$%vPC7UXb zvnOLGqNkU5#46p9=S-hR<-cJX-;m_k)L(BoiILZc3HP+ z4`ioqQyDc^s&2PhqDb47>qluECN++JWcw_J*aKp50GtolTsb>8rW#O^((co9~ayo2G}GqI1bLtrs*|>z^5) zmhYvVc0(Vmv^v;t@Y<&I**>mk`_-nTAJ1b3cR#)8(qyKle6ruCx(VdRuu=qH%WT!G zWxdQaY$H_^+VAVsCbJ(X*3LWXSKl|LaUN_nFV8Xi7}_A$7b;&)=tfik_nnEywiHEa z3f~JGr){g;zTClFi&9M%(;sm)ZkJ~>WsA#cS<49vLp`JYccYRfJHM0!*Ss;F;Xj|9 zcs$qKAKx#Oi%kzwRZrd*V;aVrD^KAcuEwMl%9k!vIrkl4m(Gfk*K9N$D&vAp9tSTT zJYB{-X(~RP1@O)OWZ7#=?icx5t7UE{3muDC0-nuQmfmF>M=xQly00NFVaKdUg*Gsj zetDepJ~0fRt+^bYuWAHs!bz<@t%)O#KUn3OlqXJqJwYq4v+}mT7r&Rz;dIF&S6#l= z>WslM+zA3jW@@Angp$p_H`VJZM&7%ym#NA`r4Z7+FtAvUb-F zk+*VUT@CHiDvkt{$F(NJ2(d*Lbsmcp~G z`(BZn4c^Y4%i#U1Ewo9s6aCJYrd(EkVzgi;++ryGsM}6o$}?!9tJ73Ms)HF?DG6+n z|NbQy^9gA+YZn(_sl)@hm3Cig{9)exNy&~ieCjK4#4UBW;d_SA;cg2v9=NtYuy352 zxhbH~jJ^8C)c{j{`ceu1E70uS&bWE?WCd6A-RM@^Vx`NL6ydg_#+S3B;oV14z7ya{ zIH;tabdqJwKtjGvY}`>0klfKQkyQc_;F@U$PNKz+T9p$bZ?+8jSt3~Tr6_DImt!W# zY>M#Ztq(&^lffvAKzW9XgW>cc5oL3x;9hxR{4J1SA7}}A-+Dzd-F_#p;$3Br(LLuZ7EJwy?s8wMt+M1 zvO8bXlCGgzQ6$zIGt7DY!YQYyRY6GOKw{<<$s&Vtfw#UF+Q8-q>V(?=$KG2;)zNJ2 zq9M4uySoJq8rj ztUI;>d8|M740-zTj7YpD?B2S*>3Aqj7py)GzYBF;-w|X)Mlfhzp|vBi-s4Q_N(9C^ zE`QRbJ;sN<6igUuM)^s@y1S0a#-Zf9M#HDEp;(lG6p!s}do~5>Hx4`Ta6uzvVi;~e zG5z*%6Cu*|w_+-2Jt)5NwByiaA+b0L?ZN&$0}~pSY9Kio63`G$PYJimDyV6oI$AQ> zI}s)P9`0pNkd9Rpsv}TF@#WVYxloA&h-hm!=ByIMJx&mm6)T56e&X%f>Q=7YINuG_MJca zG4)1LgZ(PR*WUAc+9k_M0u64&U~NXC=r9HQjM6aZZEgA;xPbwWln=Ti$XamJJC6;l zXepbX_O`YvIDsQJMOk}|a%vbK>I*}S_UaI&5!z~}Bi^72u`JhFyb%>8bxGtzRja2& zfIO_L$+TWh;KVBr+oEm4gP#{`QXFB5>CJXc=LWOEE+j*SmMB^iW5jC?<0v01#peFJ zYq4?PtcYP<%#NW?gJ5hbEM~F>8NMkN+Mx+SFc4-9Eio+0NIx}=I_42g7r2>u$p=vu z+;NM-P5ky$WWz`D5xE1*aGt*`#7#ic|C?18BIe+E;42SoQmK|9bQLUZ$qWiY(B z12lI+&tE#Igb_xN-@Wx=$%Ea5Hcn|kFIZZJ<@Lq8T4!VYeUgkCCVS& zQA%f^aQ{CbP|rXJqsZ^@{=gCMLVkzl6E%JYk_8lhy%P#rgU0P_QoV}77<|R_3R%1Z zIp`tegHP0HDhbemUFhy@+6BwX&-j3KTv)+Apcg=scQk^1D32uIm!R-aViO7g(E6WR z$-i_0wqbmtNdG#(6zqcm^!6dY)Aor1@Pq^IVSgma-ulNGudo0-fIgsGxR8Qgm<^%EI^Bz!xEHk2H7o-97RAE-u1Oxz@_G6 zHMn0QyB;vQ$bP4!_5u(q!97n=cf?=7-vYY8xM)!AL1^iJRIa3*!NRP38rQ_f?!Be{ zj*p;bVFtB^g*AdWK-MUv#m7YhzXN4Tz(o_b4rR*DMI$iN=0=(tK3q*%E?JU8gGP${o1{38J#^QeRiYJ`z-mW z(yzG`CV=+r#!f{PUM#YGm}H=H$S^?M96|5ExNs(c)cgq!)XZCHO!{4Itw{KnFgF(s zI0U+^g6|n%JC?H&eqMdoozM6k9nF8iE z8$0cJQJ1R#3DAM{4GdtnOfGNj&)Kg93m1(@qY!Q<;sDT*yp8|T`nPfjE*f&cC{PV! zt0)ejzyvS>fJwP%Cja23nFNH0Tr{x`pm(r90UQCv=3goymNH~J5gnB(O3@rZx;W6M z_F^U-ki&>Up&d97>G%jX(0#`Nuc=kZ9-!C1Nv(d3LdjqLtM3p?B&YIs5D<1XpGqRa zHXhJN5QB=?*}(1L;dh}hqn9$s((zRxoIr4q_^LhjAh;BKRk8z!tvyUWu7b5jp;zPn z6>OQhs5bBCE;Am1#fkzjxVZ{Y>_Mz@bMuo)R3}@sZf;#8X+Qb6&puOWU^axRjV)!M zDN*;S_b8*m0ouow{z|RR0m7Y#7tj#ASi+4$fnV^$EdYwL2X-!}0i=}wXs?zDL=s;W z7{`JB_^LDwLXe|~bbw3z0iZz8IsuTdTm?}8NJOrJuYi!uR#6Bz>imn1P?&H3Y6Li{ z9(|lKY#R#b1P+t@>+S*uz!%bWC`_OL0~E#KtD-Dryakld{UrzD_gW1UuPOoiK(+rG z6ea{$fuaKljuhy!BM6T1PtRZNj{&W4|3dROD4?gnIl>)?bQnt{*pQ2K!23`G9nO$6 zM4@y67ZkvR3#~PFj=6qWlAo&*)+2=E!YNYbCgK?tS@VSXjO=$wdL}?|65R9hh5#k$ zFE@O_S3Ucq^5P^w;lr7D*w2Lqnl{08K$|oh+nf3dU<_jRBNlBm3aPPh6>KyJsUdI` zWHkzLWXXSs)bDiy(E+H&0sq7Bq7Pt>CxG~o2Z&MTL?r`(s#g*Pr13{sY{;iOx=|l3 zSqy=|N6j78{E9U(hY^cVUHq-Z7$`FV?Z9D>KM*A;i2|T=z8@-#NdXVSHgqOYxiW~V z;4CeN5W=rRKxqJ9gt{7q;sM~a4MOpN8_$A7pMdR^jZMD6$W5+A{GHI$OV)Da!SC!-vywO ztl>{JKtnOWA|_zN5kv=saRMm7{@AAj!U!zo`-G)Fs4e=uJrvMt_rQlEfp&rInG@Jrx7~zjU1<(+26wwlJNzg772SCGa03_hCXaFSOzYstO&`<<8 z`Ued;02;nF`X>#6PW*$0>;V7B*P%Fo0vu=)hd%{y1`1FD(C}3b#P9FoRV82_s190# z;s64w{He1)D*qcA zV)r8+0K-QQ7?_(4LV5rVfeRV`9~%C00`UN-{uK>_fvW$GhJ?e22mhcUaE$;C|EA&I zKWRAiZ)unY00(H82DlNRp?nA80l>KYbto5LPyrVn9>8P3)u;&&Qg1-H04_%V2Mwv$ z{xtfZu7*G-{z1chpc07FYXS5YxEd06A|3!V%mraoT1!O_fo~yVirR$~fOIW`-lNr-~{C3?g5BIY$xJDeT zMkF^Cm3|bsvnXCY^x#SJVSeAb+-AgqDF1l0tND`G(xxk4=j&@+BY<-ngU!ds_b$&e zBf`x#pnABr{!}?J01N}DE%ilb>Ff56@C2fHd6!qR=0W!jzd?0 z)Z-o(uDp34bKK*t(-%fPY9D^~ptJ;Yi6637=J7#hv(+};r)Io*nbqDQzB>b!UIJ^b zEJtp-Llw`JkC8>bczGV=-Z!|u~$Ut7D| z@vr`i!@=diTg7(mA%msL>WcZ|<_C@L`^)0~>X*cS+Snrx_WX6e`+oV+)K$>Yx7T{@(c^@vKHTWs%CI?%GO_VTL;7Uuka^pbNu6HpdG6|6 zmG?_KF7}Xtf8V9ig>NLk3(?#9vg$K(w~ITDI|dl96$Px($#G_{o#f&dL$4YF$RE66 zmAoE1xlqLB>L!MQi3Nj)Xln2A8J6$UVwK3BZRC5+f;B6nZ_O=RCoF4u`1LcigEWe& zHFH!$d}Ec;nw8cEBq0wSIa0iN2g}BO&f?ZON@%s#m(A7X@xC~4YR7xj^&O-3OEJ3y=GPLkIqLxRI2@?9A{fJMKKhzVyno;lyUM9QFN3#buEhMP_&_KWDY3 z7tTA>if3p0FXy|GJ#%x_=x=PqOLuPf$(_=qht17z(RYVZi3Q}$vNL*2mX&?tN;U?2 zh!ooA!wjk&b_lx4EoR~=nKb9Jf2?rGxLJPlad*n>_ddrR{8?MZ*7TyL#_e?UeF$xt zG=Id?5z=x=GwtEG!>K|=&+?4kjm>e%qTY@7u_fB+gqQ=e{&StUEh4Y;;an#D9Fmi* zwfVNAt+hsWL$YhqjG@|z%y+SH9}^wntR1b$g|yFZy1YCRY1!%nZsA4v$7nePF=HU8b@*%hak+E>Y{sS=9_=?yZ!YP&!vJEq>qpowX>cbX!$o zid)HniQO@?Tc#0Kym7r3le48^BM4h#?QG-3&fQ_WI}DykU-#%t*(S)q#nHg#;QKbN zrB|opieZ_P9LpbM-uLOx7&^3Q&Mvv#xIbU)Y zAr;xjT0Jf$EI(LHG0TTlrSO53+ejnTixoGF?5x}NY#ghoCf-mbr3}JeNtEhroP8lz z4Dv>4riKHC5278w(m*d@#T9$+z>3eZq#1Q84T~c#ypT0}i^wg%7jR>DL6nej_;nAi zfU7I@1AYbrE7k-Z*|-ZqmXHaZo%sVs9jAj5Lt`H-Rd!pUOS=5UAWDpG=>~ce;57p^ z;$4Qe!PQHYJ3{^5sv}@j7}dU2FOlr9e0o@Y?7GY-ClWU{51YaJjEgW_W|Iu=)Nmeg zyL`KU)NHWx*MpVy>8oL*3vPV5RR~P??#INhKbdisJyaT$6cbTmh8yNw6DLi;6fPgu zvU;7bmggVoKtc#@^nIsL#EbB5N50#Q$GhX?`ED8p{10B=t)2u;eZ}#z)ML9q-2F5LED`Pb)c#LdHo9CbFG$YLhAAg?|Dd%&slx99vI>i=< zAEb&HU_~DKrh}_7Qz$>b6oWzsq~)9UtsSk zo5;^MMkv?S^YEQJ{%1dVS_l-e<#TG?il2jd*g-iI=tU7PCdUX!QEt?O^J z6R)^9K3G;99-r4T1_};R9!%lQnT<9y$!9)31%Ds-0?IqU10h3eHFvrQT8M!9j~uk~ zrA<8<-7&>J4$?BC3h~Pq-%dJ5Y|U*_vshi1+cmeY#VaJ?1(r*CyGbHzo~0Nc7P0BJ zzUd*VSxH^a;UcJOCYfY~x~b(NJgJSDjGx9!=|S|U{kk*GzYX-ueCpYh-|@mD+uGy3^Kr0o?qk<;wP9%fvp@6r=F!07W;b z@~G|ecb9wW>W=Bo`RbY?=;GeD@dm^cGR4oqZwZMAUZmR_I!b*9l{w*co4v&?Tr4uF zMn9eV@Oow9m~V!a;Uigh=Nx4j&N`lZ?=C-(8!&g>;3}`^D4Jd0f8)x{ewXw;JuW4k zFEAb9GJ@0Fyv zh`c4gaG*$+jk=X0wi_>#|DxU`mtJzqu(qnXxr+BPb65YfJ*~hu_U~Pe=VQ?)ooMvM z2w$s5o!1twt^v<-7Juyntz*ya&fQIM7i_PbF0NF;>*33o5w*)!Hufa+tYL*;{2{mZz@BKVLekk>M7h7a5JK$oji5au92$~)F^h*X6 zvltr8l=?!TLY_-t+4JPc9dCxG=zvILlSpGxpHR()aq?4n2$!IqOV-cvTO9L);j-g5 z8GQbAmxoOPcdiI(*T2Te3oQpfwT^0<5bEl^98r(&c9#^Iw#mp~`zFOdvEyiKNGQ+J z+qop_x>OD|Ej)V#OEMa21XilyEv?*Ho%=1bF%Zu)^SqHwKW=U5EL7k2s(((cZc(c8 z7mb0zz&+wZQ1OB#smt^^-*LnXu|ZH)NySCV)dzYv#nfW4Z$bD!1n_3l&f>)^ zes!x{gyh)%ybF5FwHGSJZpg!`ITR!A=OeesQ{HK-vMZj_GhLmc_NA}=M0?v$VJk$c zVQRb2beT{#ur#5!!)PP>$t|%;(p&7SVf;HmuEoa^<(*x#)E{a;AD0*|>0J!w>(vg* zs_X{n41H`9?zJd+mJoScKKATBN`m$0 zBVi1}`;))G)u?*r*U>}KUMt&3@D09TwcWJwG!euij`cLEmdOCOl(WdQGx=z_JrZ)K z2t`>}jGuQ~_uFKUcgajD<;2d<@4_%pC~9OG4UH$FGY3%(yq!ukYr+3Nd2= zA+xC^?s>e9KB`b&{}h^$Ol-^B$EoPTS?qAjBXap9bTS4Uxj}>+n-X%zGUN+{d_7+q zjLHJFck6hS{l5+Sk2o3dActVzU^NCd58;?ZYbu*9ReYjh=Y_M>3`Ce8ZfeRfA3{LE zQ)eCBGxHEH&MHU6vjFgn1oqTW4Ma=PAxL$)?@Qmz}ze8}`%d z7PV_6L?4@xEI)wEE&5y)1A%Qef~8qYzmsk!ahaiAw+7wVyMe7`xv2))0P5xdGn}#t zTAqmL$a2V4o778=)FUGVqB`W_TCgHsBtE&R{mp3o6HBeb&kKHEc35;Q?AisYaf^gp zf`P*Z)?_Dg-adAw=aB%TyxtVuqAcByu`(RhOma-qa^SX(g`AD5sk%jPkpnmyRbTcS zRkb2?i%RsF)YRz{>59{3;-~v^2M)HCO=}$st5SgKVW3(bs3r%hqyMTN*iklpx#Lp| zZl_o-wi{mlZieYpSVfh1FpeuLufTcFrI1Ap*vOwu1dLAZ4+1q7E~b--)Z>6aO^6wK z6tJP*sEX^&q=vPvELR33z@q-#rq%4r@aMhHu`+71fI+52IxELQxS{Qi6kVi;OB4SV zh1kJ$_7-!nmzgbPo4?ai(Q|2k?!s&O0&lTNHm?YZ4+)>Rn&Ar8kjn zf?v6)xf)gbEmyacb3Nv7z`oenr|ST_R)TCKW8_z%f0E72`#irczHI@_wlxlKy4 z*NIqmSSr`Yqp7)n1Oos)k3GXK%vjZ&3T+dWSrZS;>}K6jkJW|Z0YSV9N8*7v>ol;7 z^}1_Di@ECDtQX(as5*ZRxSX0`-NLbO2H}_;2;tgDruuCI-fhD|9qh@JLq6cx7@SeC z*?PbmJzhZE<;L>+b3+&61k!l`;HhUL>%EF8XYy%k`+n*tlHI&woSDTt$`NJdNirOC z8AeRg1z!dlRkO+Hc9kQR#iw>qD+p?vr6WH6bi9g$gKiio<+m)-6kn0m-omK+gFKzRlyPJb?iAXlde(lKn z3|Kd5?SxSizeC>nM+_eS@DOalXC&~_TWC72^WBIv`*xUHf1y2HyT#mv<(&3QYNOYo z81CCID@fn+gH^$${)zXicjKpN3W07a@jAu|awM!`8v27N7d-ix>iqNth!ZQ4YIX1H z2DxE3KThjx7DQ}*yIXz!(f)Gx^zzR?UA@kvaJJV)Xh(eWX4~<9+k^$9|C+GyI;G-Y zEZcCbx9GUZiROK)@$s77qk>YIi<**+GCHQD(pIGZ#=5RflO0w{S0zVS`Q~o%qT)wQ zd&L_mskm(^d7kZ3Z+lBi@lWhXDG|0`unD#V+n<`RFY9YZ)7>$=4DHpFndm5gf>S%U ze)LdlO1m9lmSK=T>ZpBUS<}cRVW|93TRp=L_tKjdfE!eJu+Ax2CY@DCqjnjiZM11$ zHP%fMBD{*tpHsyg^d3hRnQhlse5=VGAws?blWg0Yo)=Rb{^J2Xh9AAdEda6RkNWsXsI znw9CntYR@589++lGqYO6bkF=m%_H48djlWl-g1pTPQM71D#`AI_8>IHe-{6=6|%GO z&5D}Ssf%X87Hz#3o>MZXva;di<9<8KqW6W7p{nH(Csz7L+dh95Hgb+BRQ(Mhv}$_? zmNoz6N4^E92buj?}9}8;QO#_7>F)1pZcCb>SO? z@3KJ)^X1$ima~Xg2!vcV&J`)`B(9Sw#{U$AE%Q=0;3cKbtZRKHR~3Vnn>7<4tQ1EF zENEwOH$+aG6t1&v^j__5fzw%Q52^~eUTp<-Vxf_c(PJX@W^sWl|6a4Xgkt61s8Th~ zGy8KHlFqij_`(L041TF=X5B!uur`}l2zuMh)0hWFVvQz@AascNL#bmWl^TbK_TibV zE51|0eue57UAyw!da5qMBm32HpNxJ@odEHY%e=kg4_61>WX}D$&du-#vfqL6{Hyww ztvB{FHt`Dd%1=V+pWILlJ{g^T8+ahq6Dx;m);Elu<{1gP%W+*DsWg_L_zuB@HR-wk z5hUmlNyBz-KrdgqSvK>TAzvgLD=1HGMPu;iwviPrx9kUoxiVt+xM4Qhv#;gddz7;Y zPKg|BLpKE_!G{q^$D+as5|=5C*)#argXIRJn6*q*8FMt<$zE0hm?3CQDUS0PJdi?p z4!hjP$Mv0}DojkLq7_G>TE|zvPxBa;%BOwM`YV}DCmwcu$2-KHsFs!%j4Rp6xvG?4~|Fecio8 z0-x-T)@Vcn%H>4Lt{qx$B`HpXWv@z$$F}E}tkF(T2GHc$ZBpne9MjC3>I=mbhTm5+ z^yAij4;wmbJZ>_=MZ3&{DG_FsKz#CUZO9Tn|F|TtJj}qW-uK-J`*%p|78&26TQkaP z|3xI-OaX6|8OWe1rD!UpcF;20mDZD??@|-PN<1j)mc5EgJDP>_yu)d3|%N zVZ{<1NTP<~t;VSH`1EZ+P-a^&OhA=c$T<+oKw=&9?ITRE2J9J^C1PNCOo@bqdLioC zFH6io>IjAd{FGgM5}w}k*@|O~c7tvqE$Vy1Ygy2jXWSdv*nmjSotQZJPc1K!!qAV; zA7iQ3X*XQ_<4(b#FvG&c5D>~X^WRIxfiJs?yHCXsNN- zFL*QUVM*VtW+bRowSV(}-82QpJbANg)6DxKmOaI>v_tLo(Cz0zLgt&i?9y?jt4%mD z!O8bOr_y2Vs7c=-7i&_eOIi71x|77L#T^l6*^-t%6>I~0MRRl-xh5~>_OO(tA{iQ% zaYyY?P6gtFJ`6)vIZ~)D@V&eIEJIdtN2l4gnI^u(wclf&_@^ADZ`{Teb4=Rxd(|89 zY>s~sZPRp4fFqWxR2e|)>F1lYgHe4-i+SRC#lUpnH1Nf>6QUHr9oC`>yj|o7T4Rid z_91$<0qs@YR_zand{e+~BX6_=84--Ze4b(VuV1yE(y zG`AcbkUxA};Y3601(NGvJ$Jf-8D2nSEzQu)Zi;?Upu%@bfcxH z;~QyrMvF)lv$_satV>x0F0lvN3QHG_!nlhrJ{XbQ0?#Z_6@-Y%LBEHP$4W2upQpd0 zeB9Q#gU9MaY}B9>;~!Z!(|UBdmzpiL@ObPlqt7?$Wv<-5`!d-_+w_V^StEEJiLs$0Q*rk3C+OE=@F!LecR=q*|7Ml)Pm(pWQRi8sIjv8}{g&%WE zvGV1H^0Pj7R#vp79UNWsm|Y)nzj%@Kyc3$K;O);g8x1Q$m%6S^5h8|IHJ{$X0Mo1( z*ZvW$(FZEGLSkBC@F4?EK08~-MN?dHx>|5IWX{cYTeqz^;X(cc+g~EQ>wcFpp#5Xq zK}yO}T6{v)n^N)2=0n|QhU422b2Tsi?pu{I=`t&XUzlOv|OR#PtD*Kb#MD`hk{0Vzj=$xdV%^7Iz0+5+s4HsX8M7JnD2K}1=E**`$q%w z{pV)XA;L|wwwZ{o2H)gux!ntDLA(oDgFg6KuTN)`x(w3Vz&w*u6PHRO-pet2!orw7 z(wHJbv74~7&8{tY*3Zp~{Z^xsmha!dC(y^$tjyeMX_JEAdVL5^7yX@U%Plv#JH`9V zq=iqrLVpb|@0A*mpCG+Y4}Od7y|DL19cAR+(^xve6v^Y5Q9~B4cPi1E+y`P*)=>Es>*Ck3!0?l`}O)(h0T{k1ga>JoyoY|Ue3_6MQAf*`P6|B1M+M8KF&6#ha z$teZy#)jkc3AV{W6}^_JgvI5EZtlZNhI_(q^YIYsY~9kW75~xW!Q`3~D_S^>*cr$5>A0uuY9wm~7-QcS^4E z&4@1drEZJ8%3U71(z{5%5L$U4IrWaZ4SoM6>Nf5a_`FbG5M4dWZl4JK$nTrt2bZ93 zVefjc9LIPKyei7wbgGkI#N9Y&Gv`C-J`FHY*JaAlfAab^=LnaDdz~;137UKxak=^8 zdL_0jm<hFMM6awkq2!vOIm%^ zvHT{^lz3@Ls5$pdp-kKo*lmQOEp^8?hMZJbXL`bI^|y~Z(pkGME3s+N6HYW+d{2ap z9)xkKlA7Z@pFXP$`A8TM?b*UHD@Zgd2E?6aAg~G#WG^n#=ClYjz(#cSi`kn;I}%v9#wX$XUbe;$5efP}+VfW948Dsbl+C?(7J487DU zMYfUooL6#DupFmGRGV5gknJEW=@GXf0wc7C!%7B;%mW|V*8v(?BSSmO=pOz0$h!wY zPxgew!yVq&29mcXk2%d?i~G9NOvIh0Sm34?M<5~X5%o@z72iZzN!=rkRrH?w%}52t z3}HiRoM_W4yq{>>=E^i~PzUG(5&U-~Vx&thoxw72t4RE00iyNbtCgrhX#B(h!gXvP z5)~U<`KN4!2W~r9GFgttKy);syjL`^aHB~4cmcxI;BHf~ny!2Egcvb|o>Sm~#W-{#uZG|f3LTHUd;&{*j5-Nz}IRgFn`MkAuA}y&rE@^?XLo~qO*={u z(}Ui%4qaI)AF-C9osK~7Z#oGcK1O=8{1Qkqej(pU@@M(gmaqE3BlZ1F&|}UptkY3M zXso!zP$l|$$nV=^7D&@S-z~KEK2*%=?1{rcA)D2JXK%+KR>I--P+jB z*2&q>*4g0QKh`TW;*d4^Z)fT^HRWiJSW@8jz6E9*!Mu6n{Qo+xKdTo0U!eZiTqBgA ze>rQvR!z??l?B85wEP2~+x5@S6~0m@<29RA8rV-5Qcdf z#kAoi9u{086#qWfrDX^thYqu$Ih$VdV^<>NC{SV#E!8B?1h=hbPrHwzfV%7#*>Vfx zaIIl0b4bSZx^%rq`_#)v*-hGZkEXcqzW%=euPD z%`w%6_f84sT1VPN6`m0U3>8`>(LHzfDUbD#xC;|{g)C`MqO!Y10c-o_<+H7E_6E~7 zQ{|zrlHU;ca`hE1gdAow?O-q-d}p4(_%-m3(Y=d@FpMw>Qi!YQv_gax#}&L;yswVx z$T?Dh^=?VCV`zwL+VY|2JS1cq_3=k)w#{3U&OBi%O7BwVI<&DR$Ut59h2 zX`FVj&6_3<;-K*YF6FaTER!IRz}v3#6e^U;J?@idb(pKLd}uajW4 zYWPq?s^)g*mRCk^Jqd%I-tD&IM9eq67lID{x|MqDB&lYv-mcL8|5++v`Cm!})2An3 z|9euwE3W@rDgZ?P|4jwp|0fmvpH%RlO9g+e7JLNUc3!TiE2{w(5+=ue^G4x6c=ZLy z#bjh+_~&&vi~suW@^bQ`?FI`<(CGt4^r;MiCx*Z3m1HiN8e*6yc4G*vx|F{)_TJ*@ zT;{U9{YH_h_JCO7_j9hx#Z6p^8fL8ho@6B^&2*Qj*^a(Ut+-zdGCX^7kw~NCfxct% z+{uxhXeDgpLdLZDjI(-?oL6o`{RCzlj_C~x2$(aafggXc?Ob{o`{dZ9;CP)ly^wzW z$xa!}fF5Il8mQ%88$k5AkDScqqdF2HJw3LlPYQ!*_#^l)i}ne(;9f%Kd9e|iUBb9+ z)*j)Ut%&fP8j$|i4AKzeHiGz56&%bMaKgsos9(~3arQAYDz=_7a1pU;p?EOA81xM* zWXr%j#Tktg!WnC?!nN6Zln1*zM3cA1tqRO$M1G6&)1t;WmP*P>nRI)W89ojE(w_2W zgnN!W>H?lm`cu&crj=16T1i$*aTfnV_$`hg=k#pb=;G(l*c&jkhpo=bQg#E~?^7AE z0Ea;%+doA{v6+WSaL5lI?xp^Pq5kMw$ORP)22wL2{*5fg9@a$GZ-j{AVz2{)Fq&`| z5s{+YJ+g9Ex3TFAv!BkQD^zG$WMR1Z(K#e<>5?)Kd(ByE>b{x)i*&rZch91-^^Lhq zViG|o{~0({3PDhedd$^5NQx64LV>h5A#=#JScW%uQ}gXnNPt6-n8f!^)n~~yz8i6M zg>!Xm^>a%{?=Kx6<7~D)lV>&ec|_Z$*;xhg>J^@Ab?>2_l!fN@Lp9~hm4~N_htGC( z?&59DUscdN%~BtW`L?wka@mpyAFMF{*ofBo zQ#y{K!#|0Q7%p+tqD$4QNcQCW4oJm=-}Kf^00%q_Kz1y?bYYVnx9_LGYtZzm8QmX-)aO3qYmuD4 zJ!v~y)oJ`#f_!L~ahSe0SYvGe^um2KIn^R9n6P4{q)P3c%M{-jkH_ic+Urr9L}+$g zI1IV+5DnjQiyvB9Z-?zZdhxcMX=vkjWia+#watFHZj@vdE(dRcPb<{zH}7n(>$>t~ zm2iUS_Vcd?Zm<$)>57F0`^$(r=$Osg#SGn2U_#DxL7d%NgV%=zaJEU4ED*p zEWZ%QU|a}q-e~=2K^U1B7@Bar=Vs&JU~;ke1F5d)Up^^|P}i|rkVf&@)d-K7yS@Z5@qpWH!!G^}d<@sS=D|O~4r?`?P+VJi15Lf*Z|JyIcQi@;s zf7rwdQ&cjy!#`ghJWa>hJ*F{yc)6FypLyv`@MFHG7Nm)h)r!TRtqeJK)hdg*^0R|8 zHC2>eQObXpLZ~c=G~*po^r=c>QKg4z4wB*8niNDRaf#PPr&MNTMDSdi|{q3Tr$4RIZq zeef~Er_Px+_Di*tlXhtZ&^XhWO4txi-hdI3H4t8Xyg!Zc{(QHvbw>|g3#Er+WDgaf zN*n}}j236gw=1R~044l>;>a|111#A7kWD){6~;|66t>GE36Hra$co;aKa3~wA7zzKMASj-QX^Y&q;yWB;G_|iLD zRa|(OMOcCe2H!C71lsa~-<6%pt=VS$e9MQR7}iD!;pq)3T(Vru!kgZ=$c-pPKS5*; zQ33k06Bn{-@EkIuHL;vAo|2Y`(VWY|WZ1Z}CLMN`;B`39Lv%s6=#Xk$n*p9pcJrKc z@xy{GpN&SD>5sX^q%fnLw{o&M=lyrp==59?ZCpDBI(sV!o{TlA8jRmYB8A1&1-pbt z(v^KoFY^9X{B9Y#7*Q9ox)zJmg3!i)fx$4-lPrUT2EMM`!bMCYl|U|_*qPk|I;U-; z$l3zgp)!rghUFNHcWWzSt4<_~(sf&l7x~X-03$m1+Y*RN=#N+U;$K#&)FTVkE0d{KdJPM)9U& z0ehr8t7Y{MZ%tXE`TE^n%Pjt>`l1l;)GOfB- zwjH;_G}q+mVFr&40$m(9hc1qa-;8S2J37GfWq&nQ!{X{iJmW`?|4$FyU%&lS6}pf! zqP}_KF!kn*{C_Za{PpeUzx(oYtf%9&!HwlJTE4g8!Aa6Bn|~qxC8rQ6s?)^Nvp!Gt zBmM$Di~gd?Udq8sTh&l+lMH9hzNLyzb%YCrHxE~uQ%;g^*-C41qy7=I|H5&z?^u1e z+aC1g^*X61pN`#IH$3k^`K^rI+MhQ&L^X>uKx}HlCe`Zb*AHiM)Uc?m8@7Wqqu8;9>80W@OY^XImQ~Bi5bhT`;(P&|e zX48Uadw&DpxkYi&!WVn4Ewr%BJ>h&vZb+fORRVf*4#(-pKOsVnpT!1^i9nxbbR-r7p=@&oA`%Z56J|9r&UERU(U`KqUVBFu}H`@YOGG9zMNu1 zTmYK?IsROYytvu?Y_gPoB_Z<3P2dWOKLqr{8G8FBs(H7P-|t)NdiNH{Bt6x!x@A0Q z&SLSbl7AnO_lIdJ8I9mzzJu?CS6HL<9Ddy?k(XXS1vershg?0sMYqu6^POw8_kr7J zp#x~;Bl|M~^^YGWJCnGG>Ehih_C|^6h;`AsLVQ~R(!u*bvlS}XJU(RfY2*%Hr|ES9;v%Hf&`dI&yw+2U=mNB11X zDH)-fe_EaijlO(itoD_mr!OgpNi+>7IiTR{q&z%pEeUymN=-Lb7{`ZVn2zQ;)Ry@g z9PVP6I)_EowsBR;)#%UY?5QKPY|HuS>(;(rz0~-(M=L#X$@)i>lyilN#=8xL0~HFX zkj__V)^AsJ%xKfTeYeqv`!6*L896jx*@&;1ZG>`bL+;5U9B z*g8O3cy7C9%8FFj)jx}39J28kNz#T&K3?)Xb`n8-_N|Qp#F@z1xsX3@?6vfxkB;+r zB)rPGQTTJaTzyR+OF;Jo#KSHgkf`rUGRDX zr<7R?!^257lvOdV+Ty5_@I8n*4cZ30co;6VLw)UDxeDVb{V4r+#g^}bEmh~LHb>TR zYRouqiBCm_hb%*iUib$n3kGgPtYoW~QVk+{(7T-Ud!4gxk~Ci@-@{o`k;j- z?gq+)kyMewhk9|68k7$3+L_3nQZfo=jkSA zHn2glKa+GrOP0MviDI=(bhRMmv8>tN#bj$#C(YjaXrdkc@Fe~Cxz*q0fic*O5Iq$q z6ylqXJi|Td2x&@ZV3?Ub)i}ZcP~eS!9ia}Jay#Gg9-n|PkD;Prmb3SmsA1AgnMuyE zh)>LO@tCmIZ(vU~k1?xmVNXq@9-ax5sdk~Vs2c!^gQy_e!B1 zE(F;Es&X{=VPzd;JbflqEqDx6Lr<|qDC<5vYFRw`kbK15gI>ONN8V?|JyunF{O2uC=WwFLujHw^>WFAcZn4w zh5h|oeT$3^sqVjeh9~scQq^{jSORBGk{xy`CeIoD$dx^z&IJaBI##958HU(?!xn3U zueXJ!_IlCVVx5*h&L0uW=iJPTS^m(@xp^-}$6;!C7ohX)GNA+42z2!RO-5O=t9xsRI3IQdZMF}He1*wE zR|Xa|VuwZ?mShaN<_xoBN2$?T~L{Uyw5(B;-TC_#7s!Iv|NNp~U z#Ep^VNN2m1s0uuWXz}OmR*2JByDh=n#+-+|HL?iYOv=Ti<-adg)vh#}fU9|=o5>Rt zi+j9VS+18+*6XI2ri@Xow~iUGjC~N7vb+p`Uqk~|7NdIMq}&Y~(Q1!V8e3bfkHwxg zHijA;K#rtv`cRmpW;f)02`nZD1jur5uhEMF)Jry7E>ti~Jtn|0y`o!QboyV1nYJn2C=})lBMYQn;Z-(gQK7Y7@lS(hKY*F`WH&l(Cm)YLt|M ztNeQL;#5=itLMrR)zUe8Wz)Hlbb9Y~nkI@SFda+i^fEhj&A$Au1{O#fQQ9U5{l0mO zJQ-1DmUFdLA0s7E%p*%JQFuY$8ymZ&F+ZQe9+wqFA8*h|slS;B?NP)gtL9^B@2W-9 z&SUPlrvGg9n8cR-uD^4A_5Su)X86lyNIY}x)L2P3{1R>?nw`})jk-_m#w?oAcH&EV z4wLfu!&1n-I~BbM+z2S{#nHn^KqY6!BkE$+Sz*XLw8n!d=W+G~{W6)%CJov`CZI+Q zh4x8Z^ZC^7)5kC^6~m8tpxMUuJu0ZLzF$PHEl~)+xI6O8a9v1Ad@*ANM**8+J@bDv z{QM{Zq4=u|{w+JN2R0zv1!R^sWM6pHOWyV^?|L*pJexzjUk_t(e*b)v;V2^;!akq$ zpeEqN;!hLW*-eksN8(2|1SY)mWn%~oG8x=t2rM_r7}GG4(j-#t`+Iev9nE(;nz>1n z3|-&zqTUa03|U~A$gBMPZbrLP6UvfLX(C_D?W7HvJQ>59ujc>W6*brzp733o94^Z* zroAYeWRbk{B-Y^AFeClUwBa`-KUCarw^u|=vox+}sA>3E98wlALqk${L`$kppF?5CtSGqMG?XT7*Y$=GZ&uRY9#eP+&MP*%Jc zb!o8C+YP&w4g7(V>Yhel-lm{*nXXjpBOmcYS3Xizv$K3TVques;EH%n#cPSE!qUB< z*p1VnN`E@wcUt5)AtJT?JI|BPH2efu^h0ac!LciMZOi-dIH#kX9^^^ge<+ZF_Q10icf8}Xyr4gTv zKFXa@!QY!%upN-jD#;f{(o=ROU^B3GE0urpdR1nPJ`A<2TRKA|!1une1c-UJ^DqLn z~>P{ZV-I`&7t$W(+|rQ_!4&31UDi zR_vx`S>Oo%+suW4BL z^)76sNDkpzkg`QSm_T5$+U#E3mBO+t&m0oALK2k_GLYY78WRYG26d*xTSd>d2iNJ& zi^TIr;QomVLOQ@@x=G)_P3CnFk^Ezo{rUyih%~Vz8k{-2@(xyB`j+vyq5_bXsK6N| zmt?L&8p(+Oj$&TT8A1-C{AvZm@gTPvVO$ZDqt!FlQr@`T!-)QBPLb?V5zwxYgPL?YI|ZYvqKi zL0-Bp5$C*JUC!n0B7EZ@G(_kkyc9hKt{RlgPy@F_iXd-w#amYpmSDfXg|EiLOm`_MYs0~ZG(ZKrD zumcDDl5v=;1l!cCFhoijDmZ*#qSBHN%kYBq^uB-T>%w}nP&=Oo*j+Ui@{S-Sjv&e1CW2O|%te=zdU_!s2-9|HN$#vKAN|K%2- z595vxm{Vx`JIOtGcH2g>{5IIKJ2&G+wa%exL_(*!uK}G3k+Wb~%`)u1J%kOP&HoBXXM9b-m z7X?x`IWlEz?sxrTcW)=;lV133@-8*}8RjSNr|tM2ZZG%8GrA|-5W(kpQ?(Pk20bih zY(kAOaCw4O|1~a7!{02rU_q0?2`mheEbI~BdJ~}eBbvX$CSrY?Ws*&?FD7KwX(RR} zvD-8g1|{+)j*A>P7{Q`qyg%J*;y>}2x{siGg_8>ATmr}udVea9kys|t835v-gbSt( zfnfG6n}xp5_@YT&2eKX_dN0OWR(SpnybxlKNC-D@1d-9i^5DV9`SApu9)GUPE*3f1 z#3-A_m@s8v#}k@~+CmF&#wiCnzZEao%we{dJd!RzWAXUq+!*LYa1xRcdx8(55YZ0n zx0DN^2!g#pFZJkhOh}rVa8t@o8Q~boa6U65U$CaQU|7F7%^rPv?__RhXYIfzmJPQb zH^rPx%d+-XD{A%}hP*iE5ah=%YYkyt6@{ZKUC<5Wwu?CM2{*~CFWADL$$nxsMMOt?4*8qulEXTVuVD+Xx7F==7;pCa#&XMcmEBRAAtX@H!5TR>V3>g&%*?9N3Mp~R16FAlloU<+3>Ys_ac7$q4oouW zjgvu1P}iT?mQ1WWXJCBd)Ce#U40qEikMhO@B}~SyP3LJ@)XpoymoJ?1fTV>anDFI_ zktTL$>~QKkX}E@{Q4Dm3XM&KIlQMwC{}&VNUhjxDB~F>rSTWpEN(V^&6s=C7X0KHa zAy6?)0Ig008-kC{8*tHz_=ADoXI+qdf%Zm^u@D@`)VN2sL6IJIlP>Lu5Wjek&rvRb z$b9bv^R*OIFkkwhr;MlX6-Zaz6Uac5Z8#wz-kVcoi!;%jrAZnq!lj_hQZV>1N=~dv zM9_!h%472(YW2`RSmE}bC3eQi0&teM3^L-U2?$jSStJgmOn5-{Z-p^!%r!BhTM@VV zoea{4+Ay6kZ=84ml0ef5Ds$2n9OTvyKA*@dppe!vK4Oo8Mn@fWE$qZLY{-6gTf#NK>;sXrTD2}Qn`4C|n)+r1^Gy0hWu$6egE74GBhQ9LsDrOCw@Ov6+ z=JWXsu)UR)x>wCLWp_`e>R`^amGfSNV)lqfiW|k8W4~-|E;zU;RpBlbU@eEa%cy^w z^f1u%FNYv#(dtR`3~69X5in0{Ph^Ql$qz>`v5|n58zhma8i{sey}>o`A~vuaiI#q$ znzuO{lSDL@8iD`Psj+c>+$*!xJppNTDoUABZf0X(ms zG3j;XS3g_3Wby{*9qwbal#jKqbrX_S2U2J%CC$bsfHHfyfWA;sJu{<&3#_{DlJ>>+ zryfKj(6$O9a+B&6tPFx!4;=$-do&w8-IU@II&`(92i!qW*zH){70x`!@^3)vkV;Ys zh1tbS@FF*$cZP5giH#f);Yr+k)({u@TsRiTLLR+U|hEmc9Ip-(Lv_lJxlreL$D6r7Z zRYgj>JFtrX>_9|1i~ifSAP?EK3@%fh?9CQBzU(lzb!77@DgijN>IGHB2jSQ?L$`6l zffAaEKvE(h`ZmnDtG)~A{n14aVRE{PegU{zRSB`^J!&t*X9JAKkF`l5p|a2926crGEkFY_YHFi1s20d zvOX@Uh-yLF2!HZ4obMt$$zxD#BEFT$l!|V8=~g{@I+};2UKqDpxHA$3UaQpXh>BBd zh=CWiM$N-kwePao1gg}=4s*w#zl!>*4c|G=tfIuPACz#@L7jk+m@N(K=-2&-ChTWB zG$OYBN^ONGxcwA`D7*Dj!CP;JuHF{iLK`{>xS<%T{Bvg^nB|mTRhhNzlPG%p+YXnW ziCvZ|nUO84zD2i7@J**(tkkvi*VtYI5SG@^L{_l{Cm&(X?1JBypOp&w%9VsIWlWs< z)^K$q`wQp_Ts#%?caE1}Ol~p5qg;_cfuk+n(mLvCiwaBQ58h}3^Lu~J;fvVV7yaU; z;L&lC$Wm7jYpL0Zq2ni-+C+m?ppdlXt`<5lyYBG2TU0|O_M03U+gBgZxVKfTr4sLi39ewk_w`HAdMX|& z$H_|{lIMCFxC7?q;=)GSU`2NED{O3{nmp?YhtTaw<|U zXcjrxx-UvQEi34EFnaf_sDhZHWL|$+!z8yzd$NxSzRLc+P$D!;%GOk-B_8yN^`7Tq zj|WlHTUSn6-_6W&>K;MXf~nx?2VTxro0R^~SFs9gmu%YEGcwcVBA4YKhpT4xW3LeE zcfXS1`^n=>{U3cG<1dbaeYJIB%Lst2Fu!SWnL!_!I2YTNQn`du26n#&#ccBrqJ8}d zgEvEjA`MT8&b?}Vdtv^p11vEzd8pjR0-v=WgfP>13sHcq>tA10uDOwsKk1jgeq)zA zI+7P_E&vpH(5?)$A@$C8!aaDj^Cp;xy!CDfz(0hHi*mFyNAeiWfbnm7IddBDDIQ+I z@G{N=ilnykSM>XB{ON1rQ!4ijX9D2IQ1>gq6b<|~=0h<6=5iOpuQzt|xB60}Jf}n2 zQ{zuA8BG4PoM2ZIx>z2KL`ee5ng@fSxH<*xRg0#vZYJU!4jV{?CQMBi3Nl`_2p^U|XEv5lgfYwGZ$`#zv!N zJntKfgR{p#RRsd_Q+;{tkxUpN0wX5Hpz;Qkv6dvgM9qB#SkP|rn0RWEuEGgNMO%q? z$ejn|oqjDD+W%N3h+256KpAyu2_btz4Fj)Z)3cA>a2*vD!NgxNWp8X%8(CC;5`y*j zsPX+aI0c3FIWDMM=$P`$w=X$|?5D&BtFmF}u{t;1kgKD&(6@MMa0W5Fb}kmi#sd4U z5H8=P{WiEwPLtX0gk||cW%YHM?ijSa=jlREeZPLcPbK@B$60ag~0nCUk zPH8RuZhexM8uYiq@yP89yJ`=VZ!^C8+7E2ETs_u(udkr48cr?!j6amxxMF^9Xq#KB z30`^l)!~qMfwcC-#zAB^#C6U$7y_u_bz{gspI$XJQPvx1kPxJTuC2z&dKvW8H>`LO zr16fse-@3J`y4apw3T9oOPzkun|w$9&zc%*Y)H94d^Bwil2(r<$aQ85+oP!!BdKYSn4V!YgUe$S^Dr_ax18u9%-tu zS)Hq&)s*ooW>2N{Xk=7=zIo1aDc_y4Kf=MUTm4|=v@7>1j;}f8nvQ5)DJ?)#@Q2u2 zT7-68Od>g|-eq7-#jF%r?8r8YwtbMtvpH|)nIfUSRptSb1M@(`)m_ubpfrnxr%LqD z7sTH^SrJ=ULG_f<)LuPcaPAwgVS<9m0Es6kplEBu`JQQO&V2S}B3C8Y5mT;IZL{AT zS6!cX;=V75|0Jw#phAO>HZOPZy*Yl?tvNy0SfXl9@$+E_m^In{LMTFVLjxA}PW>(M zEsYy-zM-`GEPj2PD%!3{?nW#0Z0hX=cz<@LQ#Z&^gBD#{cyM`E>v19{ z)}FAGl59G*R?UpW+>x1wG56IR+n=#Hfu=P2=_)wBRS-QjHIRU!J4p<>^1f0|gO)Cj z_tnJj^eExqKf#wmjaTdNS4&MFHBj@@``rdN<_mDkEUWaCG=cIOh)cK0mXh`>7^QDP zJMeJI_7EbY7Y4Y8Ve7KK)I=LLnd!Y!01KygUE)SWnb}CpuPvD!&18j4nNh^$R4VSp zQ;S=c1KT_x{%wi$j}kY?wRi12<38k)x!ciU}L>jLqtJsQ% z1tL1Op5jdl%bQo*ah8)u6bYrA7cvO^yQAbv0=op~M=ZAw!2!#UfPT*;yTo~Se3SBr zZ#jq~?Vi>eC3txW)#njFo)Q>hy(tg{yHXnE|fmGR{~Nc@WAS`A+7ohV8PeHCj<5FJIJBBCt#``u zr}ujO&1gShPa>`(d~WocPR1Ox0uF&$8dEszwHq5-tYWw<9h?3^bjQ&ABh+~E=5IR_ zxecPrh4moWQdl-N`^iuyqiD-m?rc4li`@$dyIUFs3*epP!yPoL$ZCGay_C-3jjs~;2HYUm~S?{^2Su>|?0cryvT22qhO_P;@uzf{w zsss+Zu_CaXq|bQhHP!i^#5xTLHNJIwh2z|(FIE_tXN)^YD(;C!%Q-+n@p`jF0Sho6#EdW1YEM2d|`=;&Hu3AhRTaKb3NQpB{v zEy~L631^XD5fYA_;N@1p(54f*1tiR4KAmB)gpybOrk+$5NAfdjM)L#cJTkcmW&={6 z3r4u2Iw3coO4eL(u0e{(@tL)&2*-IO=)MtBs$}M45OR*KnWC5`R7k{d0;ffTjU^`& zPCVy@%Txx$cTh3OrKCWnRztg!s^pgRh-v__$`Ju|Tmda}B-rBq=GfphX$rpr*83bA z7_UG-sh;TqqL)@&2+qkgKO&PaNDk*Jl|Vg*jKbhtIK=^7hIG@4cAVfnR*`U(_Z1l_ zi$?r6+WmLUat&byGYu)B&~R!QEMWd z9fUWJA7TkiuAop0^DZ!l{Q-ACu4NYOFZ_t>=vX`qv+8NQnQ=#C6kux!tvTt%t&Eu~ zj}!4#AZZ*gRZ?kly69HQXv<{Lc1NX%{)up-F+8JPM~Zcq86KCC8s?ia+GP|PXvKt! zddpuZi07gxp}?W8EFQeTtT_yciXKjdUS_5Ek&Z$scrK%O{rUNpi$akLv zC}CSwE|CNo1^fM|rQ|iiqBz7b)94ytpf8Sy3=cYX<#DZ*psYZERA~zQG`>mw=DK$N zv4~uNq@)FNK=eR8C`DhVRG9^8H^HfLw=6#H<1TEvf{U$kR>3!JcfJSfX399Oaj*wQ z-71G1H-tI?vLnlZnwv#4IE>P*qf!?!U|`18$l`s<=uetbM*9e_f*SRH8x_*j@# z^SW@`j)RvkD3)jUcO?zyys*84&yCBoi@+2gQ?E#=UQhf0m z-IE;}n4(38QnC*v}&+bX>q42 zD!Xv?Sa1#PIpxh!kT!_m&GatcRTVr;tpN23uZ^Hj3I-KO{O^-@9g;MK)#pB@lZr%r zz%mhLM>(F2CH)NkMTo2xKNMotPf=449=kLCE|q(&8n6{$Nj(dQ(a zU1rRVie|y;hbR^nE6xUT3`;ysL__I`A-K)HlwMdQko@{wq1l)qNDV$yg0L`1X$~{Cz zKxqZ~N0I2{=1_LPfH!dk*_K)^1a&wjE!m2+zXboTt4bl=hWaJ6w+id_YMY%hnJy=1 zfqGx);9t#8c|%jeUKxl|_ReE$krQ3E z1A{}}$(rj?Kfye`!8KeGCpjL|nON2E$%74gplM29rp8no<1ObnQ$&QXif|*A89)NX zK*yJIDYWSa>slN5VTYH*`Lw$%ECj~s)PhSOL^sM<7v@s#MygtBl5kRbDD03T zu6a}}y!4a_Trzo{O z{FABJTJWvN<&|X80OR0wb;5xA`r#Z9qDcS3Syt<%@1YiS#}-zv!eWKwF2)I!!;P_fd>~TT8)EI=S6*ff^KdGAn6X`HYe)n*S z-u?51qXxWZJoz)+kfj(yunMF5C(8bBH%GF*m-W;`_fNpx!$B{=r*GyGbpr&VUvp9W zRUdjWVP{;C-Uqo(?D&|hl}avTLN)clzRrrdFC9o^THNs`Yu3911Ta|yIII;=#?)H9 zC$tp&{ezl@G#tF@bz0XLm1-RMwP|!j*sWzrK|d@-u!nf&tz1+!Ch9!#hq6hH~d?JT|2$ZC$B0Fw@PJAk8U~@E+`?w%V?@hNuf=+#;8FGUyfq+sgL@;J8W2MD3P<5gRtmPE00qbQX>E*AINQNYC$-wXX4CdA zuswv8ok3TX_gZ#je(~Q=9%k|m&tuvi5Q|^hm#Tq4d^Xe!2*5QXxK5T7sM1jRuZ1gA ze`wapCDXd(rUWPCG!l*9l0;6!g!KfT2;H!|nqL#jwV3jp;Z>V)wC&X5ozAblVDEn2 zDJC2`HJxlP=0(n*Rw1-iSWQV!xw;C;T_Tts)3gyQ*4P{|lFwvSc#tN*Y^E)g_M>*& z0~O>`=G`wol1?dy(69mx4c5|atDU28vyc=JEK^x6QJkV48&EfVbZf30Mz^HqiQV))LJx0{ovr?7LCo|p0Rq>V1)V)-&e zeWoXt*yG;K0zJV%#pT%*lTN@l)z4ciM^tuV$85GqW1a*vPL6gafR! zr>O&Oie}*vS8XX*pOhrpv7hdnyoJ&BNGg{VQmQ==u8xgwSpybC$8S#9Rp=@ay>CGO zdGbUwdx!~cy|s55>!W-t{>uZ)M&!QLSy1OF>k&Fih-MpX$c8{^SPG&&Js(k-q$l@ zY2qyM!D0b-!>p3p>n+iGv%#`thg;WxuA+GW__9D=D9(+t&Mxp>ojx@X3Wj$=J_noz zuA#2#%_T7OiMw7aX?9L&I0=|TE@wOXpLhyom+bh8!h^rG2o$cOOKlt%{H z!|AT&SH6pfue-WEgBnT7f!{XZXd?ftDHo4B!{(UNU1!@bT|IO}b;EGBSsL11f#{I7 zW;R~(8QOQpKiu8{ucE?6?A)^A4I68^xnA?dcdeSDzB(dA8Qe(-F)3;pJF z45@OYD=luKrd@&(S_N(@G$>sG$D+A2}dN;R9=iz*Y1! zy#BOnz#!eZWq^;FE445dNKH zEZn`QpMUL}hL-o82g%aKTebkQ&}3Fv+FIL77-*zDvL9eD8<-%E z;cETj6a1hdki9;y#1ay|@DU5-p?C)Z^379ad7GotZU{+~K~1#Mlc~iyRi5a23-o07 z-@g+=s9qw{5Thw`VhvISvcQ+Qw8`XMaOE+NHPL?7U7vCVd!+UeYl&%c1l!zFb5-sM zH05l}89PWro9^J(Y{j2^8oCY%3K?gd;u+)_GwKiJd_mZv@PJC9&8o%}1VKFBF-#5X z$-8xX@$@jlInh&~sRe45STN+;eDsW`Sa`r-Bz9N8p^kDamaA#Af-QG1a4g$FaE&8r zKKLw4%r%rD99>gkFTgy|cJE$4_>=0pu!T?c)khz1gWJ zfiI>Nc2;}joR*ap{0OO$6l2|G%&~C9i4t!$H=9T40Ubdzo%B(CkXAHT1cYkE zS|;hNuDkV7npbLW8^yui_ZbhAFw0?3s|2#4(R<#JQen@tm4$*@fNS&7w?-uE12O?M ziog?aR~#i=McgkHu)`Ey%PoZ*^)!cvXq8`T3B>0@yo|7E2|WtrG9bDI>2s%2&}`+L z#jtKrXlKT9hl?txn|x*`p_0s~K3}!B6rD5gn+&#c(&Ehd!tl&|*)sB&DR$LRyeySMa#utX37f%;4rVdMAQEfZ2G-kT#$W9aHs4RCwJS0!9@EizA0Luhe@rM@JkBLNt+v0DYh}4*18MM&@OXRLy@|ruHnSFKqN->YXj+De-hM#`e^D@?V(q8x)cr{}*U@Kik#}iw zMbPQ)$BX;Sg7y5wTjs7?NkfkM%1r2$4c}#fZU=}BnvI^MNbaous%3vwnkfV4RBU^v zLL9mW>G8y4RetLKU@1(1Dr(54_8L0?-3jCILe5hrm5FclSnt8FTVBGQdxQBz@HdVL z)O+#peR1UfJY@a_eZ8}#t-iCdlcj^pzk_3t{yD_t{r2+y_(z(G!oN6*_cf4zL)iRL zy8I_@OaFBw|B7Pj-{<3xNSrL)EKQvZ|Hp{_b~he`Pw{bh=j{OA7qg81g53N^ME?NW z`6q?mD%HNYRW_uqYZ{0j!6*W1?z!ZUKZDpLy?+?ZVz<#-SehWGN~C>0`F;K*A(htI zF@n{>D1vCd(Rpv?;(qVUzZKVAk!%CkwaVXJHh1UOQPq5NHdm7!40A3D_xovl|0h9@ z%BJ{_S>@^PESzcZp>dfr{Ki0@;bDWEuYzG!%ZnJ$KN(~Don{P}l0Mh>hsbg{cy-hr z-T>1QE-4;%lJ9h^#eOMdqz(8Nt*EZVo~ZSU@m94yyM)|hrrK#+PlRG!qcImNadY^B zjI^m-5Kux0ze>Zs-UjM}UhQht3M(ni`dO*0e(bxWFXifx6zkxgC4gx&~OI%95WkzE94#%0CGlk%Th_d1$ z?xA%he(7v(&8SNhEJ|QRFwTC4Y3g6CE`|%ASyr@MHf|*$+mE|Fu0u6Hq^J<3tvg(E zZ~=4Z4p5Me#Y?)}6P#k!A-^d4L25EB>Eo|Pq{XpKW!8e3to_v#$f6Bm3hrf`aqpC; z^if^4ayyy1SU%Xhcgm3`Tn^{lDvGi?Bexc%E9TkU1jp8@QsyOxexld@VqL@lSs<^E zl$&;Sy3)LmG`q#2^@r+pW8YpaxRX82;~Ic^BSa$Ec#!VZ2SfXNAT|GHsa0 zg?S=KH+PX4TevYope!r1BwI`eCamEhf|-dM>(C&-mmcGbI6;BP8QPQXGa2Ntw^4c$ z?}*N&ENR{q<9U51O69xrBkz_X-p?28LHMxXm<$T3GH{8}x2;Dluhls;US8WQ^}Iby zN;R_d&T(s67HDwk?-q42WR3`SLb%2>x)IsbVXqG=NjDqw)DNzDEYjZ(b+eVUpt;nW zXfFR@P5bW!?4EyNU+|AZ^baNbhm!q6$^M~a|4_1jDA_-h>>o<@4<-ADlKn%;{-I?5 zP_ln0**}!*|Nm67_q!j{Zy*2xkre;~nf`^fh(93Y?`Ui@`~PW&{b{bW{dPOv(ES6J zE~I0n2l=wgg6k}ORP-5CRFN&Q7d#oa9KSEs@?vrdsyMML5U@M_p5gV|IHx$Ns3Hn) zq->hB9ElSeRpA1G@?8oZLBlld{%oQ&GI_^HGL)zfHQ(>wH;qTnE%Lf)CHWn3v`utf~`dZ3!)PsL~pMxW|?us~Ao#B;>g zxww%ET26^!mU_L1z9W9gb`;CzvLr~FyLwN0X+6KRp4cC3<~{n+%_BB*Fz3N2ohTb= zo4G+2S%sH;l#o!;MU;`!yL0!s;Q6ymqH_qbEk_+ynVqf2XA&6N zPmot_v=7wMiyG{-}H^PW#6^~D@)Cy6R`|HOgoc{R5sfc zM@_NNqMlwlZ7%8h2@pBsAuZivlr6JsIqPP6)P$5JWzktufgF$Wz?oXJzer<#{O6aY zZM@#b`@dZOlppcE_~I8zqN&9mRSJ!L9{hNG?Y^{=`B}yx9xWP*csC>z+S{Ltk8Mwe z^q005)V0Fzrb*T93Nz!Q=_7yhkOj=I1ytd~+&h3bmbOV#Xu#x*M>r3H30K?bKDNcz z!b^lUTFWVlmIoy;S$4pNV7}+@^zI|Q0^7}Ik zp*h6Z`?Q~^)1zFjGxNgRU7jxTyk_^*g;Wv4+yFZQW_k*O76#E77vXKZs|VrlfF=W1 zT}WA8fL`#b|98e5uR*(c0cH62uiqUNKT<;n?7oMT(>&wtepFB%K5Xrvo%fp{i3wZY z(zO99%g&;+lr!@Yel*###&w=`Mn!m=wRHl8vu!-~&-k%*=Z2<5w_{ES!X44TMx*P#b#y(N3TJIx>I@t$+Ufn{2;vXCme z#CE5U?^@GR6;OtYT{^jV5R6C7)Yu0XSLMVzlxRWQ>;S>{ zwY-D)yLJZ_S689mZ#^Ez>K_zRarP#27xho_J4@S8C0+VARkhDZ-*reYr9cD7iLuXx zAG)^1@N70%+ORLYg-L%RznQ;ZnpY0pnn1G50KMWNN9Lf>je^lw6pQ-zOCK#hkgi>h z-ZD?ZNhLJp>M5*aUA|I3#W0)6%juQ-_rl5OB&|h#(dC_yLKba{=8Mi;q_M(tNHCH` zQqd)XNUxeYV@@C$urkFjpOtyX0*mMp{?*iHC4_tSEb(O6Sao~*?(s;5;Pv?D^^6zW z#&~ipYf6r9T?_ z9dFumJY76P$qeBAJ>InPW23a`04O1PTaERah*CoAn&Ha>hx)zS5{xV>i%#40=*ZfV z%Qi(ASr))X_ek3Cz8KXXjfWdQKcb|&y*i}yJ%-iIEwjUM?l94uWBpvt`h~2dKTc0G zulXw8s7S9bHY6L|`J(Xq#iHJFPANb3vr}dDnfA9`bxbKGw!J@DNoRVyL+_%OR0Uy{ zpG7CAU-E53OP!sUD!QyBV1sDCYkxA^cfUsUomRPhI&oMXU(>{tZ#^&c-gsJeui__9 z?eO(+8-E$J@e9;$Wtyxp==tX)7OU&?RNoV4@NtYSSijw-tG`yVJI;WKZTnJU2#9p1 z0>*a(cOe(D@t4{K-rpoeSj|(B&0zsje3=%Q;6qWrWj0QW7K7sjH3!+X#Dr4kd&jOy z4B3(JkldtA=-9=Ub_9nRc9G9Y$Y zP{7%1!`3Db%Y|?I1)l_%;rO;a3pImshE#N$j-=Nl$&@b@6xzan&>P2e>3T0y9hi}^ z;4pI7TMvxd#sBrS3U+ttC^g@j#sa(Gl261)T#rRn(D$rWaiBy31pm8yzth7DcT83l zI*n(04~-t8?ui|GhX>iU!%)-$9`d2fSni0st-t3v&8VPKT5NlM=p!iF^I~Vb;4DyCWq{t~iV@0`anTHrpfY-_ z`cG67o*i2EykHENtorv3P_^V~Iop5^=O)EICx@6$DMs}{`cqp-<;BWr0=c40HW{zhE52)2AhZaBSBVXKF`k@ zeB7w~i5LT&X5W_z1m@6U)w6-!G6T`&tzB|M5MZ;qEjnL#&}=_H$tTqYgb>#!L8{ge zgz-UTwOVxUF@pIs*VD1TF=8jZ-0REpdQk&JES!oj5$@3~m2`eVn{z-LWZbPm+j zHVGb@5vSov*$rF}4HV~E!v!n08WliC4|A{4m;mY<)_fYYTN4UKqlZ`Hg|ir4yUi_6 zC2@iwfU|PmKi}0Cvll!5dDfMXjVRW0j}IOlGON*|^O5p*J|{&exLxwhpa3dHtU3Xh zSnASZGX+-gf4mTKqWf7L=gT(WMq`FlY56y>+rSRm@tziI~ zvmQMjo?|cN!kCb<7VqbP(S$Ktbe{$ytM>he2t_Ix`)pyTApnxI9=E8XX6E79j(OkG zx@_ezliZj&KZ}sEXvkO<6hOxeSDeFo)NYfGqe{2*V?Tvp{2A&VRna6x9fBN6dU#zs zBB(b-qt0n8h!P*`x-YGZghf#CWfrIs{r$vFW&KbPITLmbCyEg3DIXl>pOJ;L*P|(O z-78xBnIUep@QatLjZ{urNq5JYkoWdnhnPjWda6U7;Y$dpoAn6qXNum~iV7sB#jfFl zGLrsIIzFDx&^{>?4koJy*eD!VKg#%QIfiGSd{$Q=88dAC<6cC0Yi{Ba#4Q*^Rt=!% z3#Y`5XvYjesGGO8<2BT`GUshq%|VNJ>4+6BB0Eo--xpH{A4E=%ecr1oyL2x-k7QBw z-sFU9(RWgQa4P?bxqYcQDwd2KUTx8PC_FJ71L2NepLzTlCV=|hDhNQd*~PFxYu8XW zr=BCm@hEtj8;%#S0?Ge9*YB--6)FdKW>92%p>t`IG-^o8nj++D-d-pI9TY%u)s zT6)1m!nv6O(A;3~1wI%bLe}IxYRV{3<*VFpPNq5-FlPHwK}?uh_<-{F6E^#sFdTsT z*5Fb&n-TGEKQp{M0BPurJ+d|xmr_V2lKe+z^o()8#WSuHJe^Gqvx_!ug^j$D<3m*$HHD2(z1xL6TOHDmTqC<=$e)!fl`ZiD%ZL z=+8?55p3L4V`6*FtWYqJoCf<`0M5qN7(1@7K7MpPMsUiQSBd^O(fI&TC0xb_yJxyU z+2YSj$U2LoJ&%rlu|vx{XQV(NUCq;zGjq{{>o&dJb$&~HSf zfWBFe$$Pa4W7m4{KTrQJQJN!%ZEZ|YSq;EO4IGX$Hba_3Yqp9Rv6OIhye)Q?MziK0 z?GBG;`Wsnn!_4U}EGk0Q%zHf9CYD-1HhECskpPaf9O5c2jHR3?%+yMU=t z$10I?y!~~=&N#<_C&0yc{-g%JtOQ`=>YLq{H=mI&8?RojwD($~a}cpD$0heH47^?G z8(Sq!59$fI1QzXx_zN``+`=ah6}!O8wlK1=fDOo4X#U$;R>31cbSdI{s_!~JnZ6%6 zFLr5Di&1_0EmvEMTjMv~XycvTpJzRVM;(jf!mk>Ct)yGM`lehbovvO#beaGG$;tpW z>X&Sf{YN-ftP758Ze@$hLx#26kHXJMw-hhO;yUCwxPR8rp0-4jBVyYx3zspEqNat2 zl zIU^#rO(%@(6G8aXp9=jkK5kCe#;@n~tC3?cw5&N`etPEXG-=w|8_1bYg7ds|z*Vp&j zmu#ysuTQe}*KPBbSf;fn8o2k?J$KN5p2T{NCz^Xsbj)=&F4>k!w^(+qKEJ;99c6df zIWJRKw5@hJPtbHPAG4)1O$T{)%rKm#JV>7}Kj;&@{x=vo`@eAV{eLzBeDLHxcyb>+ zxeuP)2T$&UC-=dV`{2oa@Z>&tavwao51!orXLxdd$%di332okk-Q!~ZUg9}K(?2Hpn)?|(A`uLejl;M2R96#xDB zD?1Z+LpM|U|GrO_mXTDXl&6(eo!OEKVY;1jPI9_g4-Rhq2l8kC+d4q=onvd_@z=^a zjqPn6-gi*_N6@JM#C=p(+Wxp5d1GH4Y*{boM_O+3n(LBi2PJ(wrGNsdEo!1j=;xi! zJ>9}%h@)QQ=qEIDfw{A$gL9|e?o{S_+ir8&N(V|&oHq`@#n7PEUv_j9-0Yy58 zxX4r~vaVQ^J`_WQ6slH=JQj@W$u5&E$&U5p0FT$<>pflqqG{CiNOYjKQeZ57bWQo5XSx0i9zo zFD^H)-OaSeS%y=?Yc`lWVe4xL=$o!ot9h~hb}eV4=e-ueoo9FKJ}|oBg`v`tE_c1t zkmbeW@ijXbK;WM#qU?S*qW?8!Lw$C8j(~?GWt<E(+$0M>_Lu8!N zD-L6r(5lZ6_?`^hR6hRA)8p}O(mM;QoBbCK92#)h%q}Cv^w&=4dpHl0lt^=t&*k4} zjb6JuQX9PD@p>N&qV01XTuI;p*M0$sQLl$sa)5nqZl>VjXPEM5u3m0V(EnD7Bt^F3 z*u}7A9#H{H1|yjvR3R#Z`G)cfrESG{^dP8`U0NEyGZD0lqU<2%*^l)=Ua$+(4#YFF zCqhA~P5k!B8|Ld~#*GsMGbF!QkYY%JzIo-*MWv&|X4bI(OPk*_a$?WxU3|~mb=%rp z8Xl{-aC}d1k=|=O{L#d8X2L^_5e$TY1KgX+34p#J#{q)~^}EN^*ZJQ%?I9)vc9&l! z)j|r16My`!hlnh2vxc8n!J1~c~CmXCK|azWH}FKqqcy1?sx^kPgamTw*lT3DHyzk zGfyrLZs}QAKNc%Wl*+bmTJi`hQ5pL?Kl74nDhrng(h8Du{Ou=_q0szE(8Pl+GQVsu zpf6otn5z|lruogKw`1x?W19d`FXy{BQDxsA?5IdO4`t1YQc$(2>bkZpt2}3o)$;8{ zcsmd3u3~A%l2?P-YV}3fdUdYf7+YdV3?-d$xv2T;@bSYqZgTD;=$mMhFB)^<3+Q%C zR0bdm^TRf6lFX1(Zt^h^pt?%ay;QuIHMJU-yN~W9Xn8FvOnJ5C zmYgzgfz`w{CY;?Pub0)ab9PyiI{CvQKd^Q-&^$uMSwz!{DRY zc1v5VSUAF!t`Xr$+0e2+H8E7I^b~ba&p~|?>ZBAIBMF?l&o_34&63(a;jes3Pc{Xiv3;ArFR&m|>1LD-$_zP}Q zy_qFn`^o0}p3~A22^8{G5wfWbv^=!i&L%&0<&V%hWJ|Vor5ADMh>u601w$L) z=j1{IXA>7t__A^ET$Ulr@rgVblYtZVELaW%Q@r|~-pXZvOo(oQAP40Kl?0T{Ap|$M z!V204-jmRXbvkonkZ({t5T2VNGD+MKCe8^r;GLKCm4yl{OR{5p(is)6z&zjQJF_rm zhbZ+I*=67}6%SNSN5pkMqe#1-Q@Jz?RTISwW!+wJRDWk8c?9 z0P8`)8*_4hs3R~@b|cp1YKv(W9yWg2_R>fP0;_4*8-_^a_~e`{52d$Z-N7~#m9x3L z7$jkW50ykLYf(Nr_ge)JO9E{J+E3g)<>T_HmvftANllE3n<2rI*-UP^#%d<8acJ4O@tHjJ%3ttAC#0IcEm%s)f?;JIkSi*MdI)7_;>xN#S#8z&z~#8z zq+H4I4Y8c?^m-vieQ49Mm299}5BQCTX6{khr&;-iEB0!ocid+L!0@`Y;d=x_8Q~;D z8)*jTd9PI?Fj*|<9EuaJdVks?+|`|#hEY$4!OFAUe+%VaSvKA`=8_Q4xNm#iKtPzF zd9*XPl->Le7s#iS&7r*3kd{yPQwQ$@;VN5bedsDasUG{;fkN+>QNd^&UZY zpjD*NMgdejMK!st;MtKYOl#L2gWYXNGqO(_D_6;X&dszlixo&ipk5R zZ{r!T++=(Rfp%lqEi)i!-?J0t%|k-Fny`qLIMP{AYzyfkoUsQxM458LkTA6yHFRa$ znZB%J%AW3i|1nI3dV^m6WTLi2r?xb&5v^4rRv-sf+WxUNs53P59rzzB^r}Z_Lx&H* zU=`$lPbU4pN*DR~y^FP>^S_V!{zaIFi&DEBC==q@E7}D~{x}jo9bX^_=~(jg22aH! z(qgQL&`DP!cAHKBE5e zVELw_@7>75ibZp1MKheudBCUO0ts?#J{2=YoEi&5A&4jZMSJC+im_cv62zW?9c>|K z6{~P#&?BcHzpHlC3dvxJw+uSgK8^S&W>DT^H{eJHNk|TfXjE}yKvCckBY!F&o634! z{|xWh!gEiB1lEwyx_?h8@rwvH5dOtyUzt|fJn0U9x5TI^4DZ$km!uO$v4xX|r;{NU{g$2Vbvv770vkrtJ%m%0jv zVVX?&62`-}U%!|exus&N+p3%bn4G^y^)3JOGu`~hqv7v^yAA)~e2tBzxjrDlI{|{X z4&|aQ6hm?Fr^Sr9LyJWAd6<5U>s6l)LxP&qk~AQ}8<5})Nbm+Ecmoo=0SVrK1aCls zHz2_qkl+nS@CGD!|0hiF9*-ehcmxLml0XIm())vz zr*ezgGKCrtqok}sU^D0^7I*T# znS^b<{?1{jXeYFX%dKp_J9+uciF1`j7dD;8#c$JVv`hfsE^N`Yc_yPV=g6+G6$Zvi zOa%54WiYW@mAU(i3})V+ROe`aIzXPU#Q;VO>^M_Dv+`c?-d{?-hSQK&NVB~Fe}Y*? zF><&+6^u5$F#z184@^pgg)klghI-k#EIWZKSmTOdL0aIKiaToYQTADW{4evU1hwCM zFd&4MeZnfo@q`+I6qJ&sYKO|BW=Nl`iNg$30%a)ha-djy?^q%mG9?Pk#h7bNal$UG zmupRsyxL=MJ=Bo`wrNGq-h6vxy0 zhtyEdm;mnw4M7tx{7x22%?)~H)HEv-4_Ce;lRmQRt@(`IK>)YW`?ils5TZ@JtP>)r6`cvqm)krXr25F0#WW)s)O2iwM`?>NBMv{W{l3t@`~O{vwsPbeko*34}N zf-X*qxd5wRtBs{Z&|AAUCaw*#04LEzuaUrkA(zyV4dwf%PofpNGFz2RUi788?}ajT zK{4EvW&wrR9H=w~OCeQ>80ceOTYqIX?TcC`j!Y_yeXF1+_3Ed!OgFy`^%FqtY{lcRxs zL35Om;2sH{_{omxM!F4xLks;{^VP}z5FXRW@@T%y6i@@1qTxY7G?JREc}#_4#?OdO z@hvoQzIe4vAWR3!-*Xd8W%90N6uI2->KB+!U*4jzZXi$KNI7D(K9}DF2Mb_bKs)om zhkd(C%NoDwf88zUUVp8hzu8Uf2YuLkjP!c*cp1)Snjpm<_ktBF+!%A1#1`Bj5$G?4 z{ydCHvKaZa8jXAq4IBHLOLcO1q4Qckph(tjTc^OZwyv-lNS5Y`ozUmrr#QPt6pDK= zP@qoYNT6S7b?&&wd3YSv3apAW@RrS#A1|x)UTvV>*@g*nE{Jm} z$Kgz#!nneStNCElL#CW8&iV7pDPy+_%8#TplxD)}gWnI55a2c+vJP3V_^KU;m6D%EVtL7sI<5lKY+>Fd6V|{ERDvrG#|Kap|s@ z1+QNDzPC=|b-fbJ1PvF<9Q^8gAd6`B`Qi!a9XK3m? z1^egwMEnrRk}{39+TqgQTcHDYKDAm?YHL{cht9ut#Fj{wp*;72*HI1_b)0DH>r$nZ z8>xAzc$adO63&18$R~=N4Tdc@?>kj(>{(j)6nl|dI5?UX^O;)}B0Ve^y^Qmda<8!0 zTGN8k?Nqp)M7A@^1vdT#JB&@4JMoX{qL6~9+$#F`w@(+XEufL#cFMo6nxQP)`r$0g zy*e0APTgg!QmlFWM%Svep#{T93ORGoO%`c86flzs7gXN|H2cd+V@gE5#>9WA>` z^;zA4OOmYv=BAUp=;xa2&p4JFB1lZ;otxSOes4kEhU?-!It++&Q`YqresraIndggD z-)-SIW~1x76x-NUpem}sRNMEp!K8Ad8o{&R^@tZ*mH1Mo>Yz*ewrRa8Rc?4r+~lo( zPRnn1V|(a275LDbT|j9?)+KN98=QB=Z4q&Gdn}U_4Ac+6JVcBo8lz7^bD<4@H7+fE zZ?9}cG?_YRHY~zNV1hRMp&{d3j$XK_(nP%)*E%a-n&pc*eXX)NU!#TNj!tnfU}tXp zwAg7@5Y0MIJf^{Qcz=}zp-UbFg}Y1Zu^0lSXF68@B)a;8Pi=J`WirJU&+>9lwU}lv zs1QjH26lMCV*#J@9rPb(djDS3u>XVK$N#=u&&5kWj^HvW>K_++cRmWSKUsvCTN_!^yZ)^R8!nJACcwwHaB+tL0{(RLp+@}e zAzD?}E?)rEhrWA<+@>zQ0Z)N|J>5s4@Mx?m1ZzHY5*z|+eUunkVD)zC@=6gCu{{gZ zbc*XCk%vUcp2K*-cos2kc#7Y1eTdR%8>x0Hs+cv8K3X&Cww4J28U6f7?QDvc8*J^+ zHj0->&};LKVEVy)2EP&bRz+5HXZ|-ee(2X;1yw_gx9zTJVMaaS7G-vC40mgYVz%0( zX!jjp8g{79HSW?r;JJdBpkuzM`}VhTATq*&B|J)~h#$9I@iE3@EK9F@WXq4jb_Em{ zTP-LcN;(LpHwB#DS3=7Sv6rDj3n2_5uSDro_uR(P-x<4?R!*$L#EN7s<4f`c?yuJq zovSsmB}>MGXs!ekhqoL>IYDRbA*LWY>tVi@uM2023>#Ft8k%axG%jEikF+O@3#J?l zNM|t^kS4)axn5+RobJ*gPvZ`- zJm+1nsTV#ahiJBk<%&eBN30@IbJj2HGj`Yon6Epkbv;%H-{k@tal2#4+@r2!iX7V!caV{x-(>kC#Pg{edx#p|P{2tEq*F_5VdX z#pI8+381F{^b~-e@}Eaf@%p2^!9Ukw06zubrvUsEfS&^JQviMnz)u1ADF8nO;HLom z6o8)s@KXSO3cybR_$lUpbT$GYr~m{NfS>{pQ~-hsKu`e)DgZ$RAgBNY6@Z`u5L5ty z3P4Z+2rA@2Kn{Pj{Qmcw0RV;yz)%4gDgZ+TV5k5L6@Z}vFjN4B3cyeS7%Bim<-d%f z@@MNPfTHqWRZ;m9;g|n?xzPVDN5%G!P7VC;msI}zt^`;r_6dv1ww}_^(TS=N*pS1t zdWJPJRluHNbjB%Bbd9$FO9f!5{8zD5{%m*hzfV*7g)RN0@u8_yeP}9wcA@7#c`E-R zS^Hd9+Ub-U`NLBwtm||!(sq?|T&mAb*OXaLA5>9GE*LMx(=}jR`KGj067EhL-stO~AcmIjvyZdaLvI$-^k|`9uG8{+M z<%EnHSJ5WgsY4}l_M1_L9L0G{utX*tYE5}v?|@y zjSfSV8q~mF>CkPaVv}e$8kmt9LU@h$ zF3F-5x6A6;r-G(4HksC?KG}Y~_H47?;Q32CB_4xF`KuV@+%mB}J+kDFv+-Y39-_RK zYEK*GquVl%mk%uxy=T8Xt$>Dh-i(|z%Ez>w)f#sf`1Tjb6QADimTmYdX~o9MBY+Vu z4eOJevVr#PGN_7PmLFXoBV?nD?Gr?1jVyzJ58e!R+w>3Wcl4>y=9{AOiNhGUjWv2@c@iqH*q`9jX6r+s6mI0co0#honjF7^%@=XSZL$Wdvc* zzq}KUQe_)N6vu(vBT>X)NRJDWpudWeor6*GnQMubY9FJnELbVLN#}Cx5o_m6C|n7- zc;*Yz{9yN|7Lhzx4dyn&)5*C62)jLj%TX$Bl5TytXJv)UU^zmD8w?~V^w8tLrx!jj zHhY;mF5t9C0+L=>;gM<-H6M>T&K7&&-R?J?&u?KP`hLHMH{YLh&Mn4c=*^}7T~ryE z4*w8U%%I6d?cv@R&UDrUz_w|H$P2Fne~ezYIzo-|uk9#mwtxk-;y6W(pw8P8{ZN<7 zB(iOc&C5~H{c<$%-7766Lb5r`D?GA~=tw-iSZ>e~cs*iHs13j6#e_ftDYJbZ!COG9 z1%(W0jxJZ1$`Fi(`6>Jtav?O;074s+ngq#(cX#*&@Iq{Aqq-na+lC}uIaZcufZHi{ zbk8Yk&_GI z9X|7Ir$g#(Cn;<66qy~4=BFS*qKv#_S%WTnMSZ- zc$}6AX(QdFzpPsQN@lw~5Jq)Qy19(@U=P@_3)-kXxl|rq&admlL#tJGa00a`bni z3hlp%D#{ukqRQ0R--{|58*O+EKUcYVQ4U+>aETL5B2i0dhqzoIy>ymW5KiaYepaF^ z)BO*kio?7Q@!lP~khsuMwR%R0a&tXucb7Aq`9f@ufWagp?tkLNL!>i-F_XD|lL|ZcLBaGj2^LWF<9D;T;JnLNT*I0p->aTZ>O;F%E_ zv-wI**li)mXwTIMBF=HI!B_|m^jDa2;#(I{!VHM^2r{>sN?2|icd}aWZ#2zYkdEp`Suym-q*5RX zB~|%#k~$Nox^hpQCxKuzeW4~C?dvxiD4l=)NpeI+(-TDsV9?&kI)ld^HIYLzMqmL!&14$j=&#s-Ne;~ju>I3UI=#Y@k8@RJ>!3^TBoWY zVbfg}@4{j|^3+VGL|EPIbUu$w3p5QTKQ=v?DOd9dMRZB3@M*wWNDu-q_JUfx5b7cQ zo2TN-YD;YhN|D7w#)A@D6V(b|z16wLA5k2lk|9{tI-SYXz!yBp@-5q#+QV8B7XiD} zV9RYBnDYqrKxoeJTP~S#s!drHd^hI2SF`Y9y~p+<9n>QI-u&^Pt7l`*ewfA~pv*GZ=f zRTyvHZ@#|Mf5)lZT53xldWGO-7s+j;TptJRpyfp-E_`O&l3pvuYr6Owr(*pkW2ro( zzUfBrisz2^gZ6S&vyWCUN2fx5@vC^f*Txka&2aC_37u``%mwmooSCP7I(zwnYYKa} zzW!9V$qd!82eP;FVowozsmf%g_luePiB$%Yi?^t%D62K6KuyRjWdVQfOg4f;{zdAgFtMM+Kw&c>9zs_4&<-P_=cwK zwsTn)VJYF5Kmx2Ea=snrQon4WE3TD4#ZCm+Y2*=ewJK>DM>!5U?yGh8>5a9Udyq=M zK?dLBov(*~`kFY#u8EDqvVzCy%vccm>yx&-#hHk*1!|pCK%RA1FL=`{_%3RaO>n)teP&vbRWhA z!lQrD7qs1|)^UTef$t8#t({wqz@b>Bea5k1 zElwjcK&oG7Mf56jK=z_`Hae|n+osY=Aai_-OD4S`z02Lc z%Y{p3C*qN8mS9+UEOpmEiHd*EsTlv!qX7V_;Bz7@13(pmCh2knqv11mvRp#ULTYBx<;R0H^{0RREw008{~hDgaOg0IC2$6#%FL0962>%6~#oWeGjG zy!j)?w+jgf$l#CGmj5fHijDlL1mfF;J`5vxZb1dpwMpN2`^8+}}Y;qo`Wo8e~k zU|{oSg6yg$#oIU<4rD`G6aReI(7#X>g>ag~yem;gU5JbN+9(C$R1g?mjVlS6lv~tk z&Y<|0sddaG8RBpKpE{NCSe0I273aSAA$B3qhVSF5woN>I+Y-wh)cJt3k>`QDnPN0Z zTR@VdRLj?`=h|=>_vUr3JCz=xy!mU}!5*S?^CHp>A-c~W+uCKfLhpG~TIHWkTqxr*^ zP&cREpfF8=4AEi0GYG0<-j#tE|Jn~ZPhNX8($loKVN_DxpC zXTrE+M?Rlcc~+$#A3Kj!iq*i~UMk|iq6~C+B(OD#(-D-$z}AT)MKWqQSPE>ZQJzdS zcl(QmR^^!c(X)N9wlBmK=(j^o$*#%e!2Z-5!sriHrG;MoOM-!J#aHOo$j0JWG=GRY zLZfdd{MogbLR5hog0zxK`?40WTpkky!dQa^XcFBq%a{R(H)WKi?pTf%9SSP2dczgY z6WraKjK=iBom~k-il^o-T{Va;J?oI`@ID8{K4ym}J4ai4kE=&pFK0V@w@3EQp1&NN zYd^nqn8U&Z57ps+MVu{zU1~rw;R9ifQhCH0!mQPskmG<(}=7ts~Xq{vIH&ooCFz*AXnD8e{HSj z_dIjngkAXZu&%d|Ap=4w5ZcZHWdq-c8tm+rZs)~tywEV4Qr+EjrPVALJ(0;|h*S1; z&9*6%0-`6&;lX!+*!uF0t@Ocu)cMX6;lu}-(K^?~IGSg=@ch^F_|X@4XC{9t{v~S*Mqs7rh8!Tx#Uw>{r=J@f@76k#=zBzTO z^88CtW(BGc2S=N%o5`@vWAUO|HaC?X$f)TLsbE{aWbOF zGc$17GGq3N*`C0HnO}2R8&w%TL-nbl&F^k+%%ts&H=nbOR#QU1+foIKjQDk@H(7fu z6N^?=M-4~qC_a=NcqW#GE*la>FJr}rMz8DQP89PTf>s3cTf1sB% z!HH*g#T&VV*>xXLxj-XE=9gPWt6m%d=$%vE*eK-53FChBwxHNU&utI?jdwm&vUEL^ zQFA+-QOR3_TAlOF!3CDvMpv+!6%Lj~|6DF#vgzQu6IK)TNIq9XLHJMY-o4Qt*D=s9 zwN#yq)4+0;v#e=OxSums-y3HtGy*$|A?Vy+wcPN>+{^g3<=Paf>(=C|7M;o$bR%F) z*+2HBi#@?eC{UpXgjQpfSm)+r&MVESZdj_aZT;df!Dm8k%HO3Wd$?rhs+K8t)nG1F z#qEu!-wj{cTU^F)f-O6x4A`R8&(T9Yk_51<;8tuh*DW&(hWj8T1@_JIZ#D8x+>Sm> z6t0nwP5pINyc^;trHQCREtsEUA-q({vz~D`t!TB$bqQm#Cg%z>r9#3QzSJjP;mn@a2qc& zG<{cT_QiNJt)M70CtLFk+9P5jnJrB7-g+0zT^x~Xsh6_z6Z-OVMZ=;ZZSfTz&E#Wf zE>p>m%MRO^(E+YgzY+d%>i+LV6vsdMh5GNy?*JxB9J{)Z8g4(pL;;v6022jZqWr&X zqWsx93ZS9@RFwaZsVFLcV4UM>?DTIQ3Q7g01sKl%K>z&XsA~W&$=m)z1!9H#GbGVp zt@$Sj<*#=A2P9FYYH!RcJ7VVz4fyg`WC1m|EOLm=Kz2#5WusZ_R(cCd6QpE`l)|&e z%ij`GDIM*@nC*-r2<96d4`$A84^E$VV!Fx`tzkP?KX;YP-}|;#Hr-y#S7&^Mz7&Oh z{5^iSNzkpbExtUfJUz$4l>!$WGwOtwG@A{-mcarhTwuHWM0kgaxa>Lb&OtrQ$t=<8oh z6ZISZZgho z9YK0ueMZGWgDlnXCAC1{9A+n!b{5K{#Y*y)^rVA~(9yJhRA?=7lfr3dY8CQ4R?Wo<@I|vwwuS(?fHW4H*S#N%Yv!e8D6~} zCNnmn#u%79L5trSH<#ffi|(hu$**xN4B;#sVPJX_pgF^uTOkwCK20)-rr1{#vg)*9 zhmzQ>nsEaX*%PPv_MD8LBBH#o9yIZP^P0L1qj-jr3T9rjlEe3GDvyy^CeRtM#y|?^ zP6G@SfPn%qPyhxBz(4^QC;$TmV4wgD6o7#OFi-#n3cx@C7%2b$G*J3GLB^8dfPj8i z0R#PssDZ!cBmYPJWXam?wxNYQykcSKf;&{WlmB%7;WA4f5qSX_k#9rvu}I!E z;q5u0j_!0mn-hJS>I#JAs|oQ<=9Y&9zG4#T=N(l|EIQ@dSQw%VJD59-3xcufy18S$ z49-yw1Of+SbApSW(BWax%V~D`JE8siutC3*U39-8FRcB@Eh@ z8Uv!O$ztL#cO9PJjS2k9J|95l|Cq+WD75sR8n{fm)Ta#u4`u-K1QuN9aTymW<(0ju zFjMTX;f*6efPO~x>EeU_o%b0Z^>$Ru+itlxBi*fichKrR>lG2@Z1lN};V4&DQk%@~ z&BE<#K$F`_amCB*1vse9yScR}wF;|ipk84!bCdOX3C3_S!lA_>qrVJ@2VV6}D`k5V z&P0j8mP{Yl4@+DALt-C`PD3Af@D<8OU)eot{1SNt`8lA!ZXeV4X5@Mnin%ov-X5QvjrYO?IZ{ttEv-8l`-Ta2##PJknT3t#*%&d-T*z11 z1N-K8fB_H(s9Dv$r$bK;&il{9H$hU)o`Hb$v8n~L`=@uzPkUVPejIg_ygi?Xf&Iu| z{LmzsA0{>^8NWJ?(v6S^l-1t`!>Cg^z&7iGf;eaAV*k;F1rv_`7c$o zoM7$E`*iosTrVm=S{Bs4+KxZQtmevz{&PxhxT%wiEEO7(gcEyPZY+^A!)4u%nlQ@1 zB8UO0Cx_%c4JOE!5VL0*Xwyk3m@A+Y>Bpi$`@L*y{5M3{BU`kG-iNXj@rgd596;t<`Hw-P~L+AdYQ%0@6qT$IlvV$ z?ojZpy<^uImmN`=5I&LPK}NLMT>VUc@VjX9JIVF#HhkV!f?q!*Yotxk4u2Yv0$Xh9 zetmZOoqQhGo5)G@)QT)-C-T_&qsw%CzZx9KnSG}I1%CN!9Xrs;`ev}RkJ5U$~HWqU;kjeR{Jd==k8e}e-iKd z7!s=H;uqW7_0%~e)DN_B*FAs5b01M$?fevC!}C6r6cG0k=J9}=KZ5IsU;(3yN@T5kmKvDcg#2bBeC}AKs zzP4`d=Uw2Dsk&Re*2(e`A6MhQ2-3I_#vJ2JSw9jA^6I`dZOEaXyrj>|fkVre%7}+0 zH&cDL@UX)}-lgWv4QvQR0y^-EO2cC*Hyj#+vlWrIQt!3hJ^~setbB0(YqpO{M5V@- z+}P`C%kPZW-lQPQ&H`e!3oI{gX9jJCL4HnsozIsWsoYoe>JRu@ zW(R(*jMOpnsGgr;cId}YF}rN(qH^umx1__P5-AQG$?CM>IE<63c^aXnaWlHNhLW6? z*#F>kWFp`c>QaAfzMzF1&>e91iCJu^-+C8`!v5XJ19L$&G=TpL$PL{-sc*^N;U_ew zpt7k*T|#K*);yTlW$M-;U#7br{Wn<~rev@}!g!&y4q9PLdd^usQuogB?AsrSwn?cY zz+yAB%3R3u`rS{3Z_6GwCkxP&o+YV6IM+%mkoPUGV{+{d_{So?(5h+Go8pVMla>52 zuQ^^=ovW6(c6GsJ3Biu1@(xX!I?`aSGpl(C97v(#k;s)+l?1b$X?M`DZacTpyP@^o zF4FftgM6xp2+=^>KN8DEpIcIGDtBca?Lpx8wNKR)nxLIHvk%T4-CQpNX~kRDF@WgA zJ6_tP^|hl?>Tt{qg=v2*UK(59>8Ho{oCykrq1911Uef3E632kw-Ufle*pT&62QNZ6 zMBVxXLm?w_F~9}(*DmlH%=DTl%t>IT*J))`l548-N29G!7+#s;i%nXI)}v<(+CW?q zt9?anjSxXD(SxnM4)KIV3BdQh4f9Pl(zVM~Vn)&yz8sYhLkx*!tir))ZBI&Oj4S#E zC$dczQ7x;dj@L`iVC&hDVRCu6GAha?XDI;3|D^?9du(Ak|LI5GZYtELJdDDTWWLqg z25xq0F&a=vK?afb9Omy5iZQ>GO_E1szTNt=dI-SdI|k(>qr*d2;+(VQf+MgcHQaXE zY^JIdWPvYi<%vC^V^A3Nth)4F)lz4 zTzUjV89gEgqBRP8Ru)9-YNl@~nl@2t3m;vMyKu$2VJ0o-btqA(Vcs8`cLCDPN{b2} zN&vzWZ%_OzC9^XtX2qR^LFSkgnC_^twAozBI77yys7c7o7oAJ|4pSaH{c2{wMX!Lv zK~poy6q)9;x&*l_)+9vTRouSrSp@T2bxCAJV$5Hke)|O%#@{WkiFv#shmZH0RJNexIq+xoMmn`s{@U3z+6ZBoKr7l6T~~RXCxp0ck;l6CGk<11t)aGuX<67-qYJV zv{e(12?bt4R8m=CbqT66E$*IFWR(5FSWDkLDoS0E1MqJ>8>+%3`_6-2KhB~~?Ed(y zd0J3O4GBkDlyOcvsk z4GKcx%ruT>r9OGq%#xTmS#k^{>q-fVUH#ZxMV^hs{6XxxcTAyAia&bicIq zJ{*DXI)l!TRh;Gjxr{S~jpQ$%ZFHn$`Ai3`yx^dBHb@Ss*MbMK1;Ykdg z(8uE(>G2uSpP)Ni;h~i zHhK61xm&qktv4SFIt*&)7?+iH8$kD#9vsQ7&{{tvj0e^EK^YiirAilO^9`34Vq>Nx(A!yG}pvlQRoGicIg}INf>SP(6HQ zSBsQT88n@S6I@0j6plf&ea(m#Go3kO?0`HNzEzfJod&6{h|F0QCPW&mCac(jhY2D& zww~lg3&WRP(|(beO%x8PoEaDK9rrZ$7o) zkL4mj${(A>AF8?krGxP=4ww0*r6T4nkbeq*T(4=WYWP^)LV^VZr2U6l`d2*1e-kkN zMdQ}fR&93}5koG2qsCuK<9eb7s@zHx5v#&QdSbMOL#asyT4NloUM}aY+uQGaSJ4_1 zEz7*-xLMuB5^rEYKORU^q}RxHiCgL!$gB@=|!$!nH4mq zDxq7_jbXoan;6A4V{^=IS%F1ctPD2DVL7<*F!n99NyqfMaC#>S8)793rA0|FK?>Fk zYz)Hp9w8xiF;IiYqoKhN@lB@{iFyGYw(OpB3mwFB{wX>|d5D*^&om%ZxECE&*zi>^ zESD(UxC=M=QW+C10Ti#bJZ@NOBncp3G{n9L^0R#=AoP1w@m+IG?uXmlVBehC^zP^x z9M<*)2pIqQ1Pthp4i4yVT^QPW(8q| zl$~s|OH@hamr<(TBxo7!+SkqW6NU?IV(=B#F@$ho${^59}Swt>)UN<~jigyDbu~>;;6~qK6c#Zm5qB z?HgH9amonLE>{t_Crz?YU4>QmACWDkI03?L0b#d*uvZ0>W+q zVYmMY!)|x<>Q+^dfq(?Ffq=CBP*wSNF}MG!q{OpwnjgAv_Zu`~mQ||3;~_5$V4VMT zWC{)~EOglkIuX;Mwdz%X(Vl?v?Y?`{$(2mAZXYqDA{k){RefdHqq|{F>fzD_ocIV9 zmyNvAaQAIG>_t<$kK{L1Q^$thdkcZz1RkcPEuE?XO2TYR332GEFez|4bqN8a6DOo22WBYrIFtTjgz()q z&(r`GGQtna%bOy?{}*o5*kFl!rbzlrC>9?*0e3hC!`4riemT3YH!Ia!N3cSV*$5fh5U zb@b_m19AjH#^x7vMGpcQ#3~TNmGU}VA8E+3kSQp^m-GVxB)Y2gg$lDb?^WzsozSMp3)P6TG#9y#Qp7N!1AFFQnvNakBso?4PuBDxW&hCpG%ax(b}pK6I6 z!bnt+f>VgZBc4=I$5N+)Zhd0lkdXuU(U{TXN#tBHfRfXecxfi<7ccz;jVFLxvZ3Mf zsIq=MgNSc|c#_58dBBhFOw89mFywUm-swNTtgk*)Z+3VKZg#vycfH@e=ug8My%G#>q@tSk>($%mAgO}0g|R{%ovnhp6ar=#~uE$MJ$ zc+-!Ib9qyAD?{2iReS80Xv}<^Epkw;1QH{I0~ZzeJs(q0*D-%<(zOg093n)pVacQ` z%69kHGZIn5lZDc9gJjmMI%#)CCKDN42H-LTrm@330@(&&n;ic5POri{49g2z5X+0i z9A9EDG`_tJDode0g>u^kVif&eU`HSy-^jk1tw1ZOs?ERRfGIYc-V;Q9tvlX<@X^8 z-YfdjX&>$#;4IvEexFYso|rpa2P+D5k`HmNmN`1DUBVPToELbA>vWjGC$R_}ak`Y; z$AU}14ppDPLX%@6O#6039R1@SB>0izX3120kU5+@W6KrpkBs^HU5r9cd|4hPnwhbv z+3ZbL++_$G+@14nGEaVidBI!6>Uh8oVDkuCA2BKjD)F1v-olC(BSqA6n&gQaf^)(r zq#>0$5a+=7gy26YwOqS9?D!h1x#XvqVh%;lHU>ZsZ=D@Dv|xvtZghE84d2Qm}<`dw0s6?Qjv%vScHCIzQ*=3h=9Ag7C$Iy4#%cshbZb zSH3@Y9+g9Yz&s2rSQ~JV`H~auhxjWr)^Dc%=G&$G$h`Aoy_jcQzT|ql3)UEn660c4 z;Mc^I^g_00(6A7~Vu;BsRu$)!^PhgeLC=Q7B`VtGr&8R3?%!Zn2n*z}l`WfD?0E`ria0goG&u=Ni6-)E5kg6$5p#!24_f7krhbO6=qo)5R;OgkSM9Cr^<} zhfUve0xduN0Zf=-Q0H?c4=)EK>+FhM+m!yoc!qmK=il!h+-QPnQ93(##7;@;=qF8R z6HgJ+EVfSPZxqL13zejD&0D%rpVPKyhwL=sEQgK7IL(H>^U0*n#=m6{2pC1M_%W9G z8`d<-aQSH~5DbbNJgmGgBsMB_9G#Qm@_|G9tN)XYhTYM2nS|V#nj_2WQk1yq-TUAlC zLQ5Fb=)Jp+DWZ>Guo-!_#A{uk_9-jM+=qfp`A8$ABexieP(+&;y`y=jv!Pj?BX^dr zD#yh2uB%m;By3$#VIf%I>B4h@=ZKv1teG{1W@8=IsBosKr^Br?U(QR z6s;LjfvoE(O{-;$G)k14id_>IrN~i-mH>C=>56xZgqe{}Zf_y?IX%l&qq!c> z(=w*=vMO;Kl&e-hW5-#~vUV<24k>#*U=1nACD&)cxcdeglgEuO;nvVbhDz}J=Z52Y zi`}77DwWZWWscr!@e`X|r|JQMmuponal!s+=S1fZ>^C3bs|3GBFnszw-72HwmMRF0 zpX{}dqserSm{4>lK)u=vg5IKZW8fHx^Ww20hzK@$hW$vYefywmk_J%XOHV;M$~U9+@``U=QmFPIZre@dd)0a~RIaWJW~R|}isISOI!w$*)LJuCF0S)< z)@Ha%6|2@=6egz<_UkszE5F*FH=y%$>eX89eH+BH(5|#qDi2+^<&dXy8hZ?=Gc<(R zRx8KRT{Pdw01QkN=8L>B8Vt|J+o;z*BlB}D1vFa36+dk43i?~#GMta=`P+m*;bNRqJZ3b?dn z0=irD4#L6888i!&{u1yD5vz;mW~JOv@wU-SSYObO%Ev#Yog1A$6S5{4A}b;-4)VK+ zXMX2w@XhnAe6=ylyVF9xB8%f@{%sExAbsV6T<}%7QZ8I2Oki10;n_AX@LrPvZbQ^l zym$k#a@-6XKJ-reu&6>2-3Zb_dhmeFtI@22?S6aH_Igj`8F;SkO1udpwF?KAy=I|4 zDFpFM;g{B=g1Ou&8mqZA(yPKu`ApNkx>1N2X1_nkYB{F#>}vNHjfj;)Pm*25vo+N*)XJ@VjMf&lXH78q*0&ZK18%c+{&LMk&a7{|9?_ z0TlPMCyE{m?jC}>>jZaq0tA=f?(Xhxf#41yxVyW%1$TE3_Q-$F?mOq)d-mQ}^-}lk zzM&YZW*F&eoBsB%yFcx`pap6)UXLPE3W_m~P=nH-zf668NmtfQxKM1Dt0ZQbA*}qY zs&&PE#|Ar-OnoNU))%rr;2UDj8YV?m!YA*V&aobRbjmNHRV*;~4R2UBbo}h#ZTGQf zHma~4mS6t1tmjt^38IfU1*l%zmIkf|?x!eH6rZ$5LxtM2J6}jF=VJ-M$j!-hE3%K( zm_##aj*uLbBGg>pl;h}a%R5O+2ccYdi`yZF$$qETrIm%(y6+iQzfRloTZ?HdH?9&i zS}m`8S)#ZY%jZ)Sd*)!yg3vIp?fBtR<)uc8TNvzFD^(E6ox4ZWf8{N={ItG=3=cEe zqHc-Khxlad21vFQ0qAX@j#oVan(N$8E# zfqR?U9=4O{St%_w8II{z=k>Xr0E4gX)8{$ONA+@;#@*a?jb6(t1^fGTMkMs%noE*1 zf3-{3yV689_2{?F+~t8q3WG@B7h2J+xL4imMmbLjc)S4a*^2qHbt+$+Nvb&|aHoVZ z;Ic{#1I_7qf-7Aq7pkbyoqaK#5!7?uspot&0sYkshwC`+Go|tND@4EYuCYW~s-{D} z245@~4i~SiQ{LJ)clA!FYlx{6`Qs&|w!0nAu{TcS<92sH-~Qsm@EyfA)m@rENT$En z+yK(u?T57(hyoA{MRYFsDrO=}9rHFAQ^L|1!v2m215n*xh_W3?c=`K3(=DPpVZ{!d z@s?u@^p~tm2yslp`Xy#2+NscYDP^6Sgds%b=$0W4xKQ50iDlBqrmx6Mq`wEB>G&Kx zWTU&h50^VIq5a(Ak1!h+xN(>iqe%q3Esr*WW0%gss325$9AsHuo~B%6&}k65(w}>h zv0+c+T3v8#FB^*%&5D^!e+Mj9ak`YD1d@oPUD@RVr{0JuEF&t!lvCj3jsB17 z2wbtyqFLz~ryiH2J4)Vh$wQ>ym{Qn2?k>1J_ZK^pmFd9iTs08W-?@ye+(uB!ObNVK zLuQWl$2jC*3Als#4ktp?NFd7|N}3tM2>KnlKOEU>tdQ0)k|sEt1s&37q;iM%Vb(De zO*#_B!G~9wr=MEA%$FPrymTn6=n)E+n>Nok?>=lpDA?w z-+$=%S-;76u|uFgTZEZS@Ker-aXAlit`n0*ym?rbGlAPZad-v6KQ1C1tQegwkq$ks z&-7~LpgS=z_J-T<2kkUHfbj#t3Xhv znOLyk7c&`b^)pj58mf1Z+B*6)Lr^16Ld7(W_V8Aup4IdaW)V^bltKgoqg@z5Bit^S z+*%t@9MTqCD^lMmeqwo~6gCU~prg%4A}+KYr?%1PQ4ANY z?;4nO%nT`T=Y3`Uxa`vJN$w*J!BE9L@OyN|79`|}$rNS%O_Pq_;-{$tJT2QYNS}-n zefnSwH`t<@i7={`WyZ7JE5q*obz*1+aImlNgFZ}YVPrJ$f-k`AfL-Sn!dzKe^}XBZIhe=+mr zs4Q>2#R~5=UQX!7Fd8G}9BkQP*bTyFs}3HjxG+}c35%X8>kw}!7dLIxeY+$!6gmyo z8jM*feVNPaMe1n}KL3DR;Aju9t9L#>7*5LM^Ln|sqHsx&JWN6n<|GixLU#d&gQQCV z$K2{1ML7RbmxL)(kWc%GiwYfDi(mh+Pu!skSKzRU^{Y+Q+_83&b@oCU zSX^-TvMy*T{X~ab+Ars0;##3xPl&M0yTNMnlUsvPOvzYpDMreqy^h^{ zh36#1#0b*uFQiJZ+drtCh3B1cM3F>`26$GLznF=xlCA*Cp5WFp)hw-uZaoS6Gl=F9a9}3OCtZ=RM zwIbiSV-L!gNfZP_ROo1;f1*Q$k^m^ep5#Af2^C;SVPWID}7lee{)FO$} z>}FE9Ib!)-rG&;*PHOxJOL{Y0aH7_J@DyODJd=@&jyIno^vr4Zh91xWT-8h`*~#Mb z7olZRV((+1;~&%v3k{TfH2GsU)v(0KGR_S|r-X6x2M$q_d|J6|f~E9D!{YPnm?fx5 zHM2H`%Y-CFB`h{>CdclRr2{kn^u&c~b`3Qxu z+JNDRVGtH9A?fM)C)K z;sc%A7R&PQ$7I8f2YF$@u|5e&&xCd*)YaV6yXRT9j}79pWAVxLXuaQcWKs;uPm`$; zBUUQ3W`VNx)g^gv|25$@b-HZo!T2V=(nxPA?U zCVjMFFvi|E}1?pV*T7@7q@X z-v=lD#5wW*o?0L}0YoRta29TX=tS&X18-@iq5eX24R4XmQGsDCqC@nvx}ABQO6Kyr z$Nzfh#J@Q^FmKMz+OlHT{oCHS5p@udKVdoiduQ?{O3)kqb^HKo3APCVKE8>g3mgb2 z#Qxjfyubd8YD&EwKY-kJRt|lev5=VF-@2E>gC33#i;OO@7(gaZM74NOeimbAu^30k zNmK;37)hqK#)gY%927mM?^{p1chH^DQQpLV?pn9U!EpC|W41fj4FBcf;{4pc4&_}c z3aFfs_tIYVmzK`YBXO>A++-|aA5U92mL@!c?mY5q3>p~gCl0EJ<$gRo@NAQ8*LSX? zqR6A?)O?$DdiFeMI=KbI76oLu#vL#5ldk;&jg!m-=c$VGQ+sv6t-1NpFhAf6waWa8 zoSj#jb$its=N!YF5lWKKcn_)E2}{HmWpa{V%-kmWa8%Fd^?FsbIAM#3ZINKuFjnho zaFCH>WA;(#=p)_)ZiK5Nv)g7pjixbPJ*sPz{mn+es57PCsyaplF%Fzu0Uo zOii;EgKEBu7f)5->Hi}-&ZS55h!K{3^Vdmnd;^h#1`WBV{wZl0b$P{^Qii*7ao}~S z0^DKygWdRQEOEp2qT)HI>4svyu=rXGxuSUGCWM2}5Kc|A5pS(ckaYxBSE5|zL@yH9 z2gw!A3QmwO)_Fm=>U+M>9!QBQXCXxa5uC`h*rJ3c7$Ea~k+|+3>(FdEzm7v}Lg4Cz zl+^AqA^4nd&)Ulh63!{1hl(nc4?OA&^!2l`@1gHXHX2QpgL1v8s*+Vh43W9_f_P-bX(;V%cIIZDx_84M`FwblL2gD>7SKVUYDxQ{qH z;>q|ENReqZ1M>A>3U3&Z+{lM#TeLzsnE{Qn<-=|>jed`ITJpoO!^~{bcG$Rk+SiKH zLrSwz-{M-`djr_TlBZA=Q-s(r5w&LA%d`4+J&tB|e$CNrleeW>k<$j}J8B<}(6A29 ziQ5h-XeyL^QscMzz30#Irw#?Fe+Sd7JK;jYXkmRI|gm$hlchaJ?*Q zM;Ttc`;x2j4u84^WEH>3_|`!hY+!yz+N@d%(dui`k=k82vJq;}J422ae&ONC2mdcd z)%N4loZ-u>EPHrK8r3;=s)3KFMU=JZ9NWJ<)kBBrhz-9ZwsofA80F)!s`)cweX}5! zza{O-p{IJvyhPPamd!c8OPQT)#=$Y)Gl(?}9iTWcp4RNO6BTtX?Y>7Tj0_;0`r zFRH@+sm5kKgMXuMC~x6CO|*2^VCAl7K}z#+v5Nso@4i!_SY3LJgp;tSgg?{e z(DkfOZH3b!ZOG&@AsN&W)37tq_u^5p%=r=hbx_oRuFtt4V^V7vLlzkVHc_m(OKAc) z+AwG^m!<>YWH@ZD&dk<+E*q0`)S3{s(f&PO2^lN8T%sovW%cE0>{mrZ@@4pHmY6=2 zm#ovf;7~7U8!BnolUC;U*&=W_DbgfUC;7+f3JE$mN$59=LO-3;{-BE`Y#g;js!Yr& zL&lQ-FtndSlrQovc}_+MwgKQApJahjhgjt^vq;+`n$+PIb-y+6=_(FNtp_{uwr_%* zaI8MK_7;XaUxqk#pel64frV6W-#=8ZkE?HqUNII_4hob7?H?H0bH~E z4eCk||2WzwlGdo@ao7@jz?gITG6w+8($F4U(0S%cv@rB-BqSILtb33 zG7!-FMW;ub%R=xgS$cLdI8ko;+{vaFUwMTHj~sIaaOxzOSVSmwtdczX9#>!gLIOM= zOQl&wcC(K6#+*%=Wa+b}@yB$x6Eny>d%r$}fD_DCj&p1tLK#fh$mlNS9k;NkRSEoE z)%j9-dO}2*uV4D=OBR^+J#dp1MQ_jXqmNs%F3?1wa5*M9rkyR|6kEPu+DPH(_mz^- zEAa+S*X48gMr|!`?vTYQo)-}5&vZB+*TUKh8?JL9_FtNh+RrfRiK^4jF{YZM#;{zd ztnjb>tV*SkCW3|j^*LN9{d~5N9&B4UVf{gB1(WTeSYCojW~piwE{t#%gCdIK@UvRl z3VvxauP4`gNQtzOvsKyrA=(wSPOkY(N6}M;%*C#QwzKv|HUqQb)S!l@9}nFcQMLSa zQ&W9b8f2%H5vP_J_~yR!s+v-5rxWWOQuRk}avqA#uh3#i_nU3{!JidObY=Uyp-sO5 zh7H?jaG9i%`pX*ooWF=9Q~f-UI4-}Y^OWKWG!!y$4wZ6FJCxx^DA(4`l*@PXw;Lb#& z)3Uqc6#z%n*~`enYCS3RwYV6BsYUeO4ck^F5mhc2Q(;qD8qTo(}lF#5*-_N zK4Qet8*x;aBHTX)Y=+;@`=XkX8~AA~!y+65Mq}FKtKou0FGy48iDP!eAuDT! zJ_Ly_#tHq{&g358AJ)uX=P)aRqRe|}6qW3M))q#o;Erdig8$Tv01;Ll@xh5`UN4rP z)F_Oq^W(n998#bl%2b4i-u~x|V`!=Y*5Hn=i5NBB4qrXGhID_t9J8CX?FvL~&l&c`e=N+_7&L9#-H9mNCfbG%ojPxHwgMIMcNYWH0;^y_@^m?(7)>x$k#ljA{K#7Pd zkjs$E#O-`!sW#LT){=VPX{~9hu%X#QoSM?x=>ic%IB(Gjr_)*Z5+`f5fnEVvTDj}?15xX8Z3!zhG>L7Rbi6B-$ zuxKHjvd;3XZN-nN2hNU}?tBvvtb`;Ca*28}4cl+F8R&C_vX_MG>f`n!;X)HsNR0C% zbwOJKYvHt6PveQS{-480r(+~?W*kxag3|!IN1uO;l&4)=hL<9XGi9Vi46#xLk0It3 zT=W@PTCiR`gOgw~ZhtT}#c*^xjm9De!)o~P=>(uBAaAU#t<}csdAE!7dcFC)(|k2= z{PNKJqkf};bzHp%)qP?6rE-9&@aER=~&};PG!6I(z^)mq-1;h zcUxzp(+q`?)~8&CABKEaIZWF6*XAVng0r(w5-EH4z9BXOt2@v`JY;1|8U=?o`woPMRYPA3!mcpaj~ zcu?5(VLUx`CN+vVffk~ZT2;zmA(u=qU?0RE`8guRi z*QtJZ;D!1u@x&KC3d=qf8i@@s@1{CRc~}^MlhtN0?T}}J7T5>uDqwp|GfxZ+WOi`E zPHeoFVlEEe39|}+CRB{A9gx)%N*Lr9_Us?S$rXPKazPt*DoQx!O`u%ROZVW3eTrT z+b@@q`o~EHnuYn6UCLO5w#Q+jOP%OEgIxVaMYwCd)z`!(Ddr_e#K^?E!7eiNW zb}z}x+rbBc-KSw?_$20Cp%PXX%i7YeiQWB)g8dlSwF>H0A?M4v3*tER54=gc9>|iZ zL9Fj>e5X#f=WF7;Q!L*lTcj6yV&V%DGgR(AXC_C{7AX1k3q68tx|=LcH=dq$-XV zon&-E4^uFY3O-`bHJ7B$t+Ec!6e?WeL=RPdxP*3J(#!WcOc@d;;BGh=562o?zXVfM z(~x!5sB3+^85QXUP;2d)1$fH$RL&q$k49+c7F6^({y=pBQ4Jm>2}Wfm*L zKIaS$HiDa{J*RZpHPZMd&Qfrf*Ebx51L_w^8Q!y|tmS;r*$m5?Ki#%+!CW{uEkaCb zs_lx&QP_LaIHzUNui!4Dgxf%CHeq}6Q+F;PDy))y>;ptbD2k{4cC!{TMJ^Vhb{!wV z3{Hw1l6Cv~ajw|JQ7ix1d!q)Qm7jZ@$qmm_)N`6_Drg@qQo(Bl@4<6Vv}Hgyxl)b` z$$V8dsS-lD&c@&o&5RiWk#{BgPJMet5+{^4M;?Z=y2kUYb#11!`oABqM_G>$sXcdG zLfpBm%zUG)Oz*;63eflAQtoPjYbek~#%y9DOpN7 zV^oCyk)rNs-3j68+cMc@+y1$EZ`pK)!^?P>iZWL!$!OQH!_yuCB}JVh;Ec`bF-e`m zv~aZE-mCt9zS{%wH-?AuW6@3{t>KR6Wok9}E2mevM_ z7GHp5gI^gHC1rAM-F`RLN2h)+5&+4s?-XX52my!=Yyby)g3SGc2nvX3{b{9hbYcZ#&ZlVu)}sm4A?m}C%ly!Du3`OL z`pUlK9^?D^fGdY}q=vp$d3D0+@A9>iSY1?&-QVJd8b~&9 zhCnJ6FDC=Z1|Zq+f0%6elkqo@Z2+JNJ+0)PKFythWs{&_=eVN!8?iiIN)Auwvt9xq`s z193T(<(*Kj)xrbe4Lb6IThA*Sbw4|^c*9GBd?EXbZX{gDd95Prr57=Er8neYCT5I~^(}EM9Soch(yK&wx1*YRzeA%; zsn|?Xt!h#-r3>fw@OzO86vfKBj?#BGyz;DgVQS>P4=t>>g1wb|*RwELy9I@vR|N=r z;$c(Rso$fEc6vab{KYRMUz0|i^`}TD_-M=A&5yM!9#wbdqw>E{&HMXOpJZ+cYdFUDb5% zR=l&brunrDpk56SkJq0+P$+63*Jc=QRB8W7V<(Z=$L+v8;-{59$BZLX6T)AZlANC^ zstFrZ{Sd;;#D#sJli5L!`CW`4OZXK1QR|5es?S|7DUPRK^FoF+eFb2q*4dHJbaG3UY((SaMWgznmW)*RZxfFRH>!8;QPm};O|`rmp|7x{d4&B zKW82OMGtYJ;cmS&fbzQZ3;hEI^mkP+QWGThepW&$2f;Mj_X!>nZgkLLnrasJpBnQl zYi0P{jg1C(;^JsIJn_Q7Do6Xr^o_l)7It>G1Kw)~-^A}wBb;KrcYoZ9Zs&4y{&hi2Q4Lc)5ScLogJ2m`AZbRpOG`C<i}dOfUE+pM4vdj61eiab}ge(gb#Nf|OMf{Jd!@rt#NPpWh--OqD_kgVhWn1DxzUVOPI7uHC zehM9yV@d3WK*lA@=Sj6V7oUJ8M(hXz>P)|@d-XcZAx0`9kIEA&lPD!iVuwzZJxic? zn}A19Jx;qf87qZC-rOG#E#g7Vdw+jjbNEy*N8F|t`m5)#eP>VEJCp<=?(5yLtK2Ge z7Khd>UCFILLr(Xs$OstG>r1^+vg)>ndramwx^M!7FDX~-8PdyiRDUrohj<@LmG(pD zA)iDOs%c$e92CuMl`FlJwpU_%C}^o1 z%227D>AUoInKvSnH9}jS``3j|Epu2R(}W0DqU75ZU%wKoK$0dEnrf#VG!RCzW5p2b}WGJuR!EyHiZTX{5-z#5J_>K~Bes z8KJFKR|h#BqdV$ss*pY|fE58VJq1BMgUEz~&=%h1gU}S9R>x5bN`?oZ?Z4zb#hB*S zV>QFCi17CIJA-0}${2v1wzGf zj5jTJocTEMS!nBH!w4!z<8b6(>BrHezZHB0ph-KHkn(=l{ankIzf<66;+Ava)%&_h z%8q`KceM4l2Rgkq>KLq=o!vKmTQ#6%_yDU*UQ4GdSNYDF$*&LEyF|LKCUhX9VJ2a3 zuOGh)lpiyxRNVJ0p0n&TE~8FXIF zx6R9bs8gnL6K-E8(1o{J4_rvAOxZG>X?|yq`?T!`IHsRwCXzW9IL;Jut;_04{EG0A z^T+280?}xxnivApZyB@B3!WIHixaa=>0e|GFi8@eMvk1{e;CZzTTw8<$+<{*w09*I z!wK`T5cy`$apBrfM3|Cc(qi;VcdTxu^jFcXmyA?bCeLaZ?IU`=6g3l1X*6SVwq|?X zYjfL{El@~CSsP5BS3SyY&u>BJw|==Ut$0G7(j>i*1ot5)#yJyuXx$RUvsh(m#5s2t zB3(myHGZp_mo{x`ATrDV?c82lX1~GJtiecBld89>j~?wy*Cb1CnjvoYIWXb!F{pV( zuH;LCZYqVXU*wQ{ZCH;{>UbAITwh+9m*<`jXC3HJcLmyLjq&jF<2Rg*!ZPpjhG0 z=7ioVi%R_&rQFb3%jcyh+Iy?AhyqF+Yj3jrmZT<|jyX5UV!{+JlNNBV_?znbN-H-d z3>gW)D$!o+-e{`lUb)g_g7DVK$U#|jc`Z|>`HbLOv#!&~$6v9T zY_QCr?VT2ztMY}r?2h;wFZ-%eaeE*-gN5FA{xYJSeI*jfQE_BR;r6PeZlNGoe;`-m)nXL%L3&i*B`A7dDBB zhITGVRcJZ=8244FmsxCXRP-Fd#&)7|AC`5zL#dd>fH&5W@QGX$;J0^MwY12Mwl0ngJ0`R;siF#IXGF1v?ku85RU3>w#_b{cIYtz#>U zW*4$6o8GWlJd`u#`cD%zB3mcMzJX`L_raxGxB$ipfXLSDHB{+Gws{^wEJ&FzfK@Mi zJN*1R?t1%W!Ws16?fw>x(DX@G)xy#%=DUIfw%ua10NgtnwYO)YJ_)|i7B=SF>Jq}x zp#uyjT@WNfD3``bps`Q^T5tFCyJ_tRlW-eG1nfeC zO{se80G09|SKoS|s;SFE!a|l_*Am8G!?3xJq@?namRjyj$$_wtGFkv_dz@WH(feWS z4Ub*Q_-MUfr`&Lsm;lR3mq)dbwpZTuN)lu`23V(!CxPV~>L2dQd?9T|O0EF1NtfEO z>itKCB;3gd`@h}y9~}JFEDK9)2{;NEHGI(XaD!brjhC|NcP0 zu~weShwoc}Yt;!-(XH7`KK2s~GY|mNTRF#z92ocNOXA64n8O0pCSBTHT!)^Egs`Aw zOy0_X(SR{rWRC_irQ+>_2!%@+J1t?UApsJTE;nc*M#jOZwiyG7t(LM_aZbz}dBUVD zs?ugzSuhcO#bXa4DY&u;3EZ8cM)M>ROo8{~ ziYKjuxQSoxMGCkA{oTlRNmZ{OITKDf2dd!56JB_%zfu;=R)wy}c_(l3R}OKZ2cJJ* zu72g9m2kG52zYDHl}IV1ODCG--#iHcm6I;PT}v^eFw(0Wo+q{G8W3{9hg z!4NXqfYt0_mBVj&rbBqv@u!tp5)pmo3wOebo6{o~U{3yEGRgpLPk03`Br9e}LamJD zZMWXepVRJEW$d&_7q-~J!ZI_YnVk`p2!7=BIAe7UA^TW&2#hOwW#ZRb5I@>ms~`Z=U=zg#uUJOg7<&pC#-re_t3H~= z_9g#YUca^SCFnHJi5}st*_QbY(y(52bBcf;8M}dqbkG3#Nf(*=aj97Yar-(7KwY)Y zcLaZYNEw5-R8xk5D_*7#*cobK!W!*K`Y~at;{%G`3T*P8Fc^ULTJ4ZM`7Pw%KBjN6 z8%oz5r+;}YDj}OnIR1CeXzSyCPm{q{r~KWE;_M+?Sj9slAg3&twDhg&@uv4qsWhC@ zjO}@{a6D_ua%(|tw1DUw!0serk|Y*?l^tgpbFQ~y;j&JB&pm09^H)_sf*3Yb9obqo z$`|W1X!2JTGEZS|%Alj4 zYgTtp>(Am(63|8T7kqHZ^Qk7aX#lg#5{Q2vIbSi7AWZcY;M~?Tv&|om89DEY%qX<&iNr~+2Y;Xl~pFeHjMq<%IcmJ|w)w!}$MtyBBm?(n$B2go9;Cr)N}bnH zc~5CDnjGd_Btm(w1$=aUaKg&6G|c~HbJb1{DQA67WgwBZ0~i~$Efqe)+gm|uiL&*1 z1e_0Nj;i9zhyzwH2duuodh~x^eQ|T7y;B#NhK#H~%D-b_;Au@--OR6jP>D$=Flj=< zpDjP<5;}e;-T_^-gq48Aayh&G~LEGG@;LDozpGjsfo(WfRCreikEe0SUGSYz6s(H&J?|$~5=2?dp zH!`_J0eu=xhrws0oAMV!QO&aKTx;dDC-vdvNI2GuLWRtOXo&&B=_Eh&dZ!tqGprCy z_q$gq@z{1=q-Jf`wgnYGMAl0~!J*y04_N)#vVKa!u#e~3X}7)jk)ic*K+0WAy9w8Iu6gukWo5T%-m(nq>NsU@#WG`_ zWn5#VnrnB(bsJ;tIMQ`E*4TBVdAg-$-m*Zd-n4b;>E)&KFtydnev!hYajC_Agr;rr zh&736+|RXng5fmbLF#Pr;S0eF(myT(NRa-noemEI@|he2#Olw*-v6--;D4fH{EH}j zM@_?eNfgto&b#+B9K>f@DW?jJECman#QkroYN(Wr5_KBL=p1nc8eH4P7saw@8Lo zkw;}O3K1lYR+f=(z{3U;8Cpqjr-kFmsAxV-%ODDcR?GOO)qyA27Nt#s>xm@GBA5V7Epm}bPQ zXO_J0##~}d4B%|Key=6p6uaZ)R9}iXg}f)1-&r>$zv60Bq{^tLFy7|I(WfsFYp?GfF)X#s4s72K3#O-0(pyr#pR~ZGV89`C-iOn8! z-bD1Z$W?z2!eBCEz&7pn`uyYJlkxDxv=5wE-LI~N1Ngx)jL|X=06}N0e=NB;)c$&D zg+HE0Hf8iR*uf5lc2;rSDp4MNx08kqj{$-SeBNTJzR!$NZJ0})PN4v!u$r`Hz~y&$ zd6OEVIDQ>-6UVwoZ`7KK_Frxh&^H5Si(2nUcp)O~Hjo0p=#>%2QrX*aaads1Ps}+k z+*1jsZKfPM`C~fhq3fQoko#L%pR~>Mw6U88+~DY!rxt zbNI&Aw8>AdqMC8Gy>oxx#ka9LJsv$XkfOAult}n0EVuTLN9z9F-mX7#meAkI@Apwc zj{kYl{o{;|2aj^#+x9LH#kZUJpPSM7m*&d9=!vH1=kuA@!9e~ou`3mIWi@Xb(n#L_ znFE>rE)(?(bpNyZzmmLUwaJJaboPiIdnSeJhVJ+IT0Eav2_f7Kt1%QtS;Ef(Yk%o% zA$!HfX7k5q^&yd>vibn{wKtk=#XgSbW??J^pE!eh*p2I^$8>|VL*d*xZCV7s5%zY>M? z0my=B(c%nH0@eI#1MoctNQfPElo9c0Xt0F6QfP%Ep1}J}+ozm^d+{6=MaC%i@Dg^I zdIa;fBO~%^{Ix@}h(h(-aKD}@Vq?UC<24q?0Lc{~xe`^==lr;o`*T8T|Q`lx(lC^k?Z+8 zAGH3^@?_Ae@A-Fe?B}@bD(t)qh9lj*#+{#|P&_p81D;$c%jwC(D3H=slD=^79$-LaouKqF$TCY;~v)cOi8kA-AO`rJny#Bf5m&1nB&} zEa>nB*EiVb&}{pmgbW5(`%I7x;mYOy9JDFdlLC_Gz|MZeM*sR9#4i6GJ{ z`8XXvkL5?!UYlNrA39$iQ&FiBU@N)nJkG4twh4>kP-J*8xpd$GU$V1;TbWR(G$pRW z;J`@`$YhZR4K+jcvkb{a=tDwKbdsda#t<$?q-CYbW_5i&Tz8nZoe^GqB4^h~!|FuL4a5WrH{BNpZN+K5Fb81AHpiTG8{R$g&D= z9%Of4fazmjG?L3TUEKdH#S5ybaVmT@xAHjh0NKo@Zfm)x$lYmrKcC1q$&=P}*X*C# zDmFS{Wo?^kT-3X?C1K^r)p64sk2oN+cXi#Q#eI=5(5r|Vt5@lwu(Nq!on-Xu9;zlw z^QCe*Jb+I3l3VL#$%poJo2)?ZeTm;XhpHKwIeP`!bvWD*KGv(NOH1QbMxUFkMuiY$ zm%r5h(?^o=A5R!o2=`KyC;Fk{sIfRb$NG0mu^){sK*xG3Tnr39w+9d*7t(f!fBn*> zi47O9wp6nH;Hvg2;X0Nh=tVM}pb+ZBy@s{Ha(|VN8b~UgeZ!nL>S{z03)Ro$P2iu{EXLBA!6vR+M|9WX`(@WpQu^AlC5HKZ$)8z0SUJ z?2For27f)?a4}LnHK<(EMux^Uv#FiMsW>i-k-$DcL(hY zh2=@}^{x}4Tjd&_wR`lC5DrfSDu+W>S&DF+?k$YU?0>Golk z;+*{@sihmHt`2eWv4=yGqhVW8wn1bpj|SnquKFz|wUCW^jaKiDS`P4Cm!iLRU(zHv z%oDZlY9%}LF&nfOEhN93t+r=dCJC5rN*!B#xn0QDvK8See5(+P26E@{l!GJ6K5Wc?ywe z6y>UO-YSS0x$wY*tq09amaxmB8>=#F(bsG7^M&^osItDT<+`m?8Nr7QEVYNJRxKg- ztD{j4--(;|R7gfEt>5(mNPTFgxN>CAOgYDMQ)D#evD#m9lu5cfAcbGcmr6bobWwf2 za;Udp4M5QGX~?4OTie|amuqSQWCn|DJC#5Pym<{C zI}@n`wI7sHHY9dro<1SNxVYv!>P$!zmeAEsB!`l?fv5VcR?$@*qMJjGb@=r=@5tVl zHn$&Py%7-!G|d+{Xon+d`!lV}7}D(BvU*(*L#t|~j#6R%a~ACZ@v%*#nG-ZFsj{8@ z;it4y#Dn6IxgzRNrgh@0`x1vC_~SJZoDKm>_4&Bphd?CkX5M?+kMM3-ysi}dxFbFX zM-9mNMv+l8B^O9s9Yn}3`WTy{LJ>a}aC>*bBhIBJ46nd5(BQCcDQ5h`X0rpuDQ*-3 zRMBpOM%369iRb6x7Qzt8qRcqlN{nOD!f*Q`*B}AR!i!>V+7!ZC$R|9?$DqZ?k*apJT z20B*Fuy}4!cxXhCk0_oJJ}}}8*Sfhx2{% zD;ohc2a&a}6+OMg%e{z9x~nTNl1HYGT85&Y{X#{oOm;0_E`%h0KTq`pDgP-*##HuJ z9Lw`DcbR_lO8m(uO#0%r37Sl(i-)kP-*gC9_?W0l)vdrifuS+lC%U?=Kaa-#(p+2y z%?ZUC5~Jy-vdECYujZsO*AW_%MC&-&M8sCTug*5|6k{nGsoEb03-z0@^kp!?Firh_ z!8^{D+GRZzitA74u`Q2+ zCHJbw_GzWprDs1;DMy#9T2P|alg_peRm6C79@`x#imyr{`q7lI%r`rsg?nhC-$sMA zLx^Yj6XU6s!Qz|wLn*#%_Sr0GH@??66fG@Yg~^q(r$>&=Svj7jb*qbpbdr{-QvQJwrlf65!d+g~;kSoZY99e+XkO`t6N7Z$BilNt|REuiR2DRLW^MbFQr#Jk&T{x4|AMMyd4BkD0xS<}*~LrY!z4 zoRfiTTJ(@Mk6%)mZ;r-5YB@LGZ5geIR%GO*lr8iyHS_O_+u2s?LMzfA%qDQm7|pbOn%=k~DG!%> zf`5#LyWI&n65d9y3vV~QKNz~3S~^<%uV;jmqGS;L_~8TZ?8CG{z{JkMEC99=kOewh zjJ>i@(jm@C1TqfuXP&OEI}^}9xoD$>Vb$)KaX$s@P%tUxff8QLbi{j{R8$Wh1!3Wv z_T;vwHO#XY~?b3qNc@F@k4J6To8OqA5>So3b4~19h|| zuWo!*lSKNCGCT*m_jS57Xw`95YD&Kw zW00biJ?^M|W?WavC#0>+tgW5{z`pjT2fPm|I^19tFO$qEqExzyQ`g(Fsha2}3>93% z;>oLG2;#t&Mxq;9Ul;J{xT>!nm^HJjAS9=^f8MKIHyFXW9%j7)vVKkPQW@ zo?}@aTNDzDQgQtH{X?u9Cl&ZlA-IL;fh``d+fCQKMH{-DjkIF4D~z$Ru+wW>r*%J7 zW*tFir%AtSLzWa+vI)<+`OL7|y`Kb~#gHyNg79}KUDACZbzM6+NXGA^jB=9bU4)}f zQP3;=3)dUMOX52JuoqZrI=uq;4 z6FZ3T=eUu=;M(%3;cbatH?^T9jYInNe1^WggD&$jQu`E8Q515M1xKH{ zG(2U)Ge-v+W` zurc{DD4GfgYd`rBT~~$LV)3O^$Aso^-9(4Qi%kQ~(Oo5S0WSHL4@Qko}mV$E^LBzNzflr}H5hfBzqUFU>C@cH-MaT6$F(yhJCK5b1^om0E?8}LW z=BFkL?Lp->pD!8bTh6^aqwwr9ZsSmxqSm>wJ;I>)z%0vrJm%2V*8U7{RlbUwnf`Ks zr=zWzp5Fe!c6{8+sbY1^i<8MWKu}L4LLhiw$;Vt9G8FqAwy5M2utBXVJ$m5>){zgK zcnH*QQqXqWWK_pg4unnqWVPyIRs#?%_8A*N+qI{FwQ3IX_YVIKUHOTiNH|LzS@Hs| zRl{Uzn-avl+my5WtF$2vwDFVUNA_I#3Zap99p>C6!}t8)T+12~ZzjzS#dgcE*`hnP ztd{w)6Z=n?c4v$_@eweT`e-i9A1fJ`i|@`9es)gm@Mgns`>T{d+}=LME5*b$OcHUgYU$``&(jgD z4a|ubuxWwy$$lza&@yKzHD1&zVH|Z>M~%e?bCP^)M%?X;JMWtfJe)Hk0@w9k`>Ix3 zHr1y;xLCdabAEdGtANrMN1+}mkq*WShNQtEA!QSCm^sA6~51gd`PThlAyu{wBC zY1OlDj3vZ(LpDF0*fYl)aJk!Wd#Syhrohc9_J|?HVZQ-&#($1(`kom-d>`7<^AbJU z-o$u@sgk4j?91?^p);Tn3D>xsr>OTo$%1m?1()W=WEokVdop)$FkFM*lCwW@4x>HF`CYTrTYsFE<% z5(h+>4V4o0Qz7LnD>ajW!M7yXX;j42eJwazUnf-MH$~5foDH?Y=-DvA?-6*s97BJN zqCaWh97EvauV{!`+)Iwlem-|iRay3C#iQ(;;$5Wjq1FnuqPPCHI> z_0d0R%P=K?_TOF_7 zD%CizWq)jOwFpi^26P8;rdA^}I~v%ulx6?c)-XqdrESjY1XO8<>MMRpLNdq$&y;3l zSjtxWTTk=Isoda*QeIPZss5aA*#SjwOjdHuPcXHO{9PPHr7a##_Cn#v@Wx#yRqLij=$75abBPyEs3xj$Rop z1{Zo6rr+S16Z;QlsG{>AU6_$hb1XjsIN`0XVY=9j*gt8|%H7JPHe15F7Y;C`6YkN3 z*+4QeiZ)ogx4mHeV~zdK?u_-XJGB4N@c6ITnZGC@JF3WAZ?VC8jaLx3(T_$;IR{yG z7Ksd6~@+ z?fmh?Q-_g!VkAMIj%mvtKP8XX(oC0;ufS9Ofz730pBBHKR_d_YS3L~C&U^%eZoogk z2Cy^#o7tIPn9c#z8Gt(TUre1*`vqq>zdL&Nrj~zmXOR9^-I-s}>+(lP|0K`+792j_ ze?V~n@(e(p0mw7|733MWU%7w!=N#-`+cST$j{w*+QKyRRq9HwX3^Iuf(PO$++yN;l z4K#4ZoU$M@n^6Mw9LBqG{Ym`PCJ#lY20%XrMj;rmM|i=r5v(xpBpnEZ;jQ^Qs0J6q z{S#G$>f*Nx35JOKGMN~7{nW$+0=qs_@6si8jHU;)R(4}CuR1MU<#IAE%2=B%saSL9 z@!*_-lN~)T*95keWDhR2LDi61&f&mCU&XOL54d#`ww$cM6~@u=e$Fh*W_=jp{aWvO zErR7FxW#*I(Zc>!eHV1l*1?+_TUF7V8`$jfvdmI;y{owiR~=x_0PGonJp-_30QL;P zo&nf10DA^t&j9QhfIS1SX8`sLz@9PwmBonvsL%XW;Q^q}0Q4DvJ_FEa0Qw9-p8@DI z0DT6a&j9opfIb7zX8`&PK%e<IZa_wafDbd@hLKYV|zeQz*KE@fj$nMQ%8) zgozLKH)TmLQ~`8`mt^2O*iv}m(5@tn-5y38`$XPx+kNKa)=_l!zGdBphptL#Pwvmn z0nWsJ2Wv;Ws_ob~rTOD2oYstfg9Dn$(@-CA@Qo80gJ3S5*w`ZEgJfvgboRqqdS@OW0saiY zp8@zY0DlJH&j9=xfIkE9X8`^Tz@PbV=k6axQUAWJ?ufW zmvT!}VnHj8PER2E60%^|H8^TWYZb*}ktf(3(^@NRdj&rZY*C!h?Jeeecb33gq|BKN z<~@4%obe8}w!z9Mu@PD~&3FQb>aH%AJ@FjN#;D$KbmX4xXde!ycz$(PKYo1hl}Sx& z9D$L!+O7KYMe`N1>NHDw5C{>@?|i?tLPNg|7XL3(UtRO!{jeE zlYeZ|{2)~-xkTEei~tz7SUxLqcN7x(mcLI_!RS0On`PYRAiPS3j7}7WRTz^;08Evf z1=stTv9>E0!W%*s3VGMP>Yg}5(ZrVR9b3DPlp_b?%5cnAsn{*=@a`wxI) z@_&(I@=Nz7f0j)CVsG;QP%=@4jY@ZYlT0+;B$Hp--}z6L$zMeMKeJ4}!!3+SdwU{q z*rqKkjKM~W(6%Kl`0RBwb)9z>>*LYIhF7azfOhs?M2AELeY5}IgMbkDI82Xsc?F}) z&0aqc=Q9>u4Vh&7?pC_2>kfpJM&LtY&LOVlWLU9LPp&kp0Wd}i|E!zeoQZj!EK2w{ zS{5jk0ygY$6jc4eE)HURWaW2P3{D+7@6TC~Rnc=uL?|&~4NCoVKhVLDMTc|hu?DOk z2daS&EU0fNYU<{-%uZAYMT89G!B%n9d$QNj!bEHL24f4Q9eukJ9F zw>Pxu$?kw63J5lT2UkBTqGCuAhP3`knu0gd=A)dVM9s8OH1nHgQgupr`H_@ECrw7L zN7iABn{jansl*5iMOw`95PL}2Tp|6@au1w%y4xycrl_|VUi7hf6df&o^1`ZS3Pq`1 zX4RwvywA63Rd>D+$+6U>xh|8S+|s=o<|7c9U;7}t32oC2=yYqZDf0Yxy=oZFcgeVY z2;9=$OJ3e~CAX&jmS?ODEoAGjn-T#x^?q({D|8tyl0BQ0A>vJ{+=FK4R}E4iUQZzE zAL(9HPip*WecrukCK`Tknh9FLB9kgur+uXo)x4dp)ILU1JP+N=<(Z599#6zNTT))=`w1?T5K+(3XB7zdow0M{uw!tAGQXF*w7Zr zUXKPb%ep3ZZ_ntGdxCM`esBj5Ml{K= zM(ZHk2hARHI9J(0RC^8eA@|d8plpZKH3pKT*>o}A8d)oPB(xP=bn_h}a;ZPl`HJpr zQUu!uegJap-tD3!25MUf1HR!A`3bsOZrZBWH;n~Go|OeF`z7B| z2^U4*LcVRR5-RBi9rUy65qK4KsXBeftSe{GWqb1biMYW`_P~{hjqxDV)^%snXr?}}@u@Mzm~!!5&jt?aluT{{hUID%* zZh7-wp-g;cww5}h{^K-d`j($~5ZXmy&C$nb`{pW(dGd$LItDklS>jTIDHaMvgcow; z$zXgaBlPHvusA5&X#}Y%43aXTC+Ya~m@S>BsN$SFRK}-?s)MC-aAVV|5esLCewyNx z@mYM;YStp2fMNqXhQ=uS)H#RcQn~c~NBlNrFCWqcm+2%5%?PW7Bu1(24bxxS+Hhit-16^!pV!5n_BT0k8(ZqsI8y$M|u%C5AR)I$%O6`pYF#jeu4 zSRzT_oOClk5v!`LbDv7;zMt_wok;cPEU^_@`|kd&RgP5ej!r975Us2GFtf15sD;o1 z2&8A05$UN6q2n&EMDo&ARaAS=t!m~*qDfbRSH1C^I95$Pm?ko19~q6~nV-awc;Q`h zb`EveD5&`9CEbDNneF9CEW zArhnbHNobPPXlgxaZd<{*n$+>IAn4xj1D8$u>1a`3a)iDUP$0tZ#*-aim9*tdUmR= zSso?d3|7rohMVG6ufmkDkCB=7_D6R-)95o5DE^i3Ypyc{o4T`1KM|aWsO@{0qv8x5 z`lD%>Fdp*-u5A-Vaq=X25$DPoeDW(L6Wt0bp8c(d<^5fUa7pk*4Vo0_PYt)C4Dgm) z1r6ofBYK?)lAXSfpTq+1HNOlI4=xKZ>Cc#~Y(s_{GJhoQ z^e~T!6rh_64v(hm4bH6?@hQJS_Dh`z1d@lqF(4KpFVP{c^M_JxtgLDnRLwuwMk0SX z9GopKyn?bdL~cVpdd@~%tE8kIz$?zN_Kt7Kcf||A|Cl26qJxobDBcJme8VA=;up*# zj1DvI5OqO%i*#l?cbn{BDeDDSY}rM(IhrLn|KpoDm$*01!C_LHukk(Ef#HEJYDuGC z7?-UI-_q4L30~akHq4S5#7gnuqVbQP_^>}YR%iH)rTeB|h^hLwp3$%St2%pT`-@Ar=CJkk0E}k^0w(qy4}N8(Lr2c= z+Vyz^Tc5Ri{Om1D{~`b>@`;E6Qeig>OYphH?Mh@x~zY0Hy--|`T6R+WDNjj32t{>nAI)xMv=%Dc_&4)&j8?@lO?pgx zH@-8padt{GOB)jMbRzMhIc-m(g@XE_hzCX4d@*R#OGcVv>@KHm#M6i4aXXBdCPye9 zHbXn_>Tp8663BsERps;TT_z|lx3(t;xWAiWoyT`*^|V`_p*}t| z-au|gBCQift0BFb1;J1Kj7w_x6M?LY#|Ulfuw21vL2c(@jqA0{(ViiBhV4>X#PPIG zZ}2VrXS}ZA_Tx!AIu`3_LqF!-kn)ANF>0EC#EVtOd-N0U#vLBklvS39!mNxfP02^R zz|XfRz18WhTuPMoIsx6oIN4ZfZafA#&+9`a23#e;^A#FRINO6c=OvW=3;kxXCbQX= z8mS4#?>c6rKK2ZTX8$0-(0^pj9*Ij}5^mavPifKy%49A?K3p zoWFqyb7{RsnWX*!o+{2_kNhYw!*iDKydAQ;8D&n+X5U4zWQDxZ3&SR!S5?(`qI=NJ z@Wb;$Ur)*GCmVW(uGN4q12Zw}43hSy0CJ6uEyKER$`khz_@mUp8vH^{QmKMKkM~Mw zn626fiYslG0L(^K60C0x11p(vDuu^|<^jq{&^XQdRP4w2=K!^(M3qEE!rU9pg&=l4{wIEp6=B(P(azhWZwpkP@(o`<1Jo9P+5%8p0BQ?B zZ2_n)0JY`+K()mpl<9%|O>J341_ILgmGy={xh;Q@SGKAC;kNAl?zR-H{M~JlKp`2! zGXeHVG)avhG0TbXk-BU@jm*=I41M>=0KzDJ;S#mh=Cb&p$AK|vGd2VL0Q33i`t{nj zex2QR+yiQ5Q4QgQJa^EL!RMqU{Y5j6=Q9jcq(}!bx?RUOsxcE@5t@}w2m_2N6-t|D zAMwLIrQW%u3-nmtI0y;l;Mow;CvBolr2)u_1r+sUOH~30xxgw&;o4Y8DQ1dh7F@^HQ zd(99dP@iOS8YE4hpe?y5GeX`ZuxV$ntMu3+tc77N3mY#g>M@i!I-ye-~T6 zJJGOuZ)*o`gEK`Kg0c7~@GGLlE8?LD58R?eaUk2`?;ddjM{kC|EMSXT|0cGq$@E*V zpP2=Q4QklkpPosP0pqW>KAJwg>@jL_%7Uil;`DuBSW5Zs$>-Su@>%R*NI2}P1XhPN zw|rh#F{B9BY>?kMB#S`7LhPOon9c(=UQYwuBV=(HLo=h)Cz-vNE8sDrPhx^70$oVv z7`QGaJK7}N8{S5OA@OpL;>JGP*z6=Fx(#sVDFVsGwFE@;F9I%Wwbt@_Mh57^Q;R=ZraVwZc&>B@BrwXO-JlrIA%0fTiK1@GO z7R?jxzUpB7v)EGjo7jT&o7iHE^PAX$@+P)m{UNq&1G!M2tTfSdUaYSA-e5LJD_cip z^AG}~z|~sk+3uw@YH52rRT$&b7gT?$I=BDp%V zjUT=l1`kQ99ZB>PmU6n@`08bN%c~~}tzxpP8_?sPt*zYcrEN&xbC{=r|E$3UIYZDl;k~wt|SdLOX%a8yLHt$StT;c?vx34d`>R^`1A;SRf)pa)oAq zR(zZc!r`K`!Mheej(}r4FIy`YL*;WP_MHg{(I{_Ox6k5D!?lj#zz0!dU1XD2EyH|GUfrchizE zq{(yHmzqKEQ2 zfw=?mTHW%883PtXNBiQQbaT&?WT~zzs-;L9zE@#=Pc?esY7W~L0-YKOG~zj}B~O1< zoeA@`0xn;5FO6LLE>KlfoST71WonR#XsqF_@lmL5*;=Os{U8VAa+1xs16tnx!mRrz9bT=Ye-Z6Fw|^eQoKq19pLaaAYCpH<|_0 zH{zVMm))HaauK7nt8mo0FRd@g!b&#eJ(lg@G0O^zS?WE>r+Un>5T5VFka|>1ea+SH z4^l}=sz_428Ah5cdrZa$1*0O8+i~B+C1dehq?W&;=nD0*1*VV@>N#fqP|IRy#zXA0 zsjVqkWE-)q{>F#$ow}uI)y%ayY-z^QVBv#E;sGqDk($3^Xb7Vl-jCbU->*kReY*k? z^7rcz|4g&k{>tO`AKife3TOF?BRT+QxyO!2=ap)}7rKiz5c)o+lX>Zxh?+6QjcDrN zE|Ue3Z8#|;Wv<~S1k~RgPzJ$M(`R};KwoV}-GL#t4YJZ48`;?)hA1O6*`u-%5(K5` zjVCnCZCu$ zsNV#sH_8T|Y~xr_&f@U+JGcaLj~Z&h&#}2_W$v(Q6SO$zySr$A%IDxjgfNP<2;Bt! zuV9N@8l-X#@8vL#)KJ%|E|0nVToO8o)J4@e{4B1ixJq~V>(W*MI19<7mb3X`qFX|G z6Z!t`)PxIiplKh7Su|HfdU15e=>w zgKcVye9WN1>+~IR2CysumgTzckJs{{k(|Ww=+VCaRe3Q!`Fl(?`jA0S6n zaKYaR<#q`}=3TA~wC!PX-Cdb~X_Uhf7S4!uR>W(@+5lUR0S6Y5Qj;h)g6({F-i;Vb z@d+{8*XomEbNmSeC1T8`3yi`J2nnjx$vfN_`Ry%MSWp1T0w7rcBnyCK0gx;Jk_AAr z07w=9$pRo*03-{5Wck07WI@Fa=N)*PQnP6J4(L~O2>o7>{9oW$R_uOoAd8;vF&5lR zq4kEERkJ^$E4qgP3y2G1Ju!+eUGP2wql&~3kwzJ!tYGLUd!=y*J0HFWk^v%mrIWN3 zXQs^Pm6NB+o$rdzVaZ1yLOC#mllHScWP#d;BWK7d_V0$9YbmGr>_z+Yy`2q&{OKsy zGYMe^e0@O9vdihE*PH7t1O(%ut>q4F+z)in3-iD6&TgLDE} zfzxOnYxTVIwtB+Id?b%q2SR~Rr;^EE*}U||0Nv({@pi7JA@1Pof9Fm1q$6dXlyMKi z*pZHM+xz)R9<_3>hqo))8wuhm<;)r4nTm?^l5_*X?+Z7|#RiN##_-8un!%S3C@0@+ z!tC*TfSk#ecRGWGRYdPMRJS8CBR$=vByDI3!q-iN8z~#ebR1S*)%h_yCxTUpzVL~? zz+gZo`RD@if#{DzvF?K2h|aiw(#ST$kqOZg=IERVQ6>ArhyQZiJa#HFnJM&zi~KMo zP7U^Ik7Ip2vYmb@mvf@u7h;*aNMGV(Cy*C-ZNE#Y=FN=_G8BBJJpuDm(%OVI!aDvho| zCt(IyQnv0p9GEWGNOn^hs`|dUCE8ZH-~So|VSnbGTngQB|L60t0Bf+ow-5iVdppBLq5Lm8Y;bj{G1%LE5I2(uB_`Jjd&>tFvwJD-&GYL@Li#r@?HNc%CA%l6WC$`GUoXpE!||rr8le_6xoxVK-qq&*d(lDCJ^-C|Bk@#}GxpajMk3xnCH*#Jt+ zbYH~UE=KzpF3ZUL;k@Mn>8brvXkR^`XE_r?@qc@W!T93UfRn4V4vxak zRD8odv(My%b!E)KX3UY?IUJrdsQ7BGZ%3#lc-l{buPSIQ@gbv~tyxdOF-^e-=gR6% zdkK#%4}B{QqBN^P5G_%xlTjR0d%_*b%{0GE<+gp;Ddb(Q9GKNai>;=G+fUbkVH%H( zsz8sQ;&-K?WU6R8`=T)kOmlQU3Z1S7I}muiY?9TGM`%WVLIz|}uXdLKH{ot+>O!>P zE^iPPeQx6$sK;6zJi9O4D>UsF{^Uxk2bxzKYGe!(S_3j{1nr+qm%V>uA z6T>VBpy}oHaW5WbkkT$T3;J7XtZi>(t%htRLCntNi5(Usjcw6K`Ea?Kr3oP02#m6y zR*dsztJE05vG^g~2m_zvn|c+9ASQq)?(PJhAXp7}U6 z9p&V)^$ok5B?}yZ^&5s_Mu)gQA6XMWvYSL#I`F}Ott=4N#L+~eH(ha82}(%VggrW< zUkGN>5ACZ4%A^B+G~REG@x4>`lyF6zLJ`tnG_~4Socz#kl!9PIn`t<$>ONFynA?Ox z?R~ZOB8t$845}yCdB7t3Xi(3}g&%0YH3wg1@0V=#Q6LNm(DxS~hTUInr0$U8@sG$O zToW0#7^mmwcy0l;QP@)oVDS#s!YD|en2No{#~X{e#?pqT^%hPP=0>Xw1^U4brXjEZ z2b8`}vopS}s%pD5#J4l~d>&Z3(Bnj@11=fJW}B&i-e9}m-oKCC79wg&bqil<&xwvi z$PoR52>NBJZ@`A~$#|=I2f<<|q^9szV)MlfMOtC`27vX?5Oc#XfvQR*<6V3)5wHS} z;3qS%t`DFg@o;-3qq~y6$TAm+^oQ^x;JMY}6whQ@bCSQK-LG4~wx!ryPB6(Y5WPAl z1ZjiKh=wTOj^{wIOJUVd1Fc=p7AZxj)>H=0)Z54tDp-+)N$=;h_D~Mh!~W zRrXX`**!jim1+!rP+8IV(uaoI&=o^QE#*~Y$*}EkfB~*ZphEN$P%BJbl56=^+D4Od zC@ixNfdyzxWCUTZQWj(z(aLf~BN{O?lmLdCRsVx31EUd#luy_I<3Nd zYIHSwAT2rY%!}a7i%MrO;kSrl?qU3V45Fb?H?qai_qZn_xKNoYqqDU#wiy$|rAbR? z_WMt77`2L3L?jy{y-0C_1?eSQ?vxDk9jeK6Kyh~8aqjPMSjJy0&L%C)eJ&PPO^ByE zA4Q2Ita;l`@M3E(Ut2CMnTv#EUHReNIGa=W;#?&?f<4JlAiIR4oxI~)`FTFSW8Ck> z9JzhZ_PL6ASR(QqF2AT2O_|nQsL{oZnv|kjC}kqawX`S_*$3~+4fdvoWW%;=`0Yc} zhCLmVK1~B6p(;L=5Rxz`iF1Cg*C07(OBd}QL9(Hpmz@F25kv_LsIQG%s(&c7@s1kJMLR@H{>^`=mkNcYY(PFiV;D0{z}T97TUhD5nmlW!8LDF{w2^ zt>s4tx8j5;Q?eDud3zA|YI=&58I@F@ep5|3k(cT*uKHclk!4Mr1*XelsARMu{!?n| z&MdeP3(|!*e8U!mf>JtjsT8TKasSE<1o*lHh-YS+HFlZ=2lEN;h)Wv5SpW zHCeaMA*&J*(+JVy2nhA#ah*3<9L2Wf_zW|s*UR_1r?8)7cV(|+FPbg|(sm3h zX&Dz3wyr?7pK*4d6XH72akjSoj{WREH`*~R=%0{uHq}UQ7~ieAhW4MnoO}P{Xoh&{ zBJFfoARr|&ARvoh{$(EkU2w)TEWRH|L+EdIHCN5Y&4?~P4F+-*M;Gmgzwg?~-tJiq zYgE@JmkmY+pbH1vsFb6Fbzsur8V1z_Nb|e;z;=tQnn!U_76lqG_Acymv}`Rk#?wuV z6q_>c(_@pyc3b>Zxd#OF0)?sVkZd5b59&t=^h}cQB|;oBP&mvZi~UM6LHj(r2#BlwTT^Y; zBq+5BxRD9vYh%&S)B~g6NAtl7A@4{M(FiptDmF)-gn$N%+qQxgo4tcF8qvxkW*YKn z4U8a=xbQY|r8GH|n^4i8=|%&f3jlNhfGz;g1pvALKoq z^5_Oih4lC$i3D2(Qta%ZzI>;iYYfwL2^?D48ZM%YMA}t24dYJ>?Kp&AY#+5!<*l^; zi-8OO-r)FW*1+^vW!?YZlLnfo_Vh&bg?Y{-5ZZM{nA@^@~c zv<8Xcv~^bi&cGm-dEf9w*<}&Vs(F51PPAr~>srNgWcTY*OZ$#(jwQFl>5@IY>LE zVKN$2rE|93?=j<5UP+S-as{&9-~}T#u$Xr$RNW<=(|(-NXHA5#p=ns}EmA%emzMLd0=nA;|c3_G!^3e#-n*B29Lqp()J7&H2fnBWk*>` zF=()cOW@kB-Ps~^c;Oad)Yely^Hp4Z?d88QX8`%8%wSE&4^4vs0ilZn0criJJ&BWr z^=CR8J2Pb^C?F8TQcM$kJUkOe7Z{*-p!*;|K)>JZXslRmQ6YXGS5#_SE0D-Nc8#`g zLhky4iwcWs<25#&*(p1fCt=Z`f7UCh{8jhTbA(|8)}R$lmP(Q5IG;!}zE)mfaPwfE zzBt9~tqktvnv(fi3!7uH?DNxA25K)-iK#SoGauRQL}n}b%#e}(?n%dBZ|Z^7(RY0D z$D!l*U9<1U3mGU|FgK4pn|yrFDW03@r=hQ0Jz%Z@YiE;sT3Q5&5>+HNi;~okih-8e z^^}CYV(Q!{7V7+Be&XR2t&paK&jK}u!O9(o&*5L~0(pbJY8OUe(9v#=$(y72da35e zeyahKE*U~+s#~|B=MDa>RG5l?C+I6tC~2&z&flDrm!-`Vov{yI3;lu*!Gm2A%eAu9 zE-VJrD{s%Q44M^G2NPPE^>KZgF57E3sSi{HF4=)GUn6$V{EY9;QKHCqbZ^?8w}?f1^9e@_uK7l7y^ev9Ar~G5UmJ|Ha9$a|Hj~ z?M9y;je2ywQHyWi4mIUW;7kr#`=@A7<-EJV6ngi`+IzZHjj(wM<)Nt_U3vn1_Q;-= z4!b^sGCTvY4n$2zrpJaTh8!R2L#d1idF^TbHFKV7E@yUg~wAjCL7Rnnk< z%#ZRC8nQIhK7mTyOy1bhh|=`#L}dB*nu!22$i1pAlV$W^nRs+=rSqFDq397bftRP zwjdJH>bnGHACgC3gQxb_!+nEYr4EZ$5#J_C)CV;LxAMZGYstHq56z(zxdN+Co4{uV z%=kC!11o#81`$}qIcE78a6g0Nb=uVBo%`=YO?*hG!Epb($T;LF+a zE!UE>CM+>MsS%H2_u0Q9rhz-+eR&Vbw*EE$1~uV4yj6~m@s|Dj5V<7|F=q>52OMco z#e-}^vDAy{9t*R`TH{?4XldX@1E9=%!diVKreyq6bP5@AA^mszxw!8y?XLWJC$UDnQRWb$=$g zjY5=#&kYZ;`PY|M1}br&OEF(IcoE$Wh4d&$`EPdX(nRehq^np)I-dRHt&)Kap&XV7 zH{ZLEq>-{AIo!Mt|4K+3 z(h|P%GSLKLAqk=p=i)((Zy!G&BKhFLHbFgz=ef@WDcK#xHL9TVFy*OWvt}3OdBJ>Ei>2}b($E@$>y}!QiCPn-yh2dW^dXsz zJo#vS6kCnDf^0uag8mOGP!iL?Jy^$;+;f)^F8hfTiUkpGVJ$+T7pPUv5L=UP)@J6x ztkc`!O~;hhX-(Rk=Ol9OB(lbiN(6U?j9-jp^vYS%=gV75%etsUV6hx*l-v{6I2(fc zz2<=F;a8dppN|kiS(uYt}M3NufxUNlLs5@vLk9tBKLU`?MyZtaJIBf~w9 zF*QUTw%Nly-siUI)ox+x>4v3d)Ac!Ot~zK&#@X5uZ()kMGb?>?E3#%6!d2xe^r5v5 zbc;Wq{J=b(K$Wxib+q=pFJSTY-@nxQ?MS1cj>SjtHgCM|?e@#gSq&@==^P9!{<8y( zW0ahE7e8X}={+g}<#t{KKEHr}=tnyf7(5bxOQsNwxkU%(If6~+Q!>hYo+~!*)z(`a zIbSM{PdA5x9Pp%7;aX~HRt_2@&7E*!^s@FaLd!!?2z+8-D%6IJTZuq%Gag})v=XLk zd_~AQsH()NN?*PmQJ&jcc+NPEIc;x4lYR3%2(ru#e~p4>j19cr#^ht77h0Ug|ql6bCw} zfsnI$Pto_T<|d3d8L}r5o4^JsMp%#A%q9}wf&Tr!_M1ZY=Yinbzv}z|Fbo`z17RT+ zg1Z{!q&f&gzIV}N;NwG>D>r1WpcR2jy|XI-4dIF z+CF$SLWA-u)YMWFF0JcS>dlWO_QHGBmQs?{d;KbqaCUDc1yq@8f=6AvntCaBsK7B*5fVV_v8 zjt8W)YwLOOmmL>v>@uBfHB;CQ7CN`WABi3U5_s0M&Dw5k<}4HBs1=?CGEAJ2bWHTm zqJ|y`wL~hwTeS7!X1T@!@A911#;Od&NYX&*(5KxFbp7~TBPp2Ab*N>_w#w%`0K>om z!@vQXzfY9=z6f-5J!uZ++?hk>Y2IcNy{;@nmzy*wUBkdEFCajj;N}Q>ZQ7U-){x z-R=B3;6*rG^LoG5uY<7K?jEQ3%FWC7A^#$`OSZf#otx@-n`&t=cS^QAC!Kr9dX#G6 zYi`$EX;&F1mBlF4^4Hv{xze0JKj|vF|9(b7zTsEe+l^nnv_qPJp0P>d5!}rVkqJMw z)O&DeCY^tZVE5U;miIb6AYE3_=aS5GS-le_$r0Dr9w zUJS;EU~I<6G;XG+mnYlgPp>RGM7L@QZQ}}k!P5qbIn%lGIteW=Lt;R67%M;n`VMwI z#w2DvW)2qvRy4;ysTLNOc@&H~KQ60HOgOm36DI`!eNp~OOxZFLN|-zSO<-S%==a5R zKOQ|KB~t|sD@z3~B};|2Ppyq7ZI)u}u#idc=x2WVy^8q;ttL#w1eg1KJU--iZnMc9 z`7pXDbqCiOBH~)~6^Och-Xf7(0a_+O^Asd?>$?)m`r8uA2^~vf7QqNSHo?&^{cm@B z`l3b32BJkVZ#Q{AQIoi~@u`B)$y`wr;?@`JY$UU*N+dJzFMnI%A65htvi+<_T#ICv zhh+QrB?dD)VgS-oc*68=3(4E7FbU$sAT0%lPZ$fO)&1yJ3)?Iu<-lQ`eaSj$eT#m0PiV~kT)SmL{g*T->rew1o6^Aq9g((Bn(fC+?N5%WbVjIC0g zA5}7mJ;5jgs=lbV{cL{0V44gwtdW|OYU1+i`RZDvL^QeG~`lJP$?P3RTWIbGDyQR5VgiQ&aZVuU+W@`bDP(6GOM+ZWa8dwPy_$A z9Ce`6H9u_$9?Wqy=VPS5)1n6cZ8_pkdZ z4qmer;>6(DoTpcyP{e~LM-*s(TeV=Y5)nm4D@Hb|$y!Jc(lHq!I}ris@O#s{sz()< zC*`xB`JMsXZ!1H-t^9SU5>cJ*gnGXf?lNY-^$zsQ)&yAgI}T*l^&K_V9+BM0*48J8 z$MzQz)-u$b0%f5Y60;86S~JLGQMe{Q6EQ*NuZu4;LQIs^9M_ zS;rBtU_`P*>agKuA%#$wtVPii5y43f(HnbS5?e)MlUHIt`u8{_wj#xIDRQvjWx z;qTAcWd&tnQ8VkeIkV1YL9~`I8k}%nlf92?g$x`FBQP3_zGbQCiju`*Y7FU4@6ja` zUlq_c&$IC@j*ZTB79}IRHbp9YdEYRPR!cy*+eDF^*udPdN`V`zWMokM$J7<6f(sLq zaVVsYP)GnxRTSwQBi=hKNm%WWCTd0}(t`4iiG<`PWa3Zyo4je6TSq1L=Z4ihLe7En z$fLq_!lH2B;hF5tjG|+N-kyZF?o_F7<3=G7|7208NVM*uU`v15wKEJc-Cjr~&h69X zM46?;V!az17|8z8jzmoFQ;O~iX4nIX8G#Ocg~K=`T!_%X6Cy<1uT6u+D%zEj1wLf< z4tWfe^&@jDxEWcI&sQk3tWtD>4e5^{tlj2W#=h7^Z!7)&8%rgS1d?o(S#?*85;K%f zIg^4UBN*!i6~cu<;zejJYR7(5PM1F-V;FRnHI|*?{ng>#OV(k#Bz^NSK+dpYydxyo zpu6`cLjERK#GC!#e~9u;E_w2#RL-Tjmm>FX&;S05lMFeRre2PX?$%C{d<73=S0zFA z14>-17%76<%XTZNR`Xp)a?9Oe+CF)%&$K1!rA`-Zn#YE9akH`~&FPwsmAWKaJap8> zEOycE2X^sFdQDl9Q)by@?|hf-b9>!32EIZDLsQ}x&lS{e*g&LNyfgB`s5)WK$pG@@ zw$1H*SPh0IkHG%vdRZ4wNou|Y=DPYpb245BTlI>5;PQoF;BvKA_S*y1QJxE(E2#o^ z5?gVkev>dvt}30SDoU^Yf!@wXngJ71RD|j>G=X!1%fn2L=$I*Tbi>-i5U|BSFgfl4 zJe8xK*r-I#^X+M9a-9-1Svj2zCDVX76%NwgucL{H=4={S^A>C+vleVmE8FEFyT~Ln z7HrgSKg#gO&Yz}87d>E+6w6BwpPI!DpEA{G80(j6MhCsOvs^i>VA9OCT&XQfeI?TT z(abZfR=YGOm0QxY`^*v_x^16 z&SnwA(|Y?hf2L~@X)f}>mE6ygnyTKndHOe$J);8}N{6x<^4vSa#}YegTtsl?a=oL5 z8++^&Gh3X~ZiR>tkmFgg*{vc!G4%Fl#rlH}v3{=QwaRNVv^!cQR=DoIfdzr7gWdZb z3e8_Nchcu*h^1lgtX{Vm=*wI@^hA5oK)ST~>R0cuAa{j>L6jj(3KeZ$0wM0r zKUk_xv{B@9W3Mwut*wxXXIUP*KgKvJ+WDzN)EWteghljD@5i=P;s4pLB5)8p8Y~du z7{SDv9axRk(#h*xn<^-rjd~_{&Z}z&`_iya5&2sw(r&OASG_Dnx#{{CBBH#72Gtl;6IHxBT9k1|FusJZZdX;=v?gtJ2LF-cQ z_I^Kst_MxKE>YTEHm0sdU#1syxlG@Danr660V53034f);2R`q$4XNMQnoUWRu6iAI z=jKb#tg3aqyXiB6L|iBic^8Z1wbd=YQ@p431XJ+0)444N%te?F~w^I1S*8)y99 z=72=b___!3oGYEd*F|~GoJPfS`|dbd91Z7;FF^CreQFf!8f&_?M`Mch(BMauNY~Zo zua+896<;ma)3yytS+57~Pvmh*WOZ;yv+du&vCcBYv?o~Gjcp<)^Mvlm0mmhQ7cxb; z?t&BfP8pG-kA*Y(-Wh$ZOe<0DJ0zfZ+Rrdjp!Tq9scF~V_|x>>sGgIG8`oPh4A*Ns zst(3}xJCKB#0yB@6js$w*nR4L+qV5B&~1 zSWmunTGX>u(}Zq#$BSKjS-yoONmu$z!cM5u@mIWeU@;t|NZZevth|9O=hA*ty4r)q zoQ9kA#%SVcn;Tsy^fY%-W0X^)sr|5QK=Z4D4`+6ANi1r4)wo%Ydp|YT#mwb*3zW?_ z*7c3-eq+ilL22zbYRGaa^Q5ViSJ) zkyqZ_32S1lFJM&23*4-p>Cw}C*!_(`|eWl+dY@4fv=t7 zn?n^!7)FY3cco2+asU-phuSt$j_hwnz0IfXG7M9`w#M|J!rA_sWg+`s4A!ymTxGWo z9JOY@+jGk_>OKSI8lDY2yFN7YZCS&^BNNr#*j9m3-JqgcojDwOFL2bTfGc4oWvFVf z6l3oP3-OvBYKk>Wc$RDmQau;<@nt=*W{HEfzU5elNtk>~X^?4BWWmUq1kEIUo;xTcxMPj~#%Zz&SsPcw>3f#)^R&UpqC2uPkRUf{pj#%*))bJrFY}ytH zX0U+m&HH#?*IeLM zx9Jm3UH1D&Tb)`Jldu{F_bapH*BwyZFDfa*rL*zVvb*`CvZ>sMvrh*pbz6_0Jh6uP z{OKctUgDE+4hL=DJIZl$?bs-w^a}SQcAkx_CkB{=j|OqPFy-fHcpI^-M$14USxZB< zl)hn%~&)FnJ%6j?>Y{O5wp3kFaw<0BNC+>aXxyf)g&Xk@Cdq-XcKS1T$H*C-K z)0-xYcu3m{uB`85Z>pqCfwl{&d9P!w0Nd#RqpKN=Wer^p9BbBbdXVS?2 zqbUPZ?CrWj((RMd*Gsn9T%WMDyAfAqH+DZ%xMX9luXM=j@f9yZ6#rmZAn< z`%4=aHhvVAubHwLbWZ(pkF!axCzdAS#Lau0)pBDoIWZ@Ejn+pyUQ^R)x~}*%F;1LK zMvfykjG^YP=(7shWy=H3D_+9K_tI!1# z@n)xLBcR~z*pH&AwyTd0?3;o-fsV`1Nv}LYO-ly=A{+p)8>s{s zcLGyTHpbGNIq`!}b$L%!RSp(C+kz=i%r2q9Vv>{JrNmomZr>T=UOv&lxZTVksq7vq ze{pI|ua|@3r&BbyKHarD`=n;`3Ndzxvh>IUZI7N|tXj;saxgx6F;p4sFLf&3Q7R-@ z(L5LZ=$ZOK6Vc=gT7oZ!X&)AZSmb$)XYROYnsoBb`vVtD&np}@D~s@5XTQ|t_z6+% zBM+}UuF$!=Oex&#=<=|-eTn&|cARUUXtOvka=p-k-jazH;%jR+;YilAJI*X5u3u;q zx4GlCPZsw1RU@vj+*)z<v=Tu5Cu!$`sk%b#gM6F>kzvUh5;X^y6fXj?l6iTDDbh*JcH3|EOBboKZ3G(rJ9u zX`J0MMD>2<0NuB53SVy-crQvgz2Vf<*N`2%EuE={8=0E6C@QK3f9z>Iwk2@l=#6^Q z=Ah(zBbS<~FN|miT~zJ9_hA%BIU`zi-q-2iqs-%RpJFqD8^Nk5%jkxC7PEKguik>uQ#Hw9+nqz&>^8ekP!K?>TS0A*T!b*@*m`6zpXfm-NR6 z6!iHIenv?#InYe)i!;`L{^-Gg)H{>+GN0^j)>;cz-dN9ZtEEnur&l-Qy^PapM<>1~ zy7U69gZs9d)$cwlen%BM;`M0a4Mn&Zhb8r9fgu_dsg&*{%!D<47{^j6U!na<&!5~l zE?%~~JLJpzJtH5!p8)$Ho0?r}6R3OaVT?t>hn7arJ@(S!Eoh?o=PUDh-+cc2H>*}P z`ePeUKK7`3#p^zB(WrlaU(4y8@~NR6>yB6$rj)N&5}|&Z)3|1>l5Bpzrye`D*PbmGSMHTG-l;bBIx*7L8kH$_3*))5?zpL#-3s1UJEYWtjn4CJ zQ`ot>MIx?N$}&pELOo@xEtj6u89vqyp6}yLy=`>%iNOyQQk9vCVmO7A-l$FWFQei= zax`>@nyA};v0D?@-kv;|lRWZGmXSs_*vszjlv>BWTSrYDu~rWscAYLvr8ha) z-^WWezYMEptM=M&=jeFnrLoIYeDTgc*VLngg!?}j^R~V@Bavss za)UAekX15MuM^z;kATq9m8|G{q3-CwcSWNaJJ|R~Rc*$We^KS{WLMZ_cyWi2&1c?B zxi<}qCK4%)4eBzFw0j${vJ1B|pmcruIGRg01;xFPmgy1L%grht_s(ZXWykex%Qbxt zT(4cGRH+lnUFfVWl6_QHhws$mBO=(-UzD-BuOfM{g-T1Z%N;w1isb2nl~yP-##Kvn z)PxGh3oxx4ovK(Gz{mS0zA)dh@-4^xa#kh(G(+{@MO&32LS*Oz@S)t=owW+Ar z_%@T>+bzqr)bbu?MRD%m-6!sC5wt|%vw>56(^GE_&0B_%S_wiTeh&U+=a^F256dk( zuMv`DCSJB*fp^3$onylr={HOJkR%-iY zKMz?)y1>qSH6oV0*Nyabx88mv zJ7nQLocBX??EAV;E%(v~-qn9ORjru2r@8d`je#aD_Q@}O^gosxmlT{wso367ez|kk zs_SO^iXBLn6`jeU;vUaj`K+8KHy)xxJt)~YIggC6$vy&De>*~joU zqbxOzrBcI3{O&Vw@7ucvbt=kpPe;e{1BO+MqJ9j?;l@R`oNvEt9ZHosEL0&Da@<;J zP3hqAF3!Mb@+kL=J3Oj^72GO*o6?JTOrCGfQE<XQNG z!ePPq@!8 zN~nvP)|VHLtKT$!k>seoj^a3ychPHCrGK(z-n)C@SFW&?*?tYxyj$YHCp6&y?rT&@ z84qtskDG*Mq~ftJhl+;36#>g7FQfNJ?WJ%-J!A?gb{FkQ7rdm9ep0jl5H>(wb;%cv zRrO}tb+iyDb;HhScG##Dm1Q-3lhdW05-mPCcxKJs;$73i}no1X6VOY#mcU1}7wFT0YxOuQrK?hndevy-?rxzLjs-H|e9#Akun zpnU8lZ36?RCno=Q3gdkh0Xc#3ToD%wrf_Co1vy_I-Ug0a?*BW5Q9Sj^N_EO5{k^E; z5w|lw`%eZ1+N>I|)Dyb~6lt}flwXYpC5W_qW0T?!&|4)MMa+B3jt@mb9$Nm z4hs~$%hKgnu1TJ1Y3B2Luf4UAu9-V>6I0J+LwAV@)^p2LdRt#LZ4~P70{phRhWIgw zzAClU;?XdV7`oQylbo6wHcn%DG|simc3o%VrL0Hq4$1ZQE`O`Jd64;O81aFaqcHi}b z=CR6Ai;XTlKl#5?7;h$B?0@WeS!rA^+0go$6=z8J_-ftDV(a>EbV~>}xS7jj(PZ2W z8oY5>^~^aZlYh3XtW$}4X=H42S6XLxze|^FmEP{NgQI%KQgTs0R1-4x)28{=3k{gO z*|yBEWf~n$l-V%kr-~qa!;<3>Z%t{xoY{oxS*}tA`iX~J$N8i zCO&Zd^i*Aoc%F6}HpI_Dr%; zPwH8(mA@liy61&N)Bhi*Fd{Y_|KACW|2u*4|JezQ_-z<)sV9X4W!vq*^ zIoD~!R5ni*&oc>CZQ%c?&#&(4?I5|{dvmp*v0b$YtI(TjkrOLkpJ5N@qmqpgTX$RW zc5XNW_^=fPB@Y#TU7SG41=zYc4uJjVGC3AtQXTi!!`au~!4Dl|6=>&tYL21fcxw@lrI-F9i-l36t)BAH4Nl z;En6Uq)7i$h`Gom-MrA#+AM%oT%2XT_j+@gJ%}U$Zbu*j!x~;Rk2cO;R(@WNXq273 zB)HAM^u<^rR?Zg=)tTqbCoQj-zGead<3j*Is0sLRC|o?x#pb-vz@PW|&(%315nspE zi7MfGSPamy{>i2BC(45H7rlJmT1b3t1=zKN{_NUlTim+Zg)hD>^N=AJax)+5u#5No z`NeHL!3Dl(A3{rDBd=paw6g@XB_hVy4#Ti#`y>5v8vMd=cK7l``~FmA0i58{ELfXt z1jBhpP8>WS%GrZ}W*R+jC5ZqV3NfoN3=3E*>?i@i>L(h$k_4AoCn<6uR{!$}5J2sq#ro>c%E<>h6Mmh_)4F=Kfd>))t=TVWAD#6t!)8#{c9 zv_Rb(I14u=S}+nfUhK1YVyeg0^!04<>%{Z zWot`l$mi4xALBT^viyTeY#0C_FBSk?e&XSqr^S2-;=y1g18tqB<9<$Fe8*2*zHm7i zB@pA4T_F5^$?5jwwlr#1IZq>r2bEKnJaT3!Att8`$#QNz87g1_%31uc=u}Wf5DzM+ z45@O~6~cXpJ*=`TXpo(Edgn}kds|;mv=!*uoxy5v^)ph-_s#1|aHv5ZYjSA8p~^>a zg~~x##c%zuP;oNPdJ{8}&v_rDGzh6X$SygdeU&0Pf>Tgw#b&ccu!J^(c+d!znvrvX z_LVq-?O+isYC?YAKxZq^OxvSD_v!%#vXzw8Pi5yU_@C|!?78e3Q!uM(03bFR0778_ z{NO(#ofdhPTbR+i=;FM7WfzfqLc#PtXN8E~Hn^ioY<ys^H;yd*Y_rP5^O2t zL1}|wVyjvI2bctmH3OKf{pPpFkt`}SRIWxBu-!=@EL&@h~W8+Z|r`uvrqSPUcWPr@T#oUm;f7y zeF4Pd2%ZB7;!QUUxIqsNmOOHnf&Ix}XFS01Gl;ehIxGY0)z3`(oTdG%z1=T#(rq;u zsTrZfa_Yq(h&LlA4wgJ}u1H3Vm-KPOqCxs|Yd|?~{!7jrB=Mkfl01$e?yd-#CwzD1 zbB^qC2HK#|1m87hOOFrDe$cT9Hg3CffFA(1exd>h4iTR*pvpvw4JRZXb0#P|elHgU z&lRk$COheLlL=NE(uW4BY!bJ5X077|Na8_*@>dr>XPboY;^*&y{GK2qC)lWyE+>yh z;p?$kdm!2-1o39%#KF!)=K^x3*}?Os@LWM=C)s&t8)nPl2eD3@<`|^lt2_?XK_Z}f z_FTc{uKx%XC-bZ~F(dh$_d!YoFYYG$eM~n9e!tTo7;Iti(gzy^*)ZZx|0I%lGZBn~ zosn~a2qw-In8L=chlj{6=k#(7X+nEYHh+Q(*M~M+@b%WM1AzP>O27#=np!=K934(f z0_u#GbM~tE(Cj111Hi{m@w^n|_M^DHf)#x1(ayNpIfA55=ju@L?ZCnEEOWo@TMndx z%+9I+k)*=luGC~U&BTznuTl8*M&MMrK>WPJX^N?l8Kvip3w-brPaj*t8UjTtveO2-P0w|sJbbNW z2(dfoG$lTEaVujUx(IUIqXeUY765!<&B0^D8K)Zs81_so%(={l6m_@QBB}07>WTz) zTZV@yW>@za;*3P#Mlh1n=UT0b5ARM2d&98vAyAQ4fEz&0x|jwp5-pLhAsAU)bWdW2$qxnh zF`MR}7Qjhn&G*r^eF*^kSPuYRkRqJKtV$reWW@B|GcvCoPf&3hh`4IZS+|x=emhX#Yw=a6;`hyuyDdAiIcg zJ52%|^x0HLSP^f&Lv}ji3jgaF)%l7U?@oz#A=dc`i*L{+AN)NmxGvku#}@7EMObl* z+vH@{)*Men+{Ng@h_GZP0;3M^pyn*p*{KL2hu3LC4S99KQK6mvo$b-61?ccSAWpL? zrlb%r(BH{{qazzg$YC*$5u_us4|A&cixb)x20=I{G0z*2Q<1oi{BfsvC!k-lix~if z&QJh^oG-3T#A2Mfki*CA+zr|y2hSO!MuO7N{@W9#^y@+7P-m3>`;HtAEjEE1^dI%; zv$qVtQh&IhKusbCjq5S}>^!G^I|<Q~-2%o^Yxy; z+Ow;GKDh580Dx=uiJlJmOiB3%8CCco5!!n~dj;H+Zjz*z{hc43a%P}4whJWg9CE0T zgS7Q@_W~O(0_XC%I{sf`UinIo@&=3e8JOmST4O9GjR`qUP-H(hl-(sqGTfE5irJNkYgm&U91w zv8EMirWqfpj2m_up%?2Un}AW;4XO)OHVYFih8#6va{{nwx}T|K`x`de{AY`gH>`n@ z+g7%X?f9h|pxG)1Ckd@#_1`EANzgwxvuGG)b_|k~ zGhG)M>?~B>EKGVLlH7l8Xbpd=i#N1~kCC6!pRlP12?JMX_7yrDz+$+PkRc;7u_Q1; zGp~NI*c41bhLJcbXSc5;px-T{tUV6yQ!{ae0)Y2WdAZ1-|J%5dfCo+@O3=QFD$07H|Q&{cJl7` z@K@rRQgyi*3+VN6FKC7pi`i0#keo!hwmBhxB?Y0mwmmQv2M~gUn-dZcyDhIjGX!&N z>KOn)$h6>%{^ky3bcEOv68NAwHhAt|bPyT7nTBaLL$UxdaefV|XclJGCuE5K+={>_ zgBO1xKVP7&y_>V2J3h0vfO@#e;L=v+PF-*^cpQAX9zGeIf*{@BSrYhUFlHP%6^Ub$ zEVcwb&SPSrBKzDAAqf83n!x8()j5zuol*Ml?Fk$@QW!aCGFlXPTU)jUIcRd(6sQZB z#JH|Sj+i8?f_DM9?fzdp|L4wEusN#-7ob9202Xso0y#*upNFKw^i0;Wxn?i%ZVHE( z-omkg;HIFt6f8z_J#vV|NuN1EXLnT4;nXB%6hYA6a#c7z7Z)=r;~w^~26q644yq?H zJGUXHC&XEiASKjU!DlbqcOu6))2@=iT|t%2!aOuYj+%6bg)4pB90cLRn(_&R4V}y^5W^%6&e`o13G~pl zcJPFMuNz|Y|8`d-kU|qb@X0Cb5X4Albe_drk-!Yi{lJ;eM9MJ(DBnG#{V*6l%#uUoRCm24Il377b1o@(~Hh# zr5DhZI8h1JH4C$?1TpA8chZDMfALOQww(Nk)6edK)d-)g#GR2h>oUb({Seek!-vh) zNa7K>X%ax8ZW_9U<|L-+4uU!oN9gQ+ngo8RpN2jpiN#nqA;|x4M@<4N)KSC7)m_~P zvd(Bdi>D@m8tSRx)IuW&QqSkA=cJz9tP(mfyjivQh#)o8uFgsMtC@h>Rd?7}_6w4f za~f6>kfDZ^AS~Xno(H$yBe#Q@X?UTQl>|ztWrb2=F`vc|q=cGQ5-3d>6Vih~)4Cfp zt?*tV`zwN!M7EU#&|NC0Mgzc7eg}?G;aQ7P%0#LiGb5{S-t9?eB~$bl2=jjLRB?Ac^Dhk4@_e&bSQ^K(Es7 zPC=9f_@sys`(GPY__VLdR)jcbH2!3a{8~#Cvm|XVH0)`uC zh!E~?SU=p>9~@0dwN2o81#DXIq!1Q!#}pw#fAFB2nVkve+zSL><~YRN<{TTrtto+O z@C6kSixIa%2+`KvPLi;n&jN(R`9EmNcM{WWjSwM;779PaBi`eMuu=)10#fosh|vq} z>FenX9!m+`%4-2R7l8%N|Am&<2y}WYc2EG&M<}qEr~efyt`^PUPp|% + + + + + + + + + + + + + + + + + + + + + + + + + 0x0000000000000000 + C_BASEADDR + 0x000000007FFFFFFF + C_HIGHADDR + M_AXI + /xdma_1 + M_AXI + SEG_mig_7series_1_c1_memaddr + xilinx.com:ip:xdma:4.1 + both + /mig_7series_1 + S1_AXI + c1_memmap + c1_memaddr + xilinx.com:ip:mig_7series:4.2 + memory + AC + + + 0x0000000100100000 + C_BASEADDR + 0x00000001001FFFFF + C_HIGHADDR + M_AXI + /xdma_1 + M_AXI + SEG_mig_7series_1_c1_s_axi_ctrl_memaddr + xilinx.com:ip:xdma:4.1 + both + /mig_7series_1 + S1_AXI_CTRL + c1_s_axi_ctrl_memmap + c1_s_axi_ctrl_memaddr + xilinx.com:ip:mig_7series:4.2 + register + AC + + + 0x0000000100000000 + C_BASEADDR + 0x00000001000FFFFF + C_HIGHADDR + M_AXI + /xdma_1 + M_AXI + SEG_mig_7series_1_c0_s_axi_ctrl_memaddr + xilinx.com:ip:xdma:4.1 + both + /mig_7series_1 + S0_AXI_CTRL + c0_s_axi_ctrl_memmap + c0_s_axi_ctrl_memaddr + xilinx.com:ip:mig_7series:4.2 + register + AC + + + 0x0000000200000000 + C_S_AXI_BASEADDR + 0x0000000200001FFF + C_S_AXI_HIGHADDR + M_AXI + /xdma_1 + M_AXI + SEG_axi_bram_ctrl_0_Mem0 + xilinx.com:ip:xdma:4.1 + both + /axi_bram_ctrl_0 + S_AXI + Mem0 + xilinx.com:ip:axi_bram_ctrl:4.1 + memory + AC + + + 0x0000000080000000 + C_BASEADDR + 0x00000000FFFFFFFF + C_HIGHADDR + M_AXI + /xdma_1 + M_AXI + SEG_mig_7series_1_c0_memaddr + xilinx.com:ip:xdma:4.1 + both + /mig_7series_1 + S0_AXI + c0_memmap + c0_memaddr + xilinx.com:ip:mig_7series:4.2 + memory + AC + + + active + 2 + PM + + + 2 + Top + VR + + + Top + BC + + + + + 2 + + + 2 + + + 2 + + + 2 + + + 2 + + + diff --git a/nitefury_pcie_xdma_ddr/project/sources/Top_wrapper.v b/nitefury_pcie_xdma_ddr/project/sources/Top_wrapper.v new file mode 100644 index 0000000..b318c09 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/Top_wrapper.v @@ -0,0 +1,196 @@ +//Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +//-------------------------------------------------------------------------------- +//Tool Version: Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 +//Date : Wed Apr 24 10:52:27 2019 +//Host : dr-lt2 running 64-bit major release (build 9200) +//Command : generate_target Top_wrapper.bd +//Design : Top_wrapper +//Purpose : IP block netlist +//-------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +module Top_wrapper + (DDR3_addr, + DDR3_ba, + DDR3_cas_n, + DDR3_ck_n, + DDR3_ck_p, + DDR3_cke, + DDR3_dm, + DDR3_dq, + DDR3_dqs_n, + DDR3_dqs_p, + DDR3_odt, + DDR3_ras_n, + DDR3_reset_n, + DDR3_we_n, + LED_A1, + LED_A2, + LED_A3, + LED_A4, + SPI_0_io0_io, + SPI_0_io1_io, + SPI_0_io2_io, + SPI_0_io3_io, + SPI_0_ss_i, + SPI_0_ss_t, + pci_reset, + pcie_clkin_clk_n, + pcie_clkin_clk_p, + pcie_clkreq_l, + pcie_mgt_rxn, + pcie_mgt_rxp, + pcie_mgt_txn, + pcie_mgt_txp, + real_spi_ss, + sys_clk_clk_n, + sys_clk_clk_p); + output [14:0]DDR3_addr; + output [2:0]DDR3_ba; + output DDR3_cas_n; + output [0:0]DDR3_ck_n; + output [0:0]DDR3_ck_p; + output [0:0]DDR3_cke; + output [1:0]DDR3_dm; + inout [15:0]DDR3_dq; + inout [1:0]DDR3_dqs_n; + inout [1:0]DDR3_dqs_p; + output [0:0]DDR3_odt; + output DDR3_ras_n; + output DDR3_reset_n; + output DDR3_we_n; + output [0:0]LED_A1; + output [0:0]LED_A2; + output [0:0]LED_A3; + output [0:0]LED_A4; + inout SPI_0_io0_io; + inout SPI_0_io1_io; + inout SPI_0_io2_io; + inout SPI_0_io3_io; + input [0:0]SPI_0_ss_i; + output SPI_0_ss_t; + input pci_reset; + input [0:0]pcie_clkin_clk_n; + input [0:0]pcie_clkin_clk_p; + output [0:0]pcie_clkreq_l; + input [3:0]pcie_mgt_rxn; + input [3:0]pcie_mgt_rxp; + output [3:0]pcie_mgt_txn; + output [3:0]pcie_mgt_txp; + output [0:0]real_spi_ss; + input sys_clk_clk_n; + input sys_clk_clk_p; + + wire [14:0]DDR3_addr; + wire [2:0]DDR3_ba; + wire DDR3_cas_n; + wire [0:0]DDR3_ck_n; + wire [0:0]DDR3_ck_p; + wire [0:0]DDR3_cke; + wire [1:0]DDR3_dm; + wire [15:0]DDR3_dq; + wire [1:0]DDR3_dqs_n; + wire [1:0]DDR3_dqs_p; + wire [0:0]DDR3_odt; + wire DDR3_ras_n; + wire DDR3_reset_n; + wire DDR3_we_n; + wire [0:0]LED_A1; + wire [0:0]LED_A2; + wire [0:0]LED_A3; + wire [0:0]LED_A4; + wire SPI_0_io0_i; + wire SPI_0_io0_io; + wire SPI_0_io0_o; + wire SPI_0_io0_t; + wire SPI_0_io1_i; + wire SPI_0_io1_io; + wire SPI_0_io1_o; + wire SPI_0_io1_t; + wire SPI_0_io2_i; + wire SPI_0_io2_io; + wire SPI_0_io2_o; + wire SPI_0_io2_t; + wire SPI_0_io3_i; + wire SPI_0_io3_io; + wire SPI_0_io3_o; + wire SPI_0_io3_t; + wire [0:0]SPI_0_ss_i; + wire SPI_0_ss_t; + wire pci_reset; + wire [0:0]pcie_clkin_clk_n; + wire [0:0]pcie_clkin_clk_p; + wire [0:0]pcie_clkreq_l; + wire [3:0]pcie_mgt_rxn; + wire [3:0]pcie_mgt_rxp; + wire [3:0]pcie_mgt_txn; + wire [3:0]pcie_mgt_txp; + wire [0:0]real_spi_ss; + wire sys_clk_clk_n; + wire sys_clk_clk_p; + + IOBUF SPI_0_io0_iobuf + (.I(SPI_0_io0_o), + .IO(SPI_0_io0_io), + .O(SPI_0_io0_i), + .T(SPI_0_io0_t)); + IOBUF SPI_0_io1_iobuf + (.I(SPI_0_io1_o), + .IO(SPI_0_io1_io), + .O(SPI_0_io1_i), + .T(SPI_0_io1_t)); + IOBUF SPI_0_io2_iobuf + (.I(SPI_0_io2_o), + .IO(SPI_0_io2_io), + .O(SPI_0_io2_i), + .T(SPI_0_io2_t)); + IOBUF SPI_0_io3_iobuf + (.I(SPI_0_io3_o), + .IO(SPI_0_io3_io), + .O(SPI_0_io3_i), + .T(SPI_0_io3_t)); + Top Top_i + (.DDR3_addr(DDR3_addr), + .DDR3_ba(DDR3_ba), + .DDR3_cas_n(DDR3_cas_n), + .DDR3_ck_n(DDR3_ck_n), + .DDR3_ck_p(DDR3_ck_p), + .DDR3_cke(DDR3_cke), + .DDR3_dm(DDR3_dm), + .DDR3_dq(DDR3_dq), + .DDR3_dqs_n(DDR3_dqs_n), + .DDR3_dqs_p(DDR3_dqs_p), + .DDR3_odt(DDR3_odt), + .DDR3_ras_n(DDR3_ras_n), + .DDR3_reset_n(DDR3_reset_n), + .DDR3_we_n(DDR3_we_n), + .LED_A1(LED_A1), + .LED_A2(LED_A2), + .LED_A3(LED_A3), + .LED_A4(LED_A4), + .SPI_0_io0_i(SPI_0_io0_i), + .SPI_0_io0_o(SPI_0_io0_o), + .SPI_0_io0_t(SPI_0_io0_t), + .SPI_0_io1_i(SPI_0_io1_i), + .SPI_0_io1_o(SPI_0_io1_o), + .SPI_0_io1_t(SPI_0_io1_t), + .SPI_0_io2_i(SPI_0_io2_i), + .SPI_0_io2_o(SPI_0_io2_o), + .SPI_0_io2_t(SPI_0_io2_t), + .SPI_0_io3_i(SPI_0_io3_i), + .SPI_0_io3_o(SPI_0_io3_o), + .SPI_0_io3_t(SPI_0_io3_t), + .SPI_0_ss_i(SPI_0_ss_i), + .SPI_0_ss_t(SPI_0_ss_t), + .pci_reset(pci_reset), + .pcie_clkin_clk_n(pcie_clkin_clk_n), + .pcie_clkin_clk_p(pcie_clkin_clk_p), + .pcie_clkreq_l(pcie_clkreq_l), + .pcie_mgt_rxn(pcie_mgt_rxn), + .pcie_mgt_rxp(pcie_mgt_rxp), + .pcie_mgt_txn(pcie_mgt_txn), + .pcie_mgt_txp(pcie_mgt_txp), + .real_spi_ss(real_spi_ss), + .sys_clk_clk_n(sys_clk_clk_n), + .sys_clk_clk_p(sys_clk_clk_p)); +endmodule diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci new file mode 100644 index 0000000..bc7c4f2 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci @@ -0,0 +1,364 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_auto_cc_0", + "cell_name": "axi_interconnect_0/m00_couplers/auto_cc", + "component_reference": "xilinx.com:ip:axi_clock_converter:2.1", + "ip_revision": "26", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_0", + "parameters": { + "component_parameters": { + "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "512", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_ASYNC": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "Top_auto_cc_0", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_IS_ACLK_ASYNC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "26" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_0" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rready": [ { "direction": "out" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREGION": [ { "physical_name": "s_axi_awregion" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREGION": [ { "physical_name": "s_axi_arregion" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WLAST": [ { "physical_name": "m_axi_wlast" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RLAST": [ { "physical_name": "m_axi_rlast" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ] + } + }, + "SI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "s_axi_aclk" } ] + } + }, + "MI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "M_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "m_axi_aclk" } ] + } + }, + "SI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "s_axi_aresetn" } ] + } + }, + "MI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "m_axi_aresetn" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci new file mode 100644 index 0000000..8c5c97b --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci @@ -0,0 +1,364 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_auto_cc_1", + "cell_name": "axi_interconnect_0/m01_couplers/auto_cc", + "component_reference": "xilinx.com:ip:axi_clock_converter:2.1", + "ip_revision": "26", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_1", + "parameters": { + "component_parameters": { + "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "31", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "512", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_ASYNC": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "Top_auto_cc_1", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "31", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_IS_ACLK_ASYNC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "26" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_1" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "30", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "30", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "30", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "30", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rready": [ { "direction": "out" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "31", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREGION": [ { "physical_name": "s_axi_awregion" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREGION": [ { "physical_name": "s_axi_arregion" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "31", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WLAST": [ { "physical_name": "m_axi_wlast" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RLAST": [ { "physical_name": "m_axi_rlast" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ] + } + }, + "SI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "s_axi_aclk" } ] + } + }, + "MI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "M_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "m_axi_aclk" } ] + } + }, + "SI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "s_axi_aresetn" } ] + } + }, + "MI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "m_axi_aresetn" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci new file mode 100644 index 0000000..11b73ca --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci @@ -0,0 +1,364 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_auto_cc_2", + "cell_name": "axi_interconnect_0/m02_couplers/auto_cc", + "component_reference": "xilinx.com:ip:axi_clock_converter:2.1", + "ip_revision": "26", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_2", + "parameters": { + "component_parameters": { + "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "512", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_ASYNC": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "Top_auto_cc_2", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_IS_ACLK_ASYNC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "26" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_2" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rready": [ { "direction": "out" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREGION": [ { "physical_name": "s_axi_awregion" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREGION": [ { "physical_name": "s_axi_arregion" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WLAST": [ { "physical_name": "m_axi_wlast" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RLAST": [ { "physical_name": "m_axi_rlast" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ] + } + }, + "SI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "s_axi_aclk" } ] + } + }, + "MI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "M_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "m_axi_aclk" } ] + } + }, + "SI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "s_axi_aresetn" } ] + } + }, + "MI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "m_axi_aresetn" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci new file mode 100644 index 0000000..353d031 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci @@ -0,0 +1,364 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_auto_cc_3", + "cell_name": "axi_interconnect_0/m03_couplers/auto_cc", + "component_reference": "xilinx.com:ip:axi_clock_converter:2.1", + "ip_revision": "26", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_3", + "parameters": { + "component_parameters": { + "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "31", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "512", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_ASYNC": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "Top_auto_cc_3", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "31", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_IS_ACLK_ASYNC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "26" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_3" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "30", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "30", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "30", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "30", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rready": [ { "direction": "out" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "31", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREGION": [ { "physical_name": "s_axi_awregion" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREGION": [ { "physical_name": "s_axi_arregion" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "31", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WLAST": [ { "physical_name": "m_axi_wlast" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RLAST": [ { "physical_name": "m_axi_rlast" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ] + } + }, + "SI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "s_axi_aclk" } ] + } + }, + "MI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "M_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "m_axi_aclk" } ] + } + }, + "SI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "s_axi_aresetn" } ] + } + }, + "MI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "m_axi_aresetn" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci new file mode 100644 index 0000000..8879764 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci @@ -0,0 +1,329 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_auto_ds_0", + "cell_name": "axi_interconnect_0/m00_couplers/auto_ds", + "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1", + "ip_revision": "27", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_0", + "parameters": { + "component_parameters": { + "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PACKING_LEVEL": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SI_DATA_WIDTH": [ { "value": "512", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MI_DATA_WIDTH": [ { "value": "32", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SI_ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FIFO_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_ASYNC": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "Top_auto_ds_0", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SUPPORTS_ID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FIFO_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PACKING_LEVEL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "27" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_0" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rready": [ { "direction": "out" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREGION": [ { "physical_name": "s_axi_awregion" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREGION": [ { "physical_name": "s_axi_arregion" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WLAST": [ { "physical_name": "m_axi_wlast" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RLAST": [ { "physical_name": "m_axi_rlast" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ] + } + }, + "SI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "s_axi_aclk" } ] + } + }, + "SI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "s_axi_aresetn" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci new file mode 100644 index 0000000..dffad62 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci @@ -0,0 +1,329 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_auto_ds_1", + "cell_name": "axi_interconnect_0/m02_couplers/auto_ds", + "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1", + "ip_revision": "27", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_1", + "parameters": { + "component_parameters": { + "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PACKING_LEVEL": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SI_DATA_WIDTH": [ { "value": "512", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MI_DATA_WIDTH": [ { "value": "32", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SI_ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FIFO_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_ASYNC": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "Top_auto_ds_1", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SUPPORTS_ID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FIFO_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PACKING_LEVEL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "27" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_1" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rready": [ { "direction": "out" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREGION": [ { "physical_name": "s_axi_awregion" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREGION": [ { "physical_name": "s_axi_arregion" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WLAST": [ { "physical_name": "m_axi_wlast" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RLAST": [ { "physical_name": "m_axi_rlast" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ] + } + }, + "SI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "s_axi_aclk" } ] + } + }, + "SI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "s_axi_aresetn" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci new file mode 100644 index 0000000..0a52954 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci @@ -0,0 +1,329 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_auto_ds_2", + "cell_name": "axi_interconnect_0/m04_couplers/auto_ds", + "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1", + "ip_revision": "27", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_2", + "parameters": { + "component_parameters": { + "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "13", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PACKING_LEVEL": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SI_DATA_WIDTH": [ { "value": "512", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MI_DATA_WIDTH": [ { "value": "32", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SI_ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FIFO_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_ASYNC": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "Top_auto_ds_2", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SUPPORTS_ID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "13", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FIFO_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PACKING_LEVEL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "27" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_2" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "12", "size_right": "0", "driver_value": "0x0000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "12", "size_right": "0", "driver_value": "0x0000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "12", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "12", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rready": [ { "direction": "out" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "13", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREGION": [ { "physical_name": "s_axi_awregion" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREGION": [ { "physical_name": "s_axi_arregion" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "13", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WLAST": [ { "physical_name": "m_axi_wlast" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RLAST": [ { "physical_name": "m_axi_rlast" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ] + } + }, + "SI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "s_axi_aclk" } ] + } + }, + "SI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "s_axi_aresetn" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci new file mode 100644 index 0000000..9edefaf --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci @@ -0,0 +1,297 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_auto_pc_0", + "cell_name": "axi_interconnect_0/m00_couplers/auto_pc", + "component_reference": "xilinx.com:ip:axi_protocol_converter:2.1", + "ip_revision": "27", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_0", + "parameters": { + "component_parameters": { + "SI_PROTOCOL": [ { "value": "AXI4", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "MI_PROTOCOL": [ { "value": "AXI4LITE", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "TRANSLATION_MODE": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Component_Name": [ { "value": "Top_auto_pc_0", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_M_AXI_PROTOCOL": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_IGNORE_ID": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TRANSLATION_MODE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "27" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_0" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "aclk": [ { "direction": "in" } ], + "aresetn": [ { "direction": "in" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0xF" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rready": [ { "direction": "out" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREGION": [ { "physical_name": "s_axi_awregion" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREGION": [ { "physical_name": "s_axi_arregion" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4LITE", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ] + } + }, + "CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "aclk" } ] + } + }, + "RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "aresetn" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci new file mode 100644 index 0000000..243fdcd --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci @@ -0,0 +1,297 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_auto_pc_1", + "cell_name": "axi_interconnect_0/m02_couplers/auto_pc", + "component_reference": "xilinx.com:ip:axi_protocol_converter:2.1", + "ip_revision": "27", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_1", + "parameters": { + "component_parameters": { + "SI_PROTOCOL": [ { "value": "AXI4", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "MI_PROTOCOL": [ { "value": "AXI4LITE", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "TRANSLATION_MODE": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Component_Name": [ { "value": "Top_auto_pc_1", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_M_AXI_PROTOCOL": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_IGNORE_ID": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TRANSLATION_MODE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "27" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_1" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "aclk": [ { "direction": "in" } ], + "aresetn": [ { "direction": "in" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0xF" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0x00000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rready": [ { "direction": "out" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREGION": [ { "physical_name": "s_axi_awregion" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREGION": [ { "physical_name": "s_axi_arregion" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4LITE", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ] + } + }, + "CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "aclk" } ] + } + }, + "RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "aresetn" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci new file mode 100644 index 0000000..39ae361 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci @@ -0,0 +1,337 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_auto_us_0", + "cell_name": "axi_interconnect_0/s00_couplers/auto_us", + "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1", + "ip_revision": "27", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_us_0", + "parameters": { + "component_parameters": { + "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PACKING_LEVEL": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SI_DATA_WIDTH": [ { "value": "64", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MI_DATA_WIDTH": [ { "value": "512", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SI_ID_WIDTH": [ { "value": "4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MAX_SPLIT_BEATS": [ { "value": "256", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FIFO_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_ASYNC": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_RATIO": [ { "value": "1:2", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "Top_auto_us_0", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SUPPORTS_ID": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_WRITE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SUPPORTS_READ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FIFO_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ACLK_RATIO": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ACLK_RATIO": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MAX_SPLIT_BEATS": [ { "value": "16", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PACKING_LEVEL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "27" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_us_0" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "s_axi_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0xFF" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arregion": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_awlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_arlock": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arregion": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arqos": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_rlast": [ { "direction": "in", "driver_value": "0x1" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axi_rready": [ { "direction": "out" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "64", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "4", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "auto_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWID": [ { "physical_name": "s_axi_awid" } ], + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREGION": [ { "physical_name": "s_axi_awregion" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "BID": [ { "physical_name": "s_axi_bid" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "ARID": [ { "physical_name": "s_axi_arid" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREGION": [ { "physical_name": "s_axi_arregion" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "RID": [ { "physical_name": "s_axi_rid" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WLAST": [ { "physical_name": "m_axi_wlast" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RLAST": [ { "physical_name": "m_axi_rlast" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ] + } + }, + "SI_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "s_axi_aclk" } ] + } + }, + "SI_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "s_axi_aresetn" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci new file mode 100644 index 0000000..6b4c313 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci @@ -0,0 +1,259 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_axi_bram_ctrl_0_0", + "cell_name": "axi_bram_ctrl_0", + "component_reference": "xilinx.com:ip:axi_bram_ctrl:4.1", + "ip_revision": "7", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_bram_ctrl_0_0", + "parameters": { + "component_parameters": { + "DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PROTOCOL": [ { "value": "AXI4", "resolve_type": "user", "usage": "all" } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SINGLE_PORT_BRAM": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ECC_TYPE": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "USE_ECC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FAULT_INJECT": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "ECC_ONOFF_RESET_VALUE": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "Component_Name": [ { "value": "Top_axi_bram_ctrl_0_0", "resolve_type": "user", "usage": "all" } ], + "BMG_INSTANCE": [ { "value": "EXTERNAL", "value_permission": "bd", "resolve_type": "user", "usage": "all" } ], + "MEM_DEPTH": [ { "value": "2048", "value_src": "propagated", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "READ_LATENCY": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RD_CMD_OPTIMIZATION": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ] + }, + "model_parameters": { + "C_BRAM_INST_MODE": [ { "value": "EXTERNAL", "resolve_type": "generated", "usage": "all" } ], + "C_MEMORY_DEPTH": [ { "value": "2048", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_BRAM_ADDR_WIDTH": [ { "value": "11", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ADDR_WIDTH": [ { "value": "13", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_PROTOCOL": [ { "value": "AXI4", "resolve_type": "generated", "usage": "all" } ], + "C_S_AXI_SUPPORTS_NARROW_BURST": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SINGLE_PORT_BRAM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_READ_LATENCY": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RD_CMD_OPTIMIZATION": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ECC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ECC_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FAULT_INJECT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ECC_ONOFF_RESET_VALUE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "7" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_bram_ctrl_0_0" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "s_axi_aclk": [ { "direction": "in" } ], + "s_axi_aresetn": [ { "direction": "in" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "12", "size_right": "0", "driver_value": "0" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "s_axi_awlock": [ { "direction": "in", "driver_value": "0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "s_axi_awvalid": [ { "direction": "in", "driver_value": "0" } ], + "s_axi_awready": [ { "direction": "out" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "s_axi_wlast": [ { "direction": "in", "driver_value": "0" } ], + "s_axi_wvalid": [ { "direction": "in", "driver_value": "0" } ], + "s_axi_wready": [ { "direction": "out" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out" } ], + "s_axi_bready": [ { "direction": "in", "driver_value": "0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "12", "size_right": "0", "driver_value": "0" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "s_axi_arlock": [ { "direction": "in", "driver_value": "0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "s_axi_arvalid": [ { "direction": "in", "driver_value": "0" } ], + "s_axi_arready": [ { "direction": "out" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out", "driver_value": "0" } ], + "s_axi_rvalid": [ { "direction": "out" } ], + "s_axi_rready": [ { "direction": "in", "driver_value": "0" } ], + "bram_rst_a": [ { "direction": "out" } ], + "bram_clk_a": [ { "direction": "out" } ], + "bram_en_a": [ { "direction": "out" } ], + "bram_we_a": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "bram_addr_a": [ { "direction": "out", "size_left": "12", "size_right": "0" } ], + "bram_wrdata_a": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "bram_rddata_a": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ] + }, + "interfaces": { + "S_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "memory_map_ref": "S_AXI", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "13", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARADDR": [ { "physical_name": "s_axi_araddr" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot" } ], + "ARREADY": [ { "physical_name": "s_axi_arready" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid" } ], + "AWADDR": [ { "physical_name": "s_axi_awaddr" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot" } ], + "AWREADY": [ { "physical_name": "s_axi_awready" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid" } ], + "BREADY": [ { "physical_name": "s_axi_bready" } ], + "BRESP": [ { "physical_name": "s_axi_bresp" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid" } ], + "RDATA": [ { "physical_name": "s_axi_rdata" } ], + "RLAST": [ { "physical_name": "s_axi_rlast" } ], + "RREADY": [ { "physical_name": "s_axi_rready" } ], + "RRESP": [ { "physical_name": "s_axi_rresp" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid" } ], + "WDATA": [ { "physical_name": "s_axi_wdata" } ], + "WLAST": [ { "physical_name": "s_axi_wlast" } ], + "WREADY": [ { "physical_name": "s_axi_wready" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid" } ] + } + }, + "BRAM_PORTA": { + "vlnv": "xilinx.com:interface:bram:1.0", + "abstraction_type": "xilinx.com:interface:bram_rtl:1.0", + "mode": "master", + "parameters": { + "MASTER_TYPE": [ { "value": "BRAM_CTRL", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "MEM_SIZE": [ { "value": "8192", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "MEM_WIDTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "MEM_ECC": [ { "value": "NONE", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "READ_LATENCY": [ { "value": "1", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ADDR": [ { "physical_name": "bram_addr_a" } ], + "CLK": [ { "physical_name": "bram_clk_a" } ], + "DIN": [ { "physical_name": "bram_wrdata_a" } ], + "DOUT": [ { "physical_name": "bram_rddata_a" } ], + "EN": [ { "physical_name": "bram_en_a" } ], + "RST": [ { "physical_name": "bram_rst_a" } ], + "WE": [ { "physical_name": "bram_we_a" } ] + } + }, + "RSTIF": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "s_axi_aresetn" } ] + } + }, + "CLKIF": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "ASSOCIATED_BUSIF": [ { "value": "S_AXI:S_AXI_CTRL", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "ASSOCIATED_RESET": [ { "value": "s_axi_aresetn", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "s_axi_aclk" } ] + } + } + }, + "memory_maps": { + "S_AXI": { + "display_name": "S_AXI_MEM", + "description": "Memory Map for S_AXI", + "address_blocks": { + "Mem0": { + "base_address": "0", + "range": "4096", + "display_name": "Mem0", + "description": "Register Block", + "usage": "memory", + "access": "read-write" + } + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci new file mode 100644 index 0000000..6c22406 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci @@ -0,0 +1,354 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_axi_interconnect_0_0", + "cell_name": "axi_interconnect_0", + "component_reference": "xilinx.com:ip:axi_interconnect:2.1", + "ip_revision": "28", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_interconnect_0_0", + "parameters": { + "component_parameters": { + "NUM_SI": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "NUM_MI": [ { "value": "5", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "STRATEGY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ENABLE_ADVANCED_OPTIONS": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ENABLE_PROTOCOL_CHECKERS": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "XBAR_DATA_WIDTH": [ { "value": "32", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PCHK_WAITS": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PCHK_MAX_RD_BURSTS": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PCHK_MAX_WR_BURSTS": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M16_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M17_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M18_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M19_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M20_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M21_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M22_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M23_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M24_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M25_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M26_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M27_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M28_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M29_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M30_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M31_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M32_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M33_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M34_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M35_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M36_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M37_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M38_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M39_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M40_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M41_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M42_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M43_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M44_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M45_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M46_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M47_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M48_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M49_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M50_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M51_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M52_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M53_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M54_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M55_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M56_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M57_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M58_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M59_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M60_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M61_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M62_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M63_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M16_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M17_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M18_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M19_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M20_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M21_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M22_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M23_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M24_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M25_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M26_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M27_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M28_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M29_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M30_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M31_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M32_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M33_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M34_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M35_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M36_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M37_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M38_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M39_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M40_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M41_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M42_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M43_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M44_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M45_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M46_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M47_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M48_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M49_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M50_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M51_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M52_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M53_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M54_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M55_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M56_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M57_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M58_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M59_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M60_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M61_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M62_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M63_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_HAS_REGSLICE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_HAS_DATA_FIFO": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M16_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M17_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M18_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M19_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M20_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M21_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M22_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M23_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M24_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M25_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M26_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M27_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M28_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M29_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M30_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M31_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M32_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M33_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M34_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M35_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M36_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M37_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M38_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M39_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M40_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M41_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M42_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M43_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M44_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M45_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M46_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M47_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M48_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M49_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M50_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M51_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M52_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M53_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M54_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M55_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M56_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M57_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M58_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M59_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M60_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M61_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M62_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M63_ISSUANCE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M16_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M17_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M18_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M19_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M20_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M21_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M22_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M23_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M24_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M25_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M26_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M27_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M28_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M29_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M30_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M31_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M32_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M33_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M34_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M35_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M36_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M37_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M38_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M39_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M40_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M41_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M42_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M43_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M44_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M45_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M46_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M47_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M48_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M49_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M50_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M51_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M52_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M53_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M54_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M55_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M56_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M57_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M58_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M59_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M60_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M61_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M62_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M63_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Component_Name": [ { "value": "Top_axi_interconnect_0_0", "resolve_type": "user", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator_AppCore" } ], + "IPREVISION": [ { "value": "28" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_interconnect_0_0" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "GLOBAL" } ] + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci new file mode 100644 index 0000000..60d2581 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci @@ -0,0 +1,264 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_blk_mem_gen_0_0", + "cell_name": "blk_mem_gen_0", + "component_reference": "xilinx.com:ip:blk_mem_gen:8.4", + "ip_revision": "5", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_blk_mem_gen_0_0", + "parameters": { + "component_parameters": { + "Component_Name": [ { "value": "Top_blk_mem_gen_0_0", "resolve_type": "user", "usage": "all" } ], + "Interface_Type": [ { "value": "Native", "resolve_type": "user", "usage": "all" } ], + "AXI_Type": [ { "value": "AXI4_Full", "resolve_type": "user", "usage": "all" } ], + "AXI_Slave_Type": [ { "value": "Memory_Slave", "resolve_type": "user", "usage": "all" } ], + "Use_AXI_ID": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "AXI_ID_Width": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "Memory_Type": [ { "value": "Single_Port_RAM", "resolve_type": "user", "usage": "all" } ], + "PRIM_type_to_Implement": [ { "value": "BRAM", "resolve_type": "user", "usage": "all" } ], + "Enable_32bit_Address": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ecctype": [ { "value": "No_ECC", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "ECC": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "softecc": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "EN_SLEEP_PIN": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "EN_DEEPSLEEP_PIN": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "EN_SHUTDOWN_PIN": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "EN_ECC_PIPE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RD_ADDR_CHNG_A": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RD_ADDR_CHNG_B": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Use_Error_Injection_Pins": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Error_Injection_Type": [ { "value": "Single_Bit_Error_Injection", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Use_Byte_Write_Enable": [ { "value": "true", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Byte_Size": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Algorithm": [ { "value": "Minimum_Area", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Primitive": [ { "value": "8kx2", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Assume_Synchronous_Clk": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Write_Width_A": [ { "value": "32", "value_src": "propagated", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Write_Depth_A": [ { "value": "2048", "value_src": "propagated", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Read_Width_A": [ { "value": "32", "value_src": "propagated", "resolve_type": "user", "usage": "all" } ], + "Operating_Mode_A": [ { "value": "WRITE_FIRST", "resolve_type": "user", "usage": "all" } ], + "Enable_A": [ { "value": "Use_ENA_Pin", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Write_Width_B": [ { "value": "32", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Read_Width_B": [ { "value": "32", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Operating_Mode_B": [ { "value": "WRITE_FIRST", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Enable_B": [ { "value": "Always_Enabled", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Register_PortA_Output_of_Memory_Primitives": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Register_PortA_Output_of_Memory_Core": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Use_REGCEA_Pin": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Register_PortB_Output_of_Memory_Primitives": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Register_PortB_Output_of_Memory_Core": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Use_REGCEB_Pin": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "register_porta_input_of_softecc": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "register_portb_output_of_softecc": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Pipeline_Stages": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Load_Init_File": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Coe_File": [ { "value": "no_coe_file_loaded", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Fill_Remaining_Memory_Locations": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Remaining_Memory_Locations": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Use_RSTA_Pin": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Reset_Memory_Latch_A": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Reset_Priority_A": [ { "value": "CE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Output_Reset_Value_A": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "Use_RSTB_Pin": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Reset_Memory_Latch_B": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Reset_Priority_B": [ { "value": "CE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Output_Reset_Value_B": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Reset_Type": [ { "value": "SYNC", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Additional_Inputs_for_Power_Estimation": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Port_A_Clock": [ { "value": "100", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Port_A_Write_Rate": [ { "value": "50", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Port_B_Clock": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Port_B_Write_Rate": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Port_A_Enable_Rate": [ { "value": "100", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Port_B_Enable_Rate": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Collision_Warnings": [ { "value": "ALL", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "Disable_Collision_Warnings": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "Disable_Out_of_Range_Warnings": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "use_bram_block": [ { "value": "BRAM_Controller", "resolve_type": "user", "usage": "all" } ], + "MEM_FILE": [ { "value": "NONE", "value_src": "ip_propagated", "resolve_type": "user", "usage": "all" } ], + "CTRL_ECC_ALGO": [ { "value": "NONE", "value_src": "propagated", "resolve_type": "user", "usage": "all" } ], + "EN_SAFETY_CKT": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "READ_LATENCY_A": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "READ_LATENCY_B": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_XDEVICEFAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_ELABORATION_DIR": [ { "value": "./", "resolve_type": "generated", "usage": "all" } ], + "C_INTERFACE_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_TYPE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_SLAVE_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_BRAM_BLOCK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ENABLE_32BIT_ADDRESS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CTRL_ECC_ALGO": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ], + "C_HAS_AXI_ID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MEM_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_BYTE_SIZE": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ALGORITHM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PRIM_TYPE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOAD_INIT_FILE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_INIT_FILE_NAME": [ { "value": "no_coe_file_loaded", "resolve_type": "generated", "usage": "all" } ], + "C_INIT_FILE": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ], + "C_USE_DEFAULT_DATA": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_DEFAULT_DATA": [ { "value": "0", "resolve_type": "generated", "usage": "all" } ], + "C_HAS_RSTA": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RST_PRIORITY_A": [ { "value": "CE", "resolve_type": "generated", "usage": "all" } ], + "C_RSTRAM_A": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_INITA_VAL": [ { "value": "0", "resolve_type": "generated", "usage": "all" } ], + "C_HAS_ENA": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_HAS_REGCEA": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_BYTE_WEA": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_WEA_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_WRITE_MODE_A": [ { "value": "WRITE_FIRST", "resolve_type": "generated", "usage": "all" } ], + "C_WRITE_WIDTH_A": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_READ_WIDTH_A": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_WRITE_DEPTH_A": [ { "value": "2048", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_READ_DEPTH_A": [ { "value": "2048", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ADDRA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_HAS_RSTB": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RST_PRIORITY_B": [ { "value": "CE", "resolve_type": "generated", "usage": "all" } ], + "C_RSTRAM_B": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_INITB_VAL": [ { "value": "0", "resolve_type": "generated", "usage": "all" } ], + "C_HAS_ENB": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_HAS_REGCEB": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_BYTE_WEB": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_WEB_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_WRITE_MODE_B": [ { "value": "WRITE_FIRST", "resolve_type": "generated", "usage": "all" } ], + "C_WRITE_WIDTH_B": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_READ_WIDTH_B": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_WRITE_DEPTH_B": [ { "value": "2048", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_READ_DEPTH_B": [ { "value": "2048", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ADDRB_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_HAS_MEM_OUTPUT_REGS_A": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_HAS_MEM_OUTPUT_REGS_B": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_HAS_MUX_OUTPUT_REGS_A": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_HAS_MUX_OUTPUT_REGS_B": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MUX_PIPELINE_STAGES": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_HAS_SOFTECC_INPUT_REGS_A": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_HAS_SOFTECC_OUTPUT_REGS_B": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_SOFTECC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_ECC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_EN_ECC_PIPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_READ_LATENCY_A": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_READ_LATENCY_B": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_HAS_INJECTERR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SIM_COLLISION_CHECK": [ { "value": "ALL", "resolve_type": "generated", "usage": "all" } ], + "C_COMMON_CLK": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_DISABLE_WARN_BHV_COLL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_EN_SLEEP_PIN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_URAM": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_EN_RDADDRA_CHG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_EN_RDADDRB_CHG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_EN_DEEPSLEEP_PIN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_EN_SHUTDOWN_PIN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_EN_SAFETY_CKT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_DISABLE_WARN_BHV_RANGE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_COUNT_36K_BRAM": [ { "value": "2", "resolve_type": "generated", "usage": "all" } ], + "C_COUNT_18K_BRAM": [ { "value": "0", "resolve_type": "generated", "usage": "all" } ], + "C_EST_POWER_SUMMARY": [ { "value": "Estimated Power for IP : 5.3746 mW", "resolve_type": "generated", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "5" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_blk_mem_gen_0_0" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "clka": [ { "direction": "in", "driver_value": "0" } ], + "rsta": [ { "direction": "in", "driver_value": "0" } ], + "ena": [ { "direction": "in", "driver_value": "0" } ], + "wea": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "addra": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "dina": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "douta": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "rsta_busy": [ { "direction": "out" } ] + }, + "interfaces": { + "CLK.ACLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "ASSOCIATED_BUSIF": [ { "value": "AXI_SLAVE_S_AXI:AXILite_SLAVE_S_AXI", "value_src": "constant", "usage": "all" } ], + "ASSOCIATED_RESET": [ { "value": "s_aresetn", "value_src": "constant", "usage": "all" } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "RST.ARESETN": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "BRAM_PORTA": { + "vlnv": "xilinx.com:interface:bram:1.0", + "abstraction_type": "xilinx.com:interface:bram_rtl:1.0", + "mode": "slave", + "parameters": { + "MEM_SIZE": [ { "value": "8192", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "MEM_WIDTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "MEM_ECC": [ { "value": "NONE", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "MASTER_TYPE": [ { "value": "BRAM_CTRL", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "READ_LATENCY": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ADDR": [ { "physical_name": "addra" } ], + "CLK": [ { "physical_name": "clka" } ], + "DIN": [ { "physical_name": "dina" } ], + "DOUT": [ { "physical_name": "douta" } ], + "EN": [ { "physical_name": "ena" } ], + "RST": [ { "physical_name": "rsta" } ], + "WE": [ { "physical_name": "wea" } ] + } + } + }, + "memory_maps": { + "S_1": { + "address_blocks": { + "Mem0": { + "base_address": "0", + "range": "4096", + "usage": "memory", + "access": "read-write", + "parameters": { + "OFFSET_BASE_PARAM": [ { "value": "C_BASEADDR" } ], + "OFFSET_HIGH_PARAM": [ { "value": "C_HIGHADDR" } ] + } + } + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci new file mode 100644 index 0000000..d6f873f --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci @@ -0,0 +1,2190 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_mig_7series_1_0", + "cell_name": "mig_7series_1", + "component_reference": "xilinx.com:ip:mig_7series:4.2", + "ip_revision": "1", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_mig_7series_1_0", + "parameters": { + "component_parameters": { + "XML_INPUT_FILE": [ { "value": "mig_b.prj", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RESET_BOARD_INTERFACE": [ { "value": "Custom", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "MIG_DONT_TOUCH_PARAM": [ { "value": "Custom", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "BOARD_MIG_PARAM": [ { "value": "Custom", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "Top_mig_7series_1_0", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "NoOfControllers": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "COMBINED_INTERFACE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "REFCLK_TYPE": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ], + "MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ], + "TEMP_MON_CONTROL": [ { "value": "INTERNAL", "resolve_type": "generated", "usage": "all" } ], + "POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ], + "IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ], + "USE_AXI": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "ECC": [ { "value": "ON", "resolve_type": "generated", "usage": "all" } ], + "DDR3_DQ_WIDTH": [ { "value": "72", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_DQS_WIDTH": [ { "value": "9", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_ROW_WIDTH": [ { "value": "15", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_USE_DM_PORT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "DDR3_DQS_CNT_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_nCK_PER_CLK": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR3_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FREQ_HZ": [ { "value": "133333333", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MMCM_VCO": [ { "value": "1066", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ADDR_WIDTH": [ { "value": "31", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_MEM_SIZE": [ { "value": "2147483648", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ], + "C0_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C0_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ], + "C0_USE_AXI": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_ECC": [ { "value": "ON", "resolve_type": "generated", "usage": "all" } ], + "C0_DDR3_DQ_WIDTH": [ { "value": "72", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_DQS_WIDTH": [ { "value": "9", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_ROW_WIDTH": [ { "value": "15", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_USE_DM_PORT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C0_DDR3_DQS_CNT_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C0_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C0_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C0_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C0_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C0_DQS_CNT_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C0_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_nCK_PER_CLK": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR3_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_FREQ_HZ": [ { "value": "133333333", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C0_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C0_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C0_MMCM_VCO": [ { "value": "1066", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C0_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C0_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C0_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C0_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C0_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C0_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C0_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_C_S_AXI_ADDR_WIDTH": [ { "value": "31", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_C_S_AXI_MEM_SIZE": [ { "value": "2147483648", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C0_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C0_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C0_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C0_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ], + "C1_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ], + "C1_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C1_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ], + "C1_USE_AXI": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_ECC": [ { "value": "ON", "resolve_type": "generated", "usage": "all" } ], + "C1_DDR3_DQ_WIDTH": [ { "value": "72", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_DQS_WIDTH": [ { "value": "9", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_ROW_WIDTH": [ { "value": "15", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_USE_DM_PORT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C1_DDR3_DQS_CNT_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C1_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C1_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C1_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C1_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C1_DQS_CNT_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C1_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_nCK_PER_CLK": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR3_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_FREQ_HZ": [ { "value": "133333333", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C1_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C1_MMCM_VCO": [ { "value": "1066", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C1_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C1_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C1_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C1_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C1_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C1_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C1_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_C_S_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_C_S_AXI_ADDR_WIDTH": [ { "value": "31", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_C_S_AXI_MEM_SIZE": [ { "value": "2147483648", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C1_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C1_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C1_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C1_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ], + "C2_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ], + "C2_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C2_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ], + "C2_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C2_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C2_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C2_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C2_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C2_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C2_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C2_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C2_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C2_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C2_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C2_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ], + "C3_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ], + "C3_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C3_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ], + "C3_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C3_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C3_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C3_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C3_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C3_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C3_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C3_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C3_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C3_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C3_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C3_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ], + "C4_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ], + "C4_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C4_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ], + "C4_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C4_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C4_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C4_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C4_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C4_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C4_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C4_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C4_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C4_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C4_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C4_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ], + "C5_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ], + "C5_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C5_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ], + "C5_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C5_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C5_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C5_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C5_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C5_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C5_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C5_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C5_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C5_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C5_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C5_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ], + "C6_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ], + "C6_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C6_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ], + "C6_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C6_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C6_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C6_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C6_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C6_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C6_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C6_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C6_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C6_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C6_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C6_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C6_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ], + "C7_MEM_TYPE": [ { "value": "DDR3", "resolve_type": "generated", "usage": "all" } ], + "C7_IS_CLK_SHARED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C7_SYSCLK_TYPE": [ { "value": "DIFF", "resolve_type": "generated", "usage": "all" } ], + "C7_USE_AXI": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_ECC": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_DDR3_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_DDR3_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_DDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_ODT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_DDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_LPDDR2_DQ_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_DQS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_ROW_WIDTH": [ { "value": "14", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_BANK_WIDTH": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_CKE_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_CS_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_nCS_PER_RANK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_USE_CS_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_USE_DM_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_USE_ODT_PORT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_REG_CTRL": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_LPDDR2_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_DQS_CNT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_DDRX_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDRX_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDRX_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR3_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_DDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_ADDR_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_nCK_PER_CLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_LPDDR2_DATA_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_FREQ_HZ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C7_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C7_UI_EXTRA_CLOCKS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C7_MMCM_VCO": [ { "value": "1200.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C7_MMCM_CLKOUT0_FREQ": [ { "value": "10.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C7_MMCM_CLKOUT1_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_MMCM_CLKOUT2_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_MMCM_CLKOUT3_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_MMCM_CLKOUT4_FREQ": [ { "value": "10", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_MMCM_CLKOUT0_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C7_MMCM_CLKOUT2_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C7_MMCM_CLKOUT1_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C7_MMCM_CLKOUT3_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C7_MMCM_CLKOUT4_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C7_C_S_AXI_CTRL_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_C_S_AXI_CTRL_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_C_S_AXI_CTRL_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_C_S_AXI_CTRL_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_C_S_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_C_S_AXI_MEM_SIZE": [ { "value": "1048576", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_QDRIIP_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_QDRIIP_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_QDRIIP_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_QDRIIP_BW_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_QDRIIP_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_QDRIIP_BURST_LEN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_RLDIII_NUM_DEVICES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_RLD_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_QK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_CK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_DK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_QVLD_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_DEBUG_PORT": [ { "value": "OFF", "resolve_type": "generated", "usage": "all" } ], + "C7_RLDX_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDX_DATA_WIDTH": [ { "value": "18", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDX_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDX_BANK_WIDTH": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDX_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDX_DM_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_CMD_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_ADDR_WIDTH": [ { "value": "29", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_RLDIII_nCK_PER_CLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C7_POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "1" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_mig_7series_1_0" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "sys_rst": [ { "direction": "in" } ], + "c0_ddr3_dq": [ { "direction": "inout", "size_left": "71", "size_right": "0", "display_name": "Data", "description": "Data" } ], + "c0_ddr3_dqs_p": [ { "direction": "inout", "size_left": "8", "size_right": "0", "display_name": "Data Strobe", "description": "Data Strobe" } ], + "c0_ddr3_dqs_n": [ { "direction": "inout", "size_left": "8", "size_right": "0", "display_name": "Data Strobe", "description": "Data Strobe" } ], + "c0_ddr3_addr": [ { "direction": "out", "size_left": "14", "size_right": "0", "display_name": "Address", "description": "Address" } ], + "c0_ddr3_ba": [ { "direction": "out", "size_left": "2", "size_right": "0", "display_name": "Bank Address", "description": "Bank Address" } ], + "c0_ddr3_ras_n": [ { "direction": "out", "display_name": "row address strobe", "description": "row address strobe" } ], + "c0_ddr3_cas_n": [ { "direction": "out", "display_name": "column address strobe", "description": "column address strobe" } ], + "c0_ddr3_we_n": [ { "direction": "out", "display_name": "write enable", "description": "write enable" } ], + "c0_ddr3_reset_n": [ { "direction": "out", "display_name": "reset to memory device", "description": "reset to memory device" } ], + "c0_ddr3_ck_p": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock to memory device", "description": "clock to memory device" } ], + "c0_ddr3_ck_n": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock to memory device", "description": "clock to memory device" } ], + "c0_ddr3_cke": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock enable", "description": "clock enable" } ], + "c0_ddr3_cs_n": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "chip select", "description": "chip select" } ], + "c0_ddr3_odt": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "on die termination", "description": "on die termination" } ], + "c0_ui_clk_sync_rst": [ { "direction": "out" } ], + "c0_ui_clk": [ { "direction": "out" } ], + "c0_s_axi_ctrl_awvalid": [ { "direction": "in" } ], + "c0_s_axi_ctrl_awready": [ { "direction": "out" } ], + "c0_s_axi_ctrl_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0" } ], + "c0_s_axi_ctrl_wvalid": [ { "direction": "in" } ], + "c0_s_axi_ctrl_wready": [ { "direction": "out" } ], + "c0_s_axi_ctrl_wdata": [ { "direction": "in", "size_left": "31", "size_right": "0" } ], + "c0_s_axi_ctrl_bvalid": [ { "direction": "out" } ], + "c0_s_axi_ctrl_bready": [ { "direction": "in" } ], + "c0_s_axi_ctrl_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "c0_s_axi_ctrl_arvalid": [ { "direction": "in" } ], + "c0_s_axi_ctrl_arready": [ { "direction": "out" } ], + "c0_s_axi_ctrl_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0" } ], + "c0_s_axi_ctrl_rvalid": [ { "direction": "out" } ], + "c0_s_axi_ctrl_rready": [ { "direction": "in" } ], + "c0_s_axi_ctrl_rdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "c0_s_axi_ctrl_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "c0_s_axi_awid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c0_s_axi_awaddr": [ { "direction": "in", "size_left": "30", "size_right": "0" } ], + "c0_s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0" } ], + "c0_s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0" } ], + "c0_s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0" } ], + "c0_s_axi_awlock": [ { "direction": "in", "driver_value": "0" } ], + "c0_s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c0_s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "c0_s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c0_s_axi_awvalid": [ { "direction": "in" } ], + "c0_s_axi_awready": [ { "direction": "out" } ], + "c0_s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0" } ], + "c0_s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0" } ], + "c0_s_axi_wlast": [ { "direction": "in" } ], + "c0_s_axi_wvalid": [ { "direction": "in" } ], + "c0_s_axi_wready": [ { "direction": "out" } ], + "c0_s_axi_bready": [ { "direction": "in" } ], + "c0_s_axi_bid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "c0_s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "c0_s_axi_bvalid": [ { "direction": "out" } ], + "c0_s_axi_arid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c0_s_axi_araddr": [ { "direction": "in", "size_left": "30", "size_right": "0" } ], + "c0_s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0" } ], + "c0_s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0" } ], + "c0_s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0" } ], + "c0_s_axi_arlock": [ { "direction": "in", "driver_value": "0" } ], + "c0_s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c0_s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "c0_s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c0_s_axi_arvalid": [ { "direction": "in" } ], + "c0_s_axi_arready": [ { "direction": "out" } ], + "c0_s_axi_rready": [ { "direction": "in" } ], + "c0_s_axi_rid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "c0_s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "c0_s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "c0_s_axi_rlast": [ { "direction": "out" } ], + "c0_s_axi_rvalid": [ { "direction": "out" } ], + "c0_interrupt": [ { "direction": "out" } ], + "c0_mmcm_locked": [ { "direction": "out" } ], + "c0_sys_clk_p": [ { "direction": "in", "display_name": "Clock P", "description": "Clock P" } ], + "c0_sys_clk_n": [ { "direction": "in", "display_name": "Clock P", "description": "Clock P" } ], + "c0_init_calib_complete": [ { "direction": "out" } ], + "c0_aresetn": [ { "direction": "in" } ], + "c1_ddr3_dq": [ { "direction": "inout", "size_left": "71", "size_right": "0", "display_name": "Data", "description": "Data" } ], + "c1_ddr3_dqs_p": [ { "direction": "inout", "size_left": "8", "size_right": "0", "display_name": "Data Strobe", "description": "Data Strobe" } ], + "c1_ddr3_dqs_n": [ { "direction": "inout", "size_left": "8", "size_right": "0", "display_name": "Data Strobe", "description": "Data Strobe" } ], + "c1_ddr3_addr": [ { "direction": "out", "size_left": "14", "size_right": "0", "display_name": "Address", "description": "Address" } ], + "c1_ddr3_ba": [ { "direction": "out", "size_left": "2", "size_right": "0", "display_name": "Bank Address", "description": "Bank Address" } ], + "c1_ddr3_ras_n": [ { "direction": "out", "display_name": "row address strobe", "description": "row address strobe" } ], + "c1_ddr3_cas_n": [ { "direction": "out", "display_name": "column address strobe", "description": "column address strobe" } ], + "c1_ddr3_we_n": [ { "direction": "out", "display_name": "write enable", "description": "write enable" } ], + "c1_ddr3_reset_n": [ { "direction": "out", "display_name": "reset to memory device", "description": "reset to memory device" } ], + "c1_ddr3_ck_p": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock to memory device", "description": "clock to memory device" } ], + "c1_ddr3_ck_n": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock to memory device", "description": "clock to memory device" } ], + "c1_ddr3_cke": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "clock enable", "description": "clock enable" } ], + "c1_ddr3_cs_n": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "chip select", "description": "chip select" } ], + "c1_ddr3_odt": [ { "direction": "out", "size_left": "0", "size_right": "0", "display_name": "on die termination", "description": "on die termination" } ], + "c1_ui_clk_sync_rst": [ { "direction": "out" } ], + "c1_ui_clk": [ { "direction": "out" } ], + "c1_s_axi_ctrl_awvalid": [ { "direction": "in" } ], + "c1_s_axi_ctrl_awready": [ { "direction": "out" } ], + "c1_s_axi_ctrl_awaddr": [ { "direction": "in", "size_left": "31", "size_right": "0" } ], + "c1_s_axi_ctrl_wvalid": [ { "direction": "in" } ], + "c1_s_axi_ctrl_wready": [ { "direction": "out" } ], + "c1_s_axi_ctrl_wdata": [ { "direction": "in", "size_left": "31", "size_right": "0" } ], + "c1_s_axi_ctrl_bvalid": [ { "direction": "out" } ], + "c1_s_axi_ctrl_bready": [ { "direction": "in" } ], + "c1_s_axi_ctrl_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "c1_s_axi_ctrl_arvalid": [ { "direction": "in" } ], + "c1_s_axi_ctrl_arready": [ { "direction": "out" } ], + "c1_s_axi_ctrl_araddr": [ { "direction": "in", "size_left": "31", "size_right": "0" } ], + "c1_s_axi_ctrl_rvalid": [ { "direction": "out" } ], + "c1_s_axi_ctrl_rready": [ { "direction": "in" } ], + "c1_s_axi_ctrl_rdata": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "c1_s_axi_ctrl_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "c1_s_axi_awid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c1_s_axi_awaddr": [ { "direction": "in", "size_left": "30", "size_right": "0" } ], + "c1_s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0" } ], + "c1_s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0" } ], + "c1_s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0" } ], + "c1_s_axi_awlock": [ { "direction": "in", "driver_value": "0" } ], + "c1_s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c1_s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "c1_s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c1_s_axi_awvalid": [ { "direction": "in" } ], + "c1_s_axi_awready": [ { "direction": "out" } ], + "c1_s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0" } ], + "c1_s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0" } ], + "c1_s_axi_wlast": [ { "direction": "in" } ], + "c1_s_axi_wvalid": [ { "direction": "in" } ], + "c1_s_axi_wready": [ { "direction": "out" } ], + "c1_s_axi_bready": [ { "direction": "in" } ], + "c1_s_axi_bid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "c1_s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "c1_s_axi_bvalid": [ { "direction": "out" } ], + "c1_s_axi_arid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c1_s_axi_araddr": [ { "direction": "in", "size_left": "30", "size_right": "0" } ], + "c1_s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0" } ], + "c1_s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0" } ], + "c1_s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0" } ], + "c1_s_axi_arlock": [ { "direction": "in", "driver_value": "0" } ], + "c1_s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c1_s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "c1_s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "c1_s_axi_arvalid": [ { "direction": "in" } ], + "c1_s_axi_arready": [ { "direction": "out" } ], + "c1_s_axi_rready": [ { "direction": "in" } ], + "c1_s_axi_rid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "c1_s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "c1_s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "c1_s_axi_rlast": [ { "direction": "out" } ], + "c1_s_axi_rvalid": [ { "direction": "out" } ], + "c1_interrupt": [ { "direction": "out" } ], + "c1_mmcm_locked": [ { "direction": "out" } ], + "c1_sys_clk_p": [ { "direction": "in", "display_name": "Clock P", "description": "Clock P" } ], + "c1_sys_clk_n": [ { "direction": "in", "display_name": "Clock P", "description": "Clock P" } ], + "c1_init_calib_complete": [ { "direction": "out" } ], + "c1_aresetn": [ { "direction": "in" } ] + }, + "interfaces": { + "SYSTEM_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "usage": "all" } ], + "BOARD.ASSOCIATED_PARAM": [ { "value": "RESET_BOARD_INTERFACE", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "sys_rst" } ] + } + }, + "ARESETN": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "C0_DDR3": { + "vlnv": "xilinx.com:interface:ddrx:1.0", + "abstraction_type": "xilinx.com:interface:ddrx_rtl:1.0", + "mode": "master", + "parameters": { + "CAN_DEBUG": [ { "value": "false", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ], + "TIMEPERIOD_PS": [ { "value": "1250", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "MEMORY_TYPE": [ { "value": "COMPONENTS", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "MEMORY_PART": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "DATA_WIDTH": [ { "value": "8", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CS_ENABLED": [ { "value": "true", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ], + "DATA_MASK_ENABLED": [ { "value": "true", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ], + "SLOT": [ { "value": "Single", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "CUSTOM_PARTS": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "MEM_ADDR_MAP": [ { "value": "ROW_COLUMN_BANK", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "BURST_LENGTH": [ { "value": "8", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "AXI_ARBITRATION_SCHEME": [ { "value": "TDM", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "CAS_LATENCY": [ { "value": "11", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CAS_WRITE_LATENCY": [ { "value": "11", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ] + }, + "port_maps": { + "DQ": [ { "physical_name": "c0_ddr3_dq" } ], + "DQS_P": [ { "physical_name": "c0_ddr3_dqs_p" } ], + "DQS_N": [ { "physical_name": "c0_ddr3_dqs_n" } ], + "ADDR": [ { "physical_name": "c0_ddr3_addr" } ], + "BA": [ { "physical_name": "c0_ddr3_ba" } ], + "RAS_N": [ { "physical_name": "c0_ddr3_ras_n" } ], + "CAS_N": [ { "physical_name": "c0_ddr3_cas_n" } ], + "WE_N": [ { "physical_name": "c0_ddr3_we_n" } ], + "RESET_N": [ { "physical_name": "c0_ddr3_reset_n" } ], + "CK_P": [ { "physical_name": "c0_ddr3_ck_p" } ], + "CK_N": [ { "physical_name": "c0_ddr3_ck_n" } ], + "CKE": [ { "physical_name": "c0_ddr3_cke" } ], + "CS_N": [ { "physical_name": "c0_ddr3_cs_n" } ], + "ODT": [ { "physical_name": "c0_ddr3_odt" } ] + } + }, + "C0_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "c0_ui_clk_sync_rst" } ] + } + }, + "C0_DDR3_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C0_DDR2_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C0_LPDDR2_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C0_QDRIIP_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C0_RLDII_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C0_RLDIII_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C0_CLOCK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_BUSIF": [ { "value": "S0_AXI:S0_AXI_CTRL", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "ASSOCIATED_RESET": [ { "value": "c0_aresetn:c0_ui_clk_sync_rst", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "c0_ui_clk" } ] + } + }, + "C0_MMCM_CLKOUT0": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_ASYNC_RESET": [ { "value": "c0_aresetn", "value_src": "constant", "usage": "all" } ], + "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C0_MMCM_CLKOUT1": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_ASYNC_RESET": [ { "value": "c0_aresetn", "value_src": "constant", "usage": "all" } ], + "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C0_MMCM_CLKOUT2": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_ASYNC_RESET": [ { "value": "c0_aresetn", "value_src": "constant", "usage": "all" } ], + "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C0_MMCM_CLKOUT3": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_ASYNC_RESET": [ { "value": "c0_aresetn", "value_src": "constant", "usage": "all" } ], + "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C0_MMCM_CLKOUT4": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_ASYNC_RESET": [ { "value": "c0_aresetn", "value_src": "constant", "usage": "all" } ], + "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "S0_AXI_CTRL": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "memory_map_ref": "c0_s_axi_ctrl_memmap", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4LITE", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_LOCK": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_PROT": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_CACHE": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "1", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "AWVALID": [ { "physical_name": "c0_s_axi_ctrl_awvalid" } ], + "AWREADY": [ { "physical_name": "c0_s_axi_ctrl_awready" } ], + "AWADDR": [ { "physical_name": "c0_s_axi_ctrl_awaddr" } ], + "WVALID": [ { "physical_name": "c0_s_axi_ctrl_wvalid" } ], + "WREADY": [ { "physical_name": "c0_s_axi_ctrl_wready" } ], + "WDATA": [ { "physical_name": "c0_s_axi_ctrl_wdata" } ], + "BVALID": [ { "physical_name": "c0_s_axi_ctrl_bvalid" } ], + "BREADY": [ { "physical_name": "c0_s_axi_ctrl_bready" } ], + "BRESP": [ { "physical_name": "c0_s_axi_ctrl_bresp" } ], + "ARVALID": [ { "physical_name": "c0_s_axi_ctrl_arvalid" } ], + "ARREADY": [ { "physical_name": "c0_s_axi_ctrl_arready" } ], + "ARADDR": [ { "physical_name": "c0_s_axi_ctrl_araddr" } ], + "RVALID": [ { "physical_name": "c0_s_axi_ctrl_rvalid" } ], + "RREADY": [ { "physical_name": "c0_s_axi_ctrl_rready" } ], + "RDATA": [ { "physical_name": "c0_s_axi_ctrl_rdata" } ], + "RRESP": [ { "physical_name": "c0_s_axi_ctrl_rresp" } ] + } + }, + "S0_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "memory_map_ref": "c0_memmap", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "512", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "ID_WIDTH": [ { "value": "4", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "31", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c0_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "AWID": [ { "physical_name": "c0_s_axi_awid" } ], + "AWADDR": [ { "physical_name": "c0_s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "c0_s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "c0_s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "c0_s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "c0_s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "c0_s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "c0_s_axi_awprot" } ], + "AWQOS": [ { "physical_name": "c0_s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "c0_s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "c0_s_axi_awready" } ], + "WDATA": [ { "physical_name": "c0_s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "c0_s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "c0_s_axi_wlast" } ], + "WVALID": [ { "physical_name": "c0_s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "c0_s_axi_wready" } ], + "BREADY": [ { "physical_name": "c0_s_axi_bready" } ], + "BID": [ { "physical_name": "c0_s_axi_bid" } ], + "BRESP": [ { "physical_name": "c0_s_axi_bresp" } ], + "BVALID": [ { "physical_name": "c0_s_axi_bvalid" } ], + "ARID": [ { "physical_name": "c0_s_axi_arid" } ], + "ARADDR": [ { "physical_name": "c0_s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "c0_s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "c0_s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "c0_s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "c0_s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "c0_s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "c0_s_axi_arprot" } ], + "ARQOS": [ { "physical_name": "c0_s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "c0_s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "c0_s_axi_arready" } ], + "RREADY": [ { "physical_name": "c0_s_axi_rready" } ], + "RID": [ { "physical_name": "c0_s_axi_rid" } ], + "RDATA": [ { "physical_name": "c0_s_axi_rdata" } ], + "RRESP": [ { "physical_name": "c0_s_axi_rresp" } ], + "RLAST": [ { "physical_name": "c0_s_axi_rlast" } ], + "RVALID": [ { "physical_name": "c0_s_axi_rvalid" } ] + } + }, + "C0_SYS_CLK": { + "vlnv": "xilinx.com:interface:diff_clock:1.0", + "abstraction_type": "xilinx.com:interface:diff_clock_rtl:1.0", + "mode": "slave", + "parameters": { + "CAN_DEBUG": [ { "value": "false", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ] + }, + "port_maps": { + "CLK_P": [ { "physical_name": "c0_sys_clk_p" } ], + "CLK_N": [ { "physical_name": "c0_sys_clk_n" } ] + } + }, + "C0_ARESETN": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARESETN": [ { "physical_name": "c0_aresetn" } ] + } + }, + "C1_DDR3": { + "vlnv": "xilinx.com:interface:ddrx:1.0", + "abstraction_type": "xilinx.com:interface:ddrx_rtl:1.0", + "mode": "master", + "parameters": { + "CAN_DEBUG": [ { "value": "false", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ], + "TIMEPERIOD_PS": [ { "value": "1250", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "MEMORY_TYPE": [ { "value": "COMPONENTS", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "MEMORY_PART": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "DATA_WIDTH": [ { "value": "8", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CS_ENABLED": [ { "value": "true", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ], + "DATA_MASK_ENABLED": [ { "value": "true", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ], + "SLOT": [ { "value": "Single", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "CUSTOM_PARTS": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "MEM_ADDR_MAP": [ { "value": "ROW_COLUMN_BANK", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "BURST_LENGTH": [ { "value": "8", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "AXI_ARBITRATION_SCHEME": [ { "value": "TDM", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "CAS_LATENCY": [ { "value": "11", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CAS_WRITE_LATENCY": [ { "value": "11", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ] + }, + "port_maps": { + "DQ": [ { "physical_name": "c1_ddr3_dq" } ], + "DQS_P": [ { "physical_name": "c1_ddr3_dqs_p" } ], + "DQS_N": [ { "physical_name": "c1_ddr3_dqs_n" } ], + "ADDR": [ { "physical_name": "c1_ddr3_addr" } ], + "BA": [ { "physical_name": "c1_ddr3_ba" } ], + "RAS_N": [ { "physical_name": "c1_ddr3_ras_n" } ], + "CAS_N": [ { "physical_name": "c1_ddr3_cas_n" } ], + "WE_N": [ { "physical_name": "c1_ddr3_we_n" } ], + "RESET_N": [ { "physical_name": "c1_ddr3_reset_n" } ], + "CK_P": [ { "physical_name": "c1_ddr3_ck_p" } ], + "CK_N": [ { "physical_name": "c1_ddr3_ck_n" } ], + "CKE": [ { "physical_name": "c1_ddr3_cke" } ], + "CS_N": [ { "physical_name": "c1_ddr3_cs_n" } ], + "ODT": [ { "physical_name": "c1_ddr3_odt" } ] + } + }, + "C1_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "c1_ui_clk_sync_rst" } ] + } + }, + "C1_DDR3_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C1_DDR2_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C1_LPDDR2_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C1_QDRIIP_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C1_RLDII_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C1_RLDIII_RESET": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C1_CLOCK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_BUSIF": [ { "value": "S1_AXI:S1_AXI_CTRL", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "ASSOCIATED_RESET": [ { "value": "c1_aresetn:c1_ui_clk_sync_rst", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "c1_ui_clk" } ] + } + }, + "C1_MMCM_CLKOUT0": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_ASYNC_RESET": [ { "value": "c1_aresetn", "value_src": "constant", "usage": "all" } ], + "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C1_MMCM_CLKOUT1": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_ASYNC_RESET": [ { "value": "c1_aresetn", "value_src": "constant", "usage": "all" } ], + "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C1_MMCM_CLKOUT2": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_ASYNC_RESET": [ { "value": "c1_aresetn", "value_src": "constant", "usage": "all" } ], + "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C1_MMCM_CLKOUT3": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_ASYNC_RESET": [ { "value": "c1_aresetn", "value_src": "constant", "usage": "all" } ], + "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "C1_MMCM_CLKOUT4": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "10", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "ASSOCIATED_ASYNC_RESET": [ { "value": "c1_aresetn", "value_src": "constant", "usage": "all" } ], + "PHASE": [ { "value": "0", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + } + }, + "S1_AXI_CTRL": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "memory_map_ref": "c1_s_axi_ctrl_memmap", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4LITE", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_LOCK": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_PROT": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_CACHE": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "1", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "AWVALID": [ { "physical_name": "c1_s_axi_ctrl_awvalid" } ], + "AWREADY": [ { "physical_name": "c1_s_axi_ctrl_awready" } ], + "AWADDR": [ { "physical_name": "c1_s_axi_ctrl_awaddr" } ], + "WVALID": [ { "physical_name": "c1_s_axi_ctrl_wvalid" } ], + "WREADY": [ { "physical_name": "c1_s_axi_ctrl_wready" } ], + "WDATA": [ { "physical_name": "c1_s_axi_ctrl_wdata" } ], + "BVALID": [ { "physical_name": "c1_s_axi_ctrl_bvalid" } ], + "BREADY": [ { "physical_name": "c1_s_axi_ctrl_bready" } ], + "BRESP": [ { "physical_name": "c1_s_axi_ctrl_bresp" } ], + "ARVALID": [ { "physical_name": "c1_s_axi_ctrl_arvalid" } ], + "ARREADY": [ { "physical_name": "c1_s_axi_ctrl_arready" } ], + "ARADDR": [ { "physical_name": "c1_s_axi_ctrl_araddr" } ], + "RVALID": [ { "physical_name": "c1_s_axi_ctrl_rvalid" } ], + "RREADY": [ { "physical_name": "c1_s_axi_ctrl_rready" } ], + "RDATA": [ { "physical_name": "c1_s_axi_ctrl_rdata" } ], + "RRESP": [ { "physical_name": "c1_s_axi_ctrl_rresp" } ] + } + }, + "S1_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "memory_map_ref": "c1_memmap", + "parameters": { + "FREQ_HZ": [ { "value": "133333333", "value_permission": "bd", "resolve_type": "dependent", "format": "float", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "512", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "ID_WIDTH": [ { "value": "4", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "31", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "PHASE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_mig_7series_1_0_c1_ui_clk", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "AWID": [ { "physical_name": "c1_s_axi_awid" } ], + "AWADDR": [ { "physical_name": "c1_s_axi_awaddr" } ], + "AWLEN": [ { "physical_name": "c1_s_axi_awlen" } ], + "AWSIZE": [ { "physical_name": "c1_s_axi_awsize" } ], + "AWBURST": [ { "physical_name": "c1_s_axi_awburst" } ], + "AWLOCK": [ { "physical_name": "c1_s_axi_awlock" } ], + "AWCACHE": [ { "physical_name": "c1_s_axi_awcache" } ], + "AWPROT": [ { "physical_name": "c1_s_axi_awprot" } ], + "AWQOS": [ { "physical_name": "c1_s_axi_awqos" } ], + "AWVALID": [ { "physical_name": "c1_s_axi_awvalid" } ], + "AWREADY": [ { "physical_name": "c1_s_axi_awready" } ], + "WDATA": [ { "physical_name": "c1_s_axi_wdata" } ], + "WSTRB": [ { "physical_name": "c1_s_axi_wstrb" } ], + "WLAST": [ { "physical_name": "c1_s_axi_wlast" } ], + "WVALID": [ { "physical_name": "c1_s_axi_wvalid" } ], + "WREADY": [ { "physical_name": "c1_s_axi_wready" } ], + "BREADY": [ { "physical_name": "c1_s_axi_bready" } ], + "BID": [ { "physical_name": "c1_s_axi_bid" } ], + "BRESP": [ { "physical_name": "c1_s_axi_bresp" } ], + "BVALID": [ { "physical_name": "c1_s_axi_bvalid" } ], + "ARID": [ { "physical_name": "c1_s_axi_arid" } ], + "ARADDR": [ { "physical_name": "c1_s_axi_araddr" } ], + "ARLEN": [ { "physical_name": "c1_s_axi_arlen" } ], + "ARSIZE": [ { "physical_name": "c1_s_axi_arsize" } ], + "ARBURST": [ { "physical_name": "c1_s_axi_arburst" } ], + "ARLOCK": [ { "physical_name": "c1_s_axi_arlock" } ], + "ARCACHE": [ { "physical_name": "c1_s_axi_arcache" } ], + "ARPROT": [ { "physical_name": "c1_s_axi_arprot" } ], + "ARQOS": [ { "physical_name": "c1_s_axi_arqos" } ], + "ARVALID": [ { "physical_name": "c1_s_axi_arvalid" } ], + "ARREADY": [ { "physical_name": "c1_s_axi_arready" } ], + "RREADY": [ { "physical_name": "c1_s_axi_rready" } ], + "RID": [ { "physical_name": "c1_s_axi_rid" } ], + "RDATA": [ { "physical_name": "c1_s_axi_rdata" } ], + "RRESP": [ { "physical_name": "c1_s_axi_rresp" } ], + "RLAST": [ { "physical_name": "c1_s_axi_rlast" } ], + "RVALID": [ { "physical_name": "c1_s_axi_rvalid" } ] + } + }, + "C1_SYS_CLK": { + "vlnv": "xilinx.com:interface:diff_clock:1.0", + "abstraction_type": "xilinx.com:interface:diff_clock_rtl:1.0", + "mode": "slave", + "parameters": { + "CAN_DEBUG": [ { "value": "false", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_static_object": false } ] + }, + "port_maps": { + "CLK_P": [ { "physical_name": "c1_sys_clk_p" } ], + "CLK_N": [ { "physical_name": "c1_sys_clk_n" } ] + } + }, + "C1_ARESETN": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARESETN": [ { "physical_name": "c1_aresetn" } ] + } + }, + "C2_ARESETN": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "C3_ARESETN": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "C4_ARESETN": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "C5_ARESETN": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "C6_ARESETN": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "C7_ARESETN": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + } + }, + "memory_maps": { + "c0_s_axi_ctrl_memmap": { + "address_blocks": { + "c0_s_axi_ctrl_memaddr": { + "base_address": "0", + "range": "1048576", + "usage": "register", + "access": "read-write" + } + } + }, + "c0_memmap": { + "address_blocks": { + "c0_memaddr": { + "base_address": "0", + "range": "2147483648", + "usage": "memory", + "access": "read-write" + } + } + }, + "c1_s_axi_ctrl_memmap": { + "address_blocks": { + "c1_s_axi_ctrl_memaddr": { + "base_address": "0", + "range": "1048576", + "usage": "register", + "access": "read-write" + } + } + }, + "c1_memmap": { + "address_blocks": { + "c1_memaddr": { + "base_address": "0", + "range": "2147483648", + "usage": "memory", + "access": "read-write" + } + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_a.prj b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_a.prj new file mode 100644 index 0000000..796d3d5 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_a.prj @@ -0,0 +1,232 @@ + + + + + + + + Top_mig_7series_1_0 + + 1 + + 1 + + OFF + + 1024 + + ON + + Enabled + + xc7k480t-ffg1156/-2L + + 4.2 + + Differential + + Use System Clock + + ACTIVE LOW + + FALSE + + 0 + + 50 Ohms + + 0 + + + 7k/xc7k420ti-ffg1156 + + + + DDR3_SDRAM/Components/MT41K256M8XX-125 + 1875 + 1.8V + 4:1 + 200 + 0 + 1066 + 1.000 + 1 + 1 + 1 + 1 + 72 + 1 + 0 + Enabled + Normal + 4 + FALSE + + 15 + 10 + 3 + 1.5V + 2147483648 + BANK_ROW_COLUMN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 8 - Fixed + Sequential + 7 + Normal + No + Slow Exit + Enable + RZQ/6 + Disable + Enable + RZQ/4 + 0 + Disabled + Enabled + Output Buffer Enabled + Full Array + 6 + Enabled + Normal + Dynamic ODT off + AXI + + RD_PRI_REG + 31 + 512 + 4 + 0 + + + + + diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_b.prj b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_b.prj new file mode 100644 index 0000000..9fbb15e --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/mig_b.prj @@ -0,0 +1,414 @@ + + + + + + + + Top_mig_7series_1_0 + + 1 + + 1 + + Disable + + 1024 + + ON + + Enabled + + xc7k480t-ffg1156/-2L + + 4.2 + + Differential + + Use System Clock + + ACTIVE LOW + + FALSE + + 0 + + 50 Ohms + + 0 + + + 7k/xc7k420ti-ffg1156 + + + + DDR3_SDRAM/Components/MT41K256M8XX-125 + 1875 + 1.8V + 4:1 + 200 + 0 + 1066 + 1.000 + 1 + 1 + 1 + 1 + 72 + 1 + 0 + Enabled + Normal + 4 + FALSE + + 15 + 10 + 3 + 1.5V + 2147483648 + BANK_ROW_COLUMN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 8 - Fixed + Sequential + 7 + Normal + No + Slow Exit + Enable + RZQ/7 + Disable + Enable + RZQ/4 + 0 + Disabled + Enabled + Output Buffer Enabled + Full Array + 6 + Enabled + Normal + Dynamic ODT off + AXI + + RD_PRI_REG + 31 + 512 + 4 + 0 + + + + + DDR3_SDRAM/Components/MT41K256M8XX-125 + 1875 + 1.8V + 4:1 + 200 + 0 + 1066 + 1.000 + 1 + 1 + 1 + 1 + 72 + 1 + 0 + Enabled + Normal + 4 + FALSE + + 15 + 10 + 3 + 1.5V + 2147483648 + BANK_ROW_COLUMN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 8 - Fixed + Sequential + 7 + Normal + No + Slow Exit + Enable + RZQ/7 + Disable + Enable + RZQ/4 + 0 + Disabled + Enabled + Output Buffer Enabled + Full Array + 6 + Enabled + Normal + Dynamic ODT off + AXI + + RD_PRI_REG + 31 + 512 + 4 + 0 + + + + + diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci new file mode 100644 index 0000000..e17ac24 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci @@ -0,0 +1,119 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_util_ds_buf_0_0", + "cell_name": "util_ds_buf_0", + "component_reference": "xilinx.com:ip:util_ds_buf:2.2", + "ip_revision": "29", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_ds_buf_0_0", + "parameters": { + "component_parameters": { + "C_SIZE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "C_BUF_TYPE": [ { "value": "IBUFDSGTE", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "Top_util_ds_buf_0_0", "resolve_type": "user", "usage": "all" } ], + "USE_BOARD_FLOW": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "BOARD_PARAMETER": [ { "value": " ", "resolve_type": "user", "usage": "all" } ], + "FREQ_HZ": [ { "value": "156250000", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "DIFF_CLK_IN_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "C_BUFGCE_DIV": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "C_BUFG_GT_SYNC": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "C_OBUFDS_GTE5_ADV": [ { "value": "\"00\"", "resolve_type": "user", "format": "bitString", "enabled": false, "usage": "all" } ], + "C_REFCLK_ICNTL_TX": [ { "value": "\"00000\"", "resolve_type": "user", "format": "bitString", "enabled": false, "usage": "all" } ] + }, + "model_parameters": { + "C_BUF_TYPE": [ { "value": "ibufdsgte2", "resolve_type": "generated", "usage": "all" } ], + "C_SIZE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_BUFGCE_DIV": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_BUFG_GT_SYNC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SIM_DEVICE": [ { "value": "VERSAL_AI_CORE_ES1", "resolve_type": "generated", "usage": "all" } ], + "C_OBUFDS_GTE5_ADV": [ { "value": "\"00\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_REFCLK_ICNTL_TX": [ { "value": "\"00000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "29" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_ds_buf_0_0" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "IBUF_DS_P": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "IBUF_DS_N": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "IBUF_OUT": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "IBUF_DS_ODIV2": [ { "direction": "out", "size_left": "0", "size_right": "0" } ] + }, + "interfaces": { + "CLK_IN_D": { + "vlnv": "xilinx.com:interface:diff_clock:1.0", + "abstraction_type": "xilinx.com:interface:diff_clock_rtl:1.0", + "mode": "slave", + "parameters": { + "BOARD.ASSOCIATED_PARAM": [ { "value": "DIFF_CLK_IN_BOARD_INTERFACE", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "CAN_DEBUG": [ { "value": "false", "value_permission": "bd", "resolve_type": "generated", "format": "bool", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK_P": [ { "physical_name": "IBUF_DS_P" } ], + "CLK_N": [ { "physical_name": "IBUF_DS_N" } ] + } + }, + "IBUF_OUT": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_util_ds_buf_0_0_IBUF_OUT", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "IBUF_OUT" } ] + } + }, + "IBUF_DS_ODIV2": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_util_ds_buf_0_0_IBUF_DS_ODIV2", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "IBUF_DS_ODIV2" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci new file mode 100644 index 0000000..d0ade08 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci @@ -0,0 +1,53 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_util_vector_logic_1_3", + "cell_name": "util_vector_logic_1", + "component_reference": "xilinx.com:ip:util_vector_logic:2.0", + "ip_revision": "2", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_3", + "parameters": { + "component_parameters": { + "Component_Name": [ { "value": "Top_util_vector_logic_1_3", "resolve_type": "user", "usage": "all" } ], + "C_SIZE": [ { "value": "8", "resolve_type": "user", "format": "long", "usage": "all" } ], + "C_OPERATION": [ { "value": "not", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "LOGO_FILE": [ { "value": "data/sym_notgate.png", "resolve_type": "user", "enabled": false, "usage": "all" } ] + }, + "model_parameters": { + "C_OPERATION": [ { "value": "not", "resolve_type": "generated", "usage": "all" } ], + "C_SIZE": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "2" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_3" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "Op1": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "Res": [ { "direction": "out", "size_left": "7", "size_right": "0" } ] + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci new file mode 100644 index 0000000..7369d22 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci @@ -0,0 +1,53 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_util_vector_logic_1_4", + "cell_name": "util_vector_logic_2", + "component_reference": "xilinx.com:ip:util_vector_logic:2.0", + "ip_revision": "2", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_4", + "parameters": { + "component_parameters": { + "Component_Name": [ { "value": "Top_util_vector_logic_1_4", "resolve_type": "user", "usage": "all" } ], + "C_SIZE": [ { "value": "8", "resolve_type": "user", "format": "long", "usage": "all" } ], + "C_OPERATION": [ { "value": "not", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "LOGO_FILE": [ { "value": "data/sym_notgate.png", "resolve_type": "user", "enabled": false, "usage": "all" } ] + }, + "model_parameters": { + "C_OPERATION": [ { "value": "not", "resolve_type": "generated", "usage": "all" } ], + "C_SIZE": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "2" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_4" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "Op1": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "Res": [ { "direction": "out", "size_left": "7", "size_right": "0" } ] + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci new file mode 100644 index 0000000..417c855 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci @@ -0,0 +1,1825 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_xbar_0", + "cell_name": "axi_interconnect_0/xbar", + "component_reference": "xilinx.com:ip:axi_crossbar:2.1", + "ip_revision": "28", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xbar_0", + "parameters": { + "component_parameters": { + "ADDR_RANGES": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "NUM_SI": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "NUM_MI": [ { "value": "5", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "STRATEGY": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "512", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CONNECTIVITY_MODE": [ { "value": "SAMD", "resolve_type": "user", "usage": "all" } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "R_REGISTER": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "M00_S00_READ_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S00_READ_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S00_READ_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S00_READ_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S00_READ_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S00_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S01_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S02_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S03_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S04_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S05_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S06_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S07_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S08_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S09_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S10_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S11_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S12_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S13_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S14_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S15_READ_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S00_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S01_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S02_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S03_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S04_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S05_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S06_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S07_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S08_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S09_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S10_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S11_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S12_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S13_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S14_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_S15_WRITE_CONNECTIVITY": [ { "value": "1", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_THREAD_ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_THREAD_ID_WIDTH": [ { "value": "0", "value_permission": "none", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_WRITE_ACCEPTANCE": [ { "value": "16", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_WRITE_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_READ_ACCEPTANCE": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_READ_ACCEPTANCE": [ { "value": "2", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_WRITE_ISSUING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_WRITE_ISSUING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_WRITE_ISSUING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_WRITE_ISSUING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_WRITE_ISSUING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_WRITE_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_READ_ISSUING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_READ_ISSUING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_READ_ISSUING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_READ_ISSUING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_READ_ISSUING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_READ_ISSUING": [ { "value": "4", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_ERR_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_SINGLE_THREAD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_SECURE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_BASE_ID": [ { "value": "0x00000000", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S01_BASE_ID": [ { "value": "0x00000001", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S02_BASE_ID": [ { "value": "0x00000002", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S03_BASE_ID": [ { "value": "0x00000003", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S04_BASE_ID": [ { "value": "0x00000004", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S05_BASE_ID": [ { "value": "0x00000005", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S06_BASE_ID": [ { "value": "0x00000006", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S07_BASE_ID": [ { "value": "0x00000007", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S08_BASE_ID": [ { "value": "0x00000008", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S09_BASE_ID": [ { "value": "0x00000009", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S10_BASE_ID": [ { "value": "0x0000000a", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S11_BASE_ID": [ { "value": "0x0000000b", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S12_BASE_ID": [ { "value": "0x0000000c", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S13_BASE_ID": [ { "value": "0x0000000d", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S14_BASE_ID": [ { "value": "0x0000000e", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "S15_BASE_ID": [ { "value": "0x0000000f", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A00_BASE_ADDR": [ { "value": "0x0000000100000000", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A00_BASE_ADDR": [ { "value": "0x0000000080000000", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M01_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A00_BASE_ADDR": [ { "value": "0x0000000100100000", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M02_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A00_BASE_ADDR": [ { "value": "0x0000000000000000", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M03_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A00_BASE_ADDR": [ { "value": "0x0000000200000000", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M04_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M05_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M06_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M07_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M08_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M09_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M10_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M11_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M12_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M13_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M14_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A00_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A01_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A02_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A03_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A04_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A05_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A06_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A07_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A08_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A09_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A10_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A11_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A12_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A13_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A14_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M15_A15_BASE_ADDR": [ { "value": "0xffffffffffffffff", "value_permission": "bd_and_user", "resolve_type": "user", "format": "bitString", "usage": "all" } ], + "M00_A00_ADDR_WIDTH": [ { "value": "20", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A00_ADDR_WIDTH": [ { "value": "31", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M01_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A00_ADDR_WIDTH": [ { "value": "20", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M02_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A00_ADDR_WIDTH": [ { "value": "31", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M03_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A00_ADDR_WIDTH": [ { "value": "13", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M04_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M05_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M06_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M07_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M08_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M09_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M10_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M11_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M12_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M13_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M14_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A00_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A01_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A02_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A03_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A04_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A05_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A06_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A07_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A08_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A09_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A10_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A11_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A12_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A13_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A14_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M15_A15_ADDR_WIDTH": [ { "value": "0", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Component_Name": [ { "value": "Top_xbar_0", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_NUM_SLAVE_SLOTS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_NUM_MASTER_SLOTS": [ { "value": "5", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_DATA_WIDTH": [ { "value": "512", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_PROTOCOL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_NUM_ADDR_RANGES": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_BASE_ADDR": [ { "value": "0x00000002000000000000000000000000000000010010000000000000800000000000000100000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_M_AXI_ADDR_WIDTH": [ { "value": "0x0000000d0000001f000000140000001f00000014", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S_AXI_BASE_ID": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S_AXI_THREAD_ID_WIDTH": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXI_SUPPORTS_USER_SIGNALS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_AWUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ARUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_WUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_RUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_BUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_WRITE_CONNECTIVITY": [ { "value": "0x0000000100000001000000010000000100000001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_M_AXI_READ_CONNECTIVITY": [ { "value": "0x0000000100000001000000010000000100000001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_R_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_SINGLE_THREAD": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S_AXI_WRITE_ACCEPTANCE": [ { "value": "0x00000010", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S_AXI_READ_ACCEPTANCE": [ { "value": "0x00000020", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_M_AXI_WRITE_ISSUING": [ { "value": "0x0000001000000008000000100000000800000010", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_M_AXI_READ_ISSUING": [ { "value": "0x0000002000000008000000200000000800000020", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S_AXI_ARB_PRIORITY": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_M_AXI_SECURE": [ { "value": "0x0000000000000000000000000000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_CONNECTIVITY_MODE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "28" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xbar_0" } ], + "SELECTEDSIMMODEL": [ { "value": "rtl" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "aclk": [ { "direction": "in" } ], + "aresetn": [ { "direction": "in" } ], + "s_axi_awaddr": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ], + "s_axi_awlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_awsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awvalid": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_awready": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "s_axi_wdata": [ { "direction": "in", "size_left": "511", "size_right": "0", "driver_value": "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "s_axi_wstrb": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0xFFFFFFFFFFFFFFFF" } ], + "s_axi_wlast": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x1" } ], + "s_axi_wvalid": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_wready": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "s_axi_bresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_bvalid": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "s_axi_bready": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_araddr": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ], + "s_axi_arlen": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ], + "s_axi_arsize": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arburst": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arlock": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arcache": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arprot": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arqos": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arvalid": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "s_axi_arready": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "s_axi_rdata": [ { "direction": "out", "size_left": "511", "size_right": "0" } ], + "s_axi_rresp": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "s_axi_rlast": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "s_axi_rvalid": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "s_axi_rready": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "319", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "39", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "14", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "9", "size_right": "0" } ], + "m_axi_awlock": [ { "direction": "out", "size_left": "4", "size_right": "0" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "19", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "14", "size_right": "0" } ], + "m_axi_awregion": [ { "direction": "out", "size_left": "19", "size_right": "0" } ], + "m_axi_awqos": [ { "direction": "out", "size_left": "19", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out", "size_left": "4", "size_right": "0" } ], + "m_axi_awready": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x00" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "2559", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "319", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out", "size_left": "4", "size_right": "0" } ], + "m_axi_wvalid": [ { "direction": "out", "size_left": "4", "size_right": "0" } ], + "m_axi_wready": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x00" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "9", "size_right": "0", "driver_value": "0x000" } ], + "m_axi_bvalid": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x00" } ], + "m_axi_bready": [ { "direction": "out", "size_left": "4", "size_right": "0" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "319", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "39", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "14", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "9", "size_right": "0" } ], + "m_axi_arlock": [ { "direction": "out", "size_left": "4", "size_right": "0" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "19", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "14", "size_right": "0" } ], + "m_axi_arregion": [ { "direction": "out", "size_left": "19", "size_right": "0" } ], + "m_axi_arqos": [ { "direction": "out", "size_left": "19", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out", "size_left": "4", "size_right": "0" } ], + "m_axi_arready": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x00" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "2559", "size_right": "0", "driver_value": "0x0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "9", "size_right": "0", "driver_value": "0x000" } ], + "m_axi_rlast": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x1F" } ], + "m_axi_rvalid": [ { "direction": "in", "size_left": "4", "size_right": "0", "driver_value": "0x00" } ], + "m_axi_rready": [ { "direction": "out", "size_left": "4", "size_right": "0" } ] + }, + "interfaces": { + "RSTIF": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "TYPE": [ { "value": "INTERCONNECT", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "aresetn" } ] + } + }, + "CLKIF": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "M00_AXI:M01_AXI:M02_AXI:M03_AXI:M04_AXI:M05_AXI:M06_AXI:M07_AXI:M08_AXI:M09_AXI:M10_AXI:M11_AXI:M12_AXI:M13_AXI:M14_AXI:M15_AXI:S00_AXI:S01_AXI:S02_AXI:S03_AXI:S04_AXI:S05_AXI:S06_AXI:S07_AXI:S08_AXI:S09_AXI:S10_AXI:S11_AXI:S12_AXI:S13_AXI:S14_AXI:S15_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "aclk" } ] + } + }, + "S00_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "2", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "2", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "s_axi_awaddr", "physical_left": "63", "physical_right": "0" } ], + "AWLEN": [ { "physical_name": "s_axi_awlen", "physical_left": "7", "physical_right": "0" } ], + "AWSIZE": [ { "physical_name": "s_axi_awsize", "physical_left": "2", "physical_right": "0" } ], + "AWBURST": [ { "physical_name": "s_axi_awburst", "physical_left": "1", "physical_right": "0" } ], + "AWLOCK": [ { "physical_name": "s_axi_awlock", "physical_left": "0", "physical_right": "0" } ], + "AWCACHE": [ { "physical_name": "s_axi_awcache", "physical_left": "3", "physical_right": "0" } ], + "AWPROT": [ { "physical_name": "s_axi_awprot", "physical_left": "2", "physical_right": "0" } ], + "AWQOS": [ { "physical_name": "s_axi_awqos", "physical_left": "3", "physical_right": "0" } ], + "AWVALID": [ { "physical_name": "s_axi_awvalid", "physical_left": "0", "physical_right": "0" } ], + "AWREADY": [ { "physical_name": "s_axi_awready", "physical_left": "0", "physical_right": "0" } ], + "WDATA": [ { "physical_name": "s_axi_wdata", "physical_left": "511", "physical_right": "0" } ], + "WSTRB": [ { "physical_name": "s_axi_wstrb", "physical_left": "63", "physical_right": "0" } ], + "WLAST": [ { "physical_name": "s_axi_wlast", "physical_left": "0", "physical_right": "0" } ], + "WVALID": [ { "physical_name": "s_axi_wvalid", "physical_left": "0", "physical_right": "0" } ], + "WREADY": [ { "physical_name": "s_axi_wready", "physical_left": "0", "physical_right": "0" } ], + "BRESP": [ { "physical_name": "s_axi_bresp", "physical_left": "1", "physical_right": "0" } ], + "BVALID": [ { "physical_name": "s_axi_bvalid", "physical_left": "0", "physical_right": "0" } ], + "BREADY": [ { "physical_name": "s_axi_bready", "physical_left": "0", "physical_right": "0" } ], + "ARADDR": [ { "physical_name": "s_axi_araddr", "physical_left": "63", "physical_right": "0" } ], + "ARLEN": [ { "physical_name": "s_axi_arlen", "physical_left": "7", "physical_right": "0" } ], + "ARSIZE": [ { "physical_name": "s_axi_arsize", "physical_left": "2", "physical_right": "0" } ], + "ARBURST": [ { "physical_name": "s_axi_arburst", "physical_left": "1", "physical_right": "0" } ], + "ARLOCK": [ { "physical_name": "s_axi_arlock", "physical_left": "0", "physical_right": "0" } ], + "ARCACHE": [ { "physical_name": "s_axi_arcache", "physical_left": "3", "physical_right": "0" } ], + "ARPROT": [ { "physical_name": "s_axi_arprot", "physical_left": "2", "physical_right": "0" } ], + "ARQOS": [ { "physical_name": "s_axi_arqos", "physical_left": "3", "physical_right": "0" } ], + "ARVALID": [ { "physical_name": "s_axi_arvalid", "physical_left": "0", "physical_right": "0" } ], + "ARREADY": [ { "physical_name": "s_axi_arready", "physical_left": "0", "physical_right": "0" } ], + "RDATA": [ { "physical_name": "s_axi_rdata", "physical_left": "511", "physical_right": "0" } ], + "RRESP": [ { "physical_name": "s_axi_rresp", "physical_left": "1", "physical_right": "0" } ], + "RLAST": [ { "physical_name": "s_axi_rlast", "physical_left": "0", "physical_right": "0" } ], + "RVALID": [ { "physical_name": "s_axi_rvalid", "physical_left": "0", "physical_right": "0" } ], + "RREADY": [ { "physical_name": "s_axi_rready", "physical_left": "0", "physical_right": "0" } ] + } + }, + "M00_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr", "physical_left": "63", "physical_right": "0" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen", "physical_left": "7", "physical_right": "0" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize", "physical_left": "2", "physical_right": "0" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst", "physical_left": "1", "physical_right": "0" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock", "physical_left": "0", "physical_right": "0" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache", "physical_left": "3", "physical_right": "0" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot", "physical_left": "2", "physical_right": "0" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion", "physical_left": "3", "physical_right": "0" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos", "physical_left": "3", "physical_right": "0" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid", "physical_left": "0", "physical_right": "0" } ], + "AWREADY": [ { "physical_name": "m_axi_awready", "physical_left": "0", "physical_right": "0" } ], + "WDATA": [ { "physical_name": "m_axi_wdata", "physical_left": "511", "physical_right": "0" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb", "physical_left": "63", "physical_right": "0" } ], + "WLAST": [ { "physical_name": "m_axi_wlast", "physical_left": "0", "physical_right": "0" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid", "physical_left": "0", "physical_right": "0" } ], + "WREADY": [ { "physical_name": "m_axi_wready", "physical_left": "0", "physical_right": "0" } ], + "BRESP": [ { "physical_name": "m_axi_bresp", "physical_left": "1", "physical_right": "0" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid", "physical_left": "0", "physical_right": "0" } ], + "BREADY": [ { "physical_name": "m_axi_bready", "physical_left": "0", "physical_right": "0" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr", "physical_left": "63", "physical_right": "0" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen", "physical_left": "7", "physical_right": "0" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize", "physical_left": "2", "physical_right": "0" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst", "physical_left": "1", "physical_right": "0" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock", "physical_left": "0", "physical_right": "0" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache", "physical_left": "3", "physical_right": "0" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot", "physical_left": "2", "physical_right": "0" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion", "physical_left": "3", "physical_right": "0" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos", "physical_left": "3", "physical_right": "0" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid", "physical_left": "0", "physical_right": "0" } ], + "ARREADY": [ { "physical_name": "m_axi_arready", "physical_left": "0", "physical_right": "0" } ], + "RDATA": [ { "physical_name": "m_axi_rdata", "physical_left": "511", "physical_right": "0" } ], + "RRESP": [ { "physical_name": "m_axi_rresp", "physical_left": "1", "physical_right": "0" } ], + "RLAST": [ { "physical_name": "m_axi_rlast", "physical_left": "0", "physical_right": "0" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid", "physical_left": "0", "physical_right": "0" } ], + "RREADY": [ { "physical_name": "m_axi_rready", "physical_left": "0", "physical_right": "0" } ] + } + }, + "M01_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr", "physical_left": "127", "physical_right": "64" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen", "physical_left": "15", "physical_right": "8" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize", "physical_left": "5", "physical_right": "3" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst", "physical_left": "3", "physical_right": "2" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock", "physical_left": "1", "physical_right": "1" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache", "physical_left": "7", "physical_right": "4" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot", "physical_left": "5", "physical_right": "3" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion", "physical_left": "7", "physical_right": "4" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos", "physical_left": "7", "physical_right": "4" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid", "physical_left": "1", "physical_right": "1" } ], + "AWREADY": [ { "physical_name": "m_axi_awready", "physical_left": "1", "physical_right": "1" } ], + "WDATA": [ { "physical_name": "m_axi_wdata", "physical_left": "1023", "physical_right": "512" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb", "physical_left": "127", "physical_right": "64" } ], + "WLAST": [ { "physical_name": "m_axi_wlast", "physical_left": "1", "physical_right": "1" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid", "physical_left": "1", "physical_right": "1" } ], + "WREADY": [ { "physical_name": "m_axi_wready", "physical_left": "1", "physical_right": "1" } ], + "BRESP": [ { "physical_name": "m_axi_bresp", "physical_left": "3", "physical_right": "2" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid", "physical_left": "1", "physical_right": "1" } ], + "BREADY": [ { "physical_name": "m_axi_bready", "physical_left": "1", "physical_right": "1" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr", "physical_left": "127", "physical_right": "64" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen", "physical_left": "15", "physical_right": "8" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize", "physical_left": "5", "physical_right": "3" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst", "physical_left": "3", "physical_right": "2" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock", "physical_left": "1", "physical_right": "1" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache", "physical_left": "7", "physical_right": "4" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot", "physical_left": "5", "physical_right": "3" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion", "physical_left": "7", "physical_right": "4" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos", "physical_left": "7", "physical_right": "4" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid", "physical_left": "1", "physical_right": "1" } ], + "ARREADY": [ { "physical_name": "m_axi_arready", "physical_left": "1", "physical_right": "1" } ], + "RDATA": [ { "physical_name": "m_axi_rdata", "physical_left": "1023", "physical_right": "512" } ], + "RRESP": [ { "physical_name": "m_axi_rresp", "physical_left": "3", "physical_right": "2" } ], + "RLAST": [ { "physical_name": "m_axi_rlast", "physical_left": "1", "physical_right": "1" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid", "physical_left": "1", "physical_right": "1" } ], + "RREADY": [ { "physical_name": "m_axi_rready", "physical_left": "1", "physical_right": "1" } ] + } + }, + "M02_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr", "physical_left": "191", "physical_right": "128" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen", "physical_left": "23", "physical_right": "16" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize", "physical_left": "8", "physical_right": "6" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst", "physical_left": "5", "physical_right": "4" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock", "physical_left": "2", "physical_right": "2" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache", "physical_left": "11", "physical_right": "8" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot", "physical_left": "8", "physical_right": "6" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion", "physical_left": "11", "physical_right": "8" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos", "physical_left": "11", "physical_right": "8" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid", "physical_left": "2", "physical_right": "2" } ], + "AWREADY": [ { "physical_name": "m_axi_awready", "physical_left": "2", "physical_right": "2" } ], + "WDATA": [ { "physical_name": "m_axi_wdata", "physical_left": "1535", "physical_right": "1024" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb", "physical_left": "191", "physical_right": "128" } ], + "WLAST": [ { "physical_name": "m_axi_wlast", "physical_left": "2", "physical_right": "2" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid", "physical_left": "2", "physical_right": "2" } ], + "WREADY": [ { "physical_name": "m_axi_wready", "physical_left": "2", "physical_right": "2" } ], + "BRESP": [ { "physical_name": "m_axi_bresp", "physical_left": "5", "physical_right": "4" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid", "physical_left": "2", "physical_right": "2" } ], + "BREADY": [ { "physical_name": "m_axi_bready", "physical_left": "2", "physical_right": "2" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr", "physical_left": "191", "physical_right": "128" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen", "physical_left": "23", "physical_right": "16" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize", "physical_left": "8", "physical_right": "6" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst", "physical_left": "5", "physical_right": "4" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock", "physical_left": "2", "physical_right": "2" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache", "physical_left": "11", "physical_right": "8" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot", "physical_left": "8", "physical_right": "6" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion", "physical_left": "11", "physical_right": "8" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos", "physical_left": "11", "physical_right": "8" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid", "physical_left": "2", "physical_right": "2" } ], + "ARREADY": [ { "physical_name": "m_axi_arready", "physical_left": "2", "physical_right": "2" } ], + "RDATA": [ { "physical_name": "m_axi_rdata", "physical_left": "1535", "physical_right": "1024" } ], + "RRESP": [ { "physical_name": "m_axi_rresp", "physical_left": "5", "physical_right": "4" } ], + "RLAST": [ { "physical_name": "m_axi_rlast", "physical_left": "2", "physical_right": "2" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid", "physical_left": "2", "physical_right": "2" } ], + "RREADY": [ { "physical_name": "m_axi_rready", "physical_left": "2", "physical_right": "2" } ] + } + }, + "M03_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr", "physical_left": "255", "physical_right": "192" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen", "physical_left": "31", "physical_right": "24" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize", "physical_left": "11", "physical_right": "9" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst", "physical_left": "7", "physical_right": "6" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock", "physical_left": "3", "physical_right": "3" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache", "physical_left": "15", "physical_right": "12" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot", "physical_left": "11", "physical_right": "9" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion", "physical_left": "15", "physical_right": "12" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos", "physical_left": "15", "physical_right": "12" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid", "physical_left": "3", "physical_right": "3" } ], + "AWREADY": [ { "physical_name": "m_axi_awready", "physical_left": "3", "physical_right": "3" } ], + "WDATA": [ { "physical_name": "m_axi_wdata", "physical_left": "2047", "physical_right": "1536" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb", "physical_left": "255", "physical_right": "192" } ], + "WLAST": [ { "physical_name": "m_axi_wlast", "physical_left": "3", "physical_right": "3" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid", "physical_left": "3", "physical_right": "3" } ], + "WREADY": [ { "physical_name": "m_axi_wready", "physical_left": "3", "physical_right": "3" } ], + "BRESP": [ { "physical_name": "m_axi_bresp", "physical_left": "7", "physical_right": "6" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid", "physical_left": "3", "physical_right": "3" } ], + "BREADY": [ { "physical_name": "m_axi_bready", "physical_left": "3", "physical_right": "3" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr", "physical_left": "255", "physical_right": "192" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen", "physical_left": "31", "physical_right": "24" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize", "physical_left": "11", "physical_right": "9" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst", "physical_left": "7", "physical_right": "6" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock", "physical_left": "3", "physical_right": "3" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache", "physical_left": "15", "physical_right": "12" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot", "physical_left": "11", "physical_right": "9" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion", "physical_left": "15", "physical_right": "12" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos", "physical_left": "15", "physical_right": "12" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid", "physical_left": "3", "physical_right": "3" } ], + "ARREADY": [ { "physical_name": "m_axi_arready", "physical_left": "3", "physical_right": "3" } ], + "RDATA": [ { "physical_name": "m_axi_rdata", "physical_left": "2047", "physical_right": "1536" } ], + "RRESP": [ { "physical_name": "m_axi_rresp", "physical_left": "7", "physical_right": "6" } ], + "RLAST": [ { "physical_name": "m_axi_rlast", "physical_left": "3", "physical_right": "3" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid", "physical_left": "3", "physical_right": "3" } ], + "RREADY": [ { "physical_name": "m_axi_rready", "physical_left": "3", "physical_right": "3" } ] + } + }, + "M04_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "512", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "ID_WIDTH": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_static_object": false } ] + }, + "port_maps": { + "AWADDR": [ { "physical_name": "m_axi_awaddr", "physical_left": "319", "physical_right": "256" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen", "physical_left": "39", "physical_right": "32" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize", "physical_left": "14", "physical_right": "12" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst", "physical_left": "9", "physical_right": "8" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock", "physical_left": "4", "physical_right": "4" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache", "physical_left": "19", "physical_right": "16" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot", "physical_left": "14", "physical_right": "12" } ], + "AWREGION": [ { "physical_name": "m_axi_awregion", "physical_left": "19", "physical_right": "16" } ], + "AWQOS": [ { "physical_name": "m_axi_awqos", "physical_left": "19", "physical_right": "16" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid", "physical_left": "4", "physical_right": "4" } ], + "AWREADY": [ { "physical_name": "m_axi_awready", "physical_left": "4", "physical_right": "4" } ], + "WDATA": [ { "physical_name": "m_axi_wdata", "physical_left": "2559", "physical_right": "2048" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb", "physical_left": "319", "physical_right": "256" } ], + "WLAST": [ { "physical_name": "m_axi_wlast", "physical_left": "4", "physical_right": "4" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid", "physical_left": "4", "physical_right": "4" } ], + "WREADY": [ { "physical_name": "m_axi_wready", "physical_left": "4", "physical_right": "4" } ], + "BRESP": [ { "physical_name": "m_axi_bresp", "physical_left": "9", "physical_right": "8" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid", "physical_left": "4", "physical_right": "4" } ], + "BREADY": [ { "physical_name": "m_axi_bready", "physical_left": "4", "physical_right": "4" } ], + "ARADDR": [ { "physical_name": "m_axi_araddr", "physical_left": "319", "physical_right": "256" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen", "physical_left": "39", "physical_right": "32" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize", "physical_left": "14", "physical_right": "12" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst", "physical_left": "9", "physical_right": "8" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock", "physical_left": "4", "physical_right": "4" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache", "physical_left": "19", "physical_right": "16" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot", "physical_left": "14", "physical_right": "12" } ], + "ARREGION": [ { "physical_name": "m_axi_arregion", "physical_left": "19", "physical_right": "16" } ], + "ARQOS": [ { "physical_name": "m_axi_arqos", "physical_left": "19", "physical_right": "16" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid", "physical_left": "4", "physical_right": "4" } ], + "ARREADY": [ { "physical_name": "m_axi_arready", "physical_left": "4", "physical_right": "4" } ], + "RDATA": [ { "physical_name": "m_axi_rdata", "physical_left": "2559", "physical_right": "2048" } ], + "RRESP": [ { "physical_name": "m_axi_rresp", "physical_left": "9", "physical_right": "8" } ], + "RLAST": [ { "physical_name": "m_axi_rlast", "physical_left": "4", "physical_right": "4" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid", "physical_left": "4", "physical_right": "4" } ], + "RREADY": [ { "physical_name": "m_axi_rready", "physical_left": "4", "physical_right": "4" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci new file mode 100644 index 0000000..a82da0c --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci @@ -0,0 +1,1592 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_xdma_1_0", + "cell_name": "xdma_1", + "component_reference": "xilinx.com:ip:xdma:4.1", + "ip_revision": "20", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xdma_1_0", + "parameters": { + "component_parameters": { + "Component_Name": [ { "value": "Top_xdma_1_0", "resolve_type": "user", "usage": "all" } ], + "functional_mode": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "mode_selection": [ { "value": "Basic", "resolve_type": "user", "usage": "all" } ], + "device_port_type": [ { "value": "PCI_Express_Endpoint_device", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pcie_blk_locn": [ { "value": "X0Y0", "resolve_type": "user", "usage": "all" } ], + "pl_link_cap_max_link_width": [ { "value": "X1", "resolve_type": "user", "usage": "all" } ], + "pl_link_cap_max_link_speed": [ { "value": "5.0_GT/s", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "ref_clk_freq": [ { "value": "100_MHz", "resolve_type": "user", "usage": "all" } ], + "drp_clk_sel": [ { "value": "Internal", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "free_run_freq": [ { "value": "100_MHz", "resolve_type": "user", "usage": "all" } ], + "axi_addr_width": [ { "value": "64", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "axi_data_width": [ { "value": "64_bit", "resolve_type": "user", "usage": "all" } ], + "axisten_freq": [ { "value": "62.5", "resolve_type": "user", "usage": "all" } ], + "en_axi_slave_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_axi_master_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pipe_sim": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_ext_ch_gt_drp": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_pcie_drp": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "dedicate_perst": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "sys_reset_polarity": [ { "value": "ACTIVE_LOW", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "mcap_enablement": [ { "value": "None", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "mcap_fpga_bitstream_version": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "ext_startup_primitive": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "enable_code": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "vendor_id": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "pf0_device_id": [ { "value": "7021", "resolve_type": "user", "usage": "all" } ], + "pf0_revision_id": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf0_subsystem_vendor_id": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "pf0_subsystem_id": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "pf0_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_base_class_menu": [ { "value": "Simple_communication_controllers", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_base": [ { "value": "07", "resolve_type": "user", "usage": "all" } ], + "pf0_sub_class_interface_menu": [ { "value": "16450_compatible_serial_controller", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_sub": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_interface": [ { "value": "01", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code": [ { "value": "070001", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axilite_master_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "axilite_master_size": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "axilite_master_scale": [ { "value": "Megabytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "xdma_en": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "xdma_size": [ { "value": "64", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "xdma_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axist_bypass_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "axist_bypass_size": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "axist_bypass_scale": [ { "value": "Megabytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_axil_master": [ { "value": "0x00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_xdma": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_axist_bypass": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_interrupt_pin": [ { "value": "INTA", "resolve_type": "user", "usage": "all" } ], + "pf0_msi_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_msi_cap_multimsgcap": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "comp_timeout": [ { "value": "50ms", "resolve_type": "user", "usage": "all" } ], + "timeout0_sel": [ { "value": "14", "resolve_type": "user", "usage": "all" } ], + "timeout1_sel": [ { "value": "15", "resolve_type": "user", "usage": "all" } ], + "timeout_mult": [ { "value": "3", "resolve_type": "user", "usage": "all" } ], + "old_bridge_timeout": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "Shared_Logic_Clk": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic_Both": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic_Gtc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic_Gtc_7xG2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic_Clk_7xG2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic_Both_7xG2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_transceiver_status_ports": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "xdma_rnum_chnl": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "xdma_wnum_chnl": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "xdma_axilite_slave": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "xdma_num_usr_irq": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "xdma_rnum_rids": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "xdma_wnum_rids": [ { "value": "16", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYS_RST_N_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "PCIE_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "EGW_IS_PARENT_IP": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "en_gt_selection": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "select_quad": [ { "value": "GTH_Quad_128", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_PPM_OFFSET": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_SSC_PPM": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "INS_LOSS_NYQ": [ { "value": "15", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PHY_LP_TXPRESET": [ { "value": "4", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "coreclk_freq": [ { "value": "500", "resolve_type": "user", "usage": "all" } ], + "plltype": [ { "value": "QPLL1", "resolve_type": "user", "usage": "all" } ], + "xdma_axi_intf_mm": [ { "value": "AXI_Memory_Mapped", "resolve_type": "user", "usage": "all" } ], + "xdma_pcie_64bit_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "silicon_rev": [ { "value": "Pre-Production", "resolve_type": "user", "usage": "all" } ], + "xdma_dsc_bypass": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "performance": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pcie_extended_tag": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "rx_detect": [ { "value": "Default", "resolve_type": "user", "usage": "all" } ], + "pf0_link_status_slot_clock_config": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "dsc_bypass_rd": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "dsc_bypass_wr": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "xdma_sts_ports": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_msix_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_msix_cap_table_size": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_cap_table_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_cap_table_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_cap_pba_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_cap_pba_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_msix_cap_table_size": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_cap_table_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_cap_table_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_cap_pba_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_cap_pba_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "cfg_mgmt_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ins_loss_profile": [ { "value": "Add-in_Card", "resolve_type": "user", "usage": "all" } ], + "axil_master_64bit_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "axi_bypass_64bit_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "axil_master_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "xdma_pcie_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axi_bypass_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "cfg_ext_if": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "legacy_cfg_ext_if": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "parity_settings": [ { "value": "None", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "ecc_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "en_debug_ports": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "axi_id_width": [ { "value": "4", "resolve_type": "user", "usage": "all" } ], + "vu9p_board": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "type1_membase_memlimit_enable": [ { "value": "Disabled", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "type1_prefetchable_membase_memlimit": [ { "value": "Disabled", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "enable_jtag_dbg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_ltssm_dbg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_ibert": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "axibar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_highaddr_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "axibar_highaddr_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_highaddr_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_highaddr_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_highaddr_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_highaddr_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar2pciebar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "axibar2pciebar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar2pciebar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar2pciebar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar2pciebar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar2pciebar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "include_baroffset_reg": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "BASEADDR": [ { "value": "0x00001000", "resolve_type": "user", "usage": "all" } ], + "HIGHADDR": [ { "value": "0x00001FFF", "resolve_type": "user", "usage": "all" } ], + "s_axi_id_width": [ { "value": "4", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "c_m_axi_num_write": [ { "value": "8", "resolve_type": "user", "usage": "all" } ], + "c_m_axi_num_read": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "c_m_axi_num_readq": [ { "value": "2", "resolve_type": "user", "usage": "all" } ], + "c_s_axi_num_write": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "c_s_axi_num_read": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_impl_locn": [ { "value": "Internal", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axi_aclk_loopback": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar1_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_6": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "bar_indicator": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "bar0_indicator": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "bar1_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "bar2_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "bar3_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "bar4_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "bar5_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "barlite2": [ { "value": "7", "resolve_type": "user", "usage": "all" } ], + "en_dbg_descramble": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "vcu118_board": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tl_pf_enable_reg": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "pf1_vendor_id": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "pf1_device_id": [ { "value": "1041", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code": [ { "value": "070001", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF1_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "PF1_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF1_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_base_class_menu": [ { "value": "Simple_communication_controllers", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_base": [ { "value": "07", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_sub": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf1_sub_class_interface_menu": [ { "value": "16450_compatible_serial_controller", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_interface": [ { "value": "01", "resolve_type": "user", "usage": "all" } ], + "PF1_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ], + "pf1_msi_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF1_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_size": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar0_scale": [ { "value": "Megabytes", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar1_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf1_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf1_bar1_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_64bit": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_64bit": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_device_id": [ { "value": "1040", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code": [ { "value": "058000", "resolve_type": "user", "usage": "all" } ], + "PF2_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF2_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "PF2_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF2_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_base_class_menu": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_base": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_sub": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf2_sub_class_interface_menu": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_interface": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF2_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ], + "pf2_msi_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF2_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_device_id": [ { "value": "1039", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code": [ { "value": "058000", "resolve_type": "user", "usage": "all" } ], + "PF3_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF3_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "PF3_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF3_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_base_class_menu": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_base": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_sub": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf3_sub_class_interface_menu": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_interface": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF3_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ], + "pf3_msi_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF3_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "split_dma": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "split_dma_single_pf": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "mult_pf_des": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf_swap": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "prog_usr_irq_vec_map": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "rcfg_nph_fix_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "post_synth_sim_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "user_pf_two_axilite_bar_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "two_bypass_bar": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "xlnx_ref_board": [ { "value": "None", "resolve_type": "user", "usage": "all" } ], + "en_l23_entry": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf1_pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_pciebar2axibar_6": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "gtwiz_in_core_us": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "gtwiz_in_core_usp": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "dma_reset_source_sel": [ { "value": "User_Reset", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "en_dma_and_bridge": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_coreclk_es1": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pipe_line_stage": [ { "value": "2", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axis_pipe_line_stage": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "vu9p_tul_ex": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "vcu1525_ddr_ex": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_bridge": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_ccix": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ], + "enable_dvsec": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ], + "ext_sys_clk_bufg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "usr_irq_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "axi_vip_in_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "xdma_non_incremental_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "xdma_st_infinite_desc_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "gtcom_in_core_usp": [ { "value": "2", "resolve_type": "user", "usage": "all" } ], + "en_mqdma": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "SRIOV_CAP_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "ext_xvc_vsec_enable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "acs_ext_cap_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "copy_pf0": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "copy_sriov_pf0": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pcie_id_if": [ { "value": "FALSE", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "pf1_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_DEVICE_ID_mqdma": [ { "value": "9021", "resolve_type": "user", "usage": "all" } ], + "PF1_DEVICE_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF2_DEVICE_ID_mqdma": [ { "value": "9221", "resolve_type": "user", "usage": "all" } ], + "PF3_DEVICE_ID_mqdma": [ { "value": "9321", "resolve_type": "user", "usage": "all" } ], + "PF0_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF1_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF2_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF3_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF0_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "PF1_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF1_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF2_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF3_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "pf0_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf0_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf1_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf2_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf3_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "SRIOV_FIRST_VF_OFFSET": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_cap_ver": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_SRIOV_FUNC_DEP_LINK": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_VF_DEVICE_ID": [ { "value": "A031", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ], + "PF1_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "PF1_SRIOV_FUNC_DEP_LINK": [ { "value": "0001", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ], + "PF1_SRIOV_VF_DEVICE_ID": [ { "value": "A131", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "PF2_SRIOV_FUNC_DEP_LINK": [ { "value": "0002", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ], + "PF2_SRIOV_VF_DEVICE_ID": [ { "value": "A231", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "PF3_SRIOV_FUNC_DEP_LINK": [ { "value": "0003", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ], + "PF3_SRIOV_VF_DEVICE_ID": [ { "value": "A331", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_ari_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "MSI_X_OPTIONS": [ { "value": "None", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "dsc_bypass_rd_out": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "dsc_bypass_wr_out": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "num_queues": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "enable_auto_rxeq": [ { "value": "False", "resolve_type": "user", "usage": "all" } ], + "enable_pcie_debug_ports": [ { "value": "False", "resolve_type": "user", "usage": "all" } ], + "enable_pcie_debug": [ { "value": "False", "resolve_type": "user", "usage": "all" } ], + "enable_pcie_debug_axi4_st": [ { "value": "False", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axisten_if_enable_msg_route": [ { "value": "27FFF", "resolve_type": "user", "usage": "all" } ], + "en_axi_mm_mqdma": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_axi_st_mqdma": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_more_clk": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tl_credits_cd": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ], + "tl_credits_ch": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ], + "set_finite_credit": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "disable_bram_pipeline": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "disable_eq_synchronizer": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_resource_reduction": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "c_ats_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "c_pri_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "usplus_es1_seqnum_bypass": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "bridge_registers_offset_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "enable_gen4": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tandem_enable_rfsoc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "local_test": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "gen4_eieos_0s7": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "c_s_axi_supports_narrow_burst": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_ats_switch": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ], + "c_ats_switch_unique_bdf": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "ctrl_skip_mask": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_ats_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_pri_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "aspm_support": [ { "value": "No_ASPM", "resolve_type": "user", "usage": "all" } ], + "pf0_aer_cap_ecrc_gen_and_check_capable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "gen_pipe_debug": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "soft_reset_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "msi_rx_pin_en": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ], + "msix_rx_pin_en": [ { "value": "TRUE", "resolve_type": "user", "usage": "all" } ], + "msix_rx_decode_en": [ { "value": "FALSE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "intx_rx_pin_en": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "msix_type": [ { "value": "HARD", "resolve_type": "user", "usage": "all" } ], + "cfg_space_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "runbit_fix": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "axsize_byte_access_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_lane_reversal": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_mark_debug": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "master_cal_only": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "enable_multi_pcie": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "rbar_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "mpsoc_pl_rp_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "c_smmu_en": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "enable_slave_read_64os": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "m_axib_num_write_scale": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "disable_gt_loc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "use_standard_interfaces": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "dma_2rp": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "disable_user_clock_root": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "flr_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_epyc_chipset_fix": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "usrint_expn": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "shell_bridge": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "msix_pcie_internal": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "warm_reboot_sbr_fix": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tl_tx_mux_strict_priority": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_slot_cap_reg": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "slot_cap_reg": [ { "value": "00000040", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "sim_model": [ { "value": "NO", "resolve_type": "user", "usage": "all" } ], + "versal": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "lane_order": [ { "value": "Bottom", "resolve_type": "user", "usage": "all" } ], + "gt_loc_num": [ { "value": "X99Y99", "resolve_type": "user", "usage": "all" } ], + "example_design_type": [ { "value": "RTL", "resolve_type": "user", "usage": "all" } ], + "enable_error_injection": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "performance_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "descriptor_bypass_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "vdm_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "virtio_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "virtio_perf_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "bridge_burst": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "insert_cips": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "en_bridge_slv": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_clock_delay_grp": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "replace_uram_with_bram": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "errc_dec_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "all_speeds_all_sides": [ { "value": "NO", "resolve_type": "user", "usage": "all" } ], + "pf0_pm_cap_pmesupport_d0": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_pm_cap_pmesupport_d1": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_pm_cap_pmesupport_d3hot": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_pm_cap_supp_d1_state": [ { "value": "false", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "COMPONENT_NAME": [ { "value": "xdma_0", "resolve_type": "generated", "usage": "all" } ], + "PL_UPSTREAM_FACING": [ { "value": "true", "resolve_type": "generated", "usage": "all" } ], + "TL_LEGACY_MODE_ENABLE": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "PCIE_BLK_LOCN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PL_LINK_CAP_MAX_LINK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PL_LINK_CAP_MAX_LINK_SPEED": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "REF_CLK_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DRP_CLK_SEL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FREE_RUN_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXI_ADDR_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXI_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CORE_CLK_FREQ": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PLL_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "USER_CLK_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "SILICON_REV": [ { "value": "Pre-Production", "resolve_type": "generated", "usage": "all" } ], + "PIPE_SIM": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "VDM_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EXT_CH_GT_DRP": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "PCIE3_DRP": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "DEDICATE_PERST": [ { "value": "true", "resolve_type": "generated", "usage": "all" } ], + "SYS_RESET_POLARITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MCAP_ENABLEMENT": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ], + "EXT_STARTUP_PRIMITIVE": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "PF0_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_DEVICE_ID": [ { "value": "0x7021", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SUBSYSTEM_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_CLASS_CODE": [ { "value": "0x070001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_DEVICE_ID": [ { "value": "0x1041", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SUBSYSTEM_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_CLASS_CODE": [ { "value": "0x070001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_DEVICE_ID": [ { "value": "0x1040", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_DEVICE_ID": [ { "value": "0x1039", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXILITE_MASTER_APERTURE_SIZE": [ { "value": "0x0D", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXILITE_MASTER_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "XDMA_APERTURE_SIZE": [ { "value": "0x09", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "XDMA_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXIST_BYPASS_APERTURE_SIZE": [ { "value": "0x0D", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXIST_BYPASS_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_INTERRUPT_PIN": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_MSI_CAP_MULTIMSGCAP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_COMP_TIMEOUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TIMEOUT0_SEL": [ { "value": "0xE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_TIMEOUT1_SEL": [ { "value": "0xF", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_TIMEOUT_MULT": [ { "value": "0x3", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_OLD_BRIDGE_TIMEOUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "SHARED_LOGIC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "SHARED_LOGIC_CLK": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC_BOTH": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC_GTC": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC_GTC_7XG2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC_CLK_7XG2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC_BOTH_7XG2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "EN_TRANSCEIVER_STATUS_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "IS_BOARD_PROJECT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "EN_GT_SELECTION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SELECT_QUAD": [ { "value": "GTH_Quad_128", "resolve_type": "generated", "usage": "all" } ], + "ULTRASCALE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ULTRASCALE_PLUS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "VERSAL": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "V7_GEN3": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MSI_ENABLED": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "DEV_PORT_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_AXI_INTF_MM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_PCIE_64BIT_EN": [ { "value": "xdma_pcie_64bit_en", "resolve_type": "generated", "usage": "all" } ], + "XDMA_AXILITE_MASTER": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_AXIST_BYPASS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_RNUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_WNUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_AXILITE_SLAVE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_NUM_USR_IRQ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_RNUM_RIDS": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_WNUM_RIDS": [ { "value": "16", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "EGW_IS_PARENT_IP": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXIBAR_NUM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "XDMA_NUM_PCIE_TAG": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "EN_AXI_MASTER_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_0": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_1": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_2": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_3": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_4": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_5": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_6": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_7": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_0": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_1": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_2": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_3": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_4": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_5": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_6": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_7": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_DSC_BYPASS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_METERING_ON": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RX_DETECT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ATS_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_ATS_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PR_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PRI_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DSC_BYPASS_RD": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DSC_BYPASS_WR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_STS_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MSIX_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "WR_CH0_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "WR_CH1_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "WR_CH2_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "WR_CH3_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RD_CH0_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RD_CH1_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RD_CH2_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RD_CH3_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CFG_MGMT_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "RQ_SEQ_NUM_IGNORE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CFG_EXT_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "LEGACY_CFG_EXT_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_PARITY_CHECK": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PARITY_GEN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PARITY_PROP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ECC_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "EN_DEBUG_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "VU9P_BOARD": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_JTAG_DBG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_LTSSM_DBG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_IBERT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MM_SLAVE_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DMA_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXIBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "EN_AXI_SLAVE_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "C_INCLUDE_BAROFFSET_REG": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_BASEADDR": [ { "value": "0x00001000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_HIGHADDR": [ { "value": "0x00001FFF", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_NUM_READ": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_NUM_READ": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_NUM_READQ": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_NUM_WRITE": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_NUM_WRITE": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_NUM_WRITE_SCALE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MSIX_IMPL_EXT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXI_ACLK_LOOPBACK": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_BAR0_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR0_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR1_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR2_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR3_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR4_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR5_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_EXPANSION_ROM_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_EXPANSION_ROM_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PCIEBAR_NUM": [ { "value": "6", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_6": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "BARLITE2": [ { "value": "7", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VCU118_BOARD": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_ERROR_INJECTION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SPLIT_DMA": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "USE_STANDARD_INTERFACES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DMA_2RP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SRIOV_ACTIVE_VFS": [ { "value": "252", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PIPE_LINE_STAGE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXIS_PIPE_LINE_STAGE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MULT_PF_DES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF_SWAP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_MSIX_TAR_ID": [ { "value": "0x08", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_MSIX_TAR_ID": [ { "value": "0x09", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "RUNBIT_FIX": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "USRINT_EXPN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "xlnx_ref_board": [ { "value": "None", "resolve_type": "generated", "usage": "all" } ], + "GTWIZ_IN_CORE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "GTCOM_IN_CORE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "INS_LOSS_PROFILE": [ { "value": "Add-in_Card", "resolve_type": "generated", "usage": "all" } ], + "FUNC_MODE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF1_ENABLED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DMA_RESET_SOURCE_SEL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF1_BAR0_APERTURE_SIZE": [ { "value": "0x12", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR0_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR1_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR1_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR2_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR2_CONTROL": [ { "value": "0x6", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR3_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR4_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR4_CONTROL": [ { "value": "0x6", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR5_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_EXPANSION_ROM_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_EXPANSION_ROM_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_6": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_MSIX_INT_TABLE_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VU9P_TUL_EX": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PCIE_BLK_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CCIX_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CCIX_DVSEC": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EXT_SYS_CLK_BUFG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_NUM_OF_SC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "USR_IRQ_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXI_VIP_IN_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PIPE_DEBUG_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_NON_INCREMENTAL_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_ST_INFINITE_DESC_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EXT_XVC_VSEC_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ACS_EXT_CAP_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_PCIE_DEBUG_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MULTQ_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DMA_MM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DMA_ST": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PCIE_PFS_SUPPORTED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SRIOV_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "BARLITE_EXT_PF0": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_EXT_PF1": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_EXT_PF2": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_EXT_PF3": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_INT_PF0": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_INT_PF1": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_INT_PF2": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_INT_PF3": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "NUM_VFS_PF0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "NUM_VFS_PF1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "NUM_VFS_PF2": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "NUM_VFS_PF3": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FIRSTVF_OFFSET_PF0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FIRSTVF_OFFSET_PF1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FIRSTVF_OFFSET_PF2": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FIRSTVF_OFFSET_PF3": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VF_BARLITE_EXT_PF0": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_EXT_PF1": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_EXT_PF2": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_EXT_PF3": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_INT_PF0": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_INT_PF1": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_INT_PF2": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_INT_PF3": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_C2H_NUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_H2C_NUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "H2C_XDMA_CHNL": [ { "value": "0x0F", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C2H_XDMA_CHNL": [ { "value": "0x0F", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXISTEN_IF_ENABLE_MSG_ROUTE": [ { "value": "0x00000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "ENABLE_MORE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DISABLE_BRAM_PIPELINE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DISABLE_EQ_SYNCHRONIZER": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_ENABLE_RESOURCE_REDUCTION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "GEN4_EIEOS_0S7": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "C_S_AXI_SUPPORTS_NARROW_BURST": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "ENABLE_ATS_SWITCH": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_ATS_SWITCH_UNIQUE_BDF": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "BRIDGE_BURST": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CFG_SPACE_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_LAST_CORE_CAP_ADDR": [ { "value": "0x100", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_VSEC_CAP_ADDR": [ { "value": "0x128", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "SOFT_RESET_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "INTERRUPT_OUT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MSI_RX_PIN_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MSIX_RX_PIN_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_INTX_RX_PIN_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MSIX_RX_DECODE_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PCIE_ID_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "TL_PF_ENABLE_REG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXSIZE_BYTE_ACCESS_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SPLIT_DMA_SINGLE_PF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RBAR_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_SMMU_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_AWUSER_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ARUSER_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SLAVE_READ_64OS_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FLR_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SHELL_BRIDGE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MSIX_PCIE_INTERNAL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VERSAL_PART_TYPE": [ { "value": "S80", "resolve_type": "generated", "usage": "all" } ], + "TANDEM_RFSOC": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ERRC_DEC_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "20" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xdma_1_0" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "sys_clk": [ { "direction": "in" } ], + "sys_rst_n": [ { "direction": "in", "driver_value": "1" } ], + "user_lnk_up": [ { "direction": "out" } ], + "pci_exp_txp": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "pci_exp_txn": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "pci_exp_rxp": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "pci_exp_rxn": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "axi_aclk": [ { "direction": "out" } ], + "axi_aresetn": [ { "direction": "out" } ], + "usr_irq_req": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "usr_irq_ack": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "msi_enable": [ { "direction": "out" } ], + "msi_vector_width": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_bid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_rid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "m_axi_rlast": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_awid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awlock": [ { "direction": "out" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_arid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arlock": [ { "direction": "out" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_rready": [ { "direction": "out" } ], + "cfg_mgmt_addr": [ { "direction": "in", "size_left": "18", "size_right": "0", "driver_value": "0" } ], + "cfg_mgmt_write": [ { "direction": "in", "driver_value": "0" } ], + "cfg_mgmt_write_data": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "cfg_mgmt_byte_enable": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "cfg_mgmt_read": [ { "direction": "in", "driver_value": "0" } ], + "cfg_mgmt_read_data": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "cfg_mgmt_read_write_done": [ { "direction": "out" } ], + "cfg_mgmt_type1_cfg_reg_access": [ { "direction": "in", "driver_value": "0" } ] + }, + "interfaces": { + "CLK.SYS_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_util_ds_buf_0_0_IBUF_OUT", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "sys_clk" } ] + } + }, + "CLK.axi_aclk": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "ASSOCIATED_BUSIF": [ { "value": "M_AXI:S_AXI_B:M_AXI_LITE:S_AXI_LITE:M_AXI_BYPASS:M_AXI_B:S_AXIS_C2H_0:S_AXIS_C2H_1:S_AXIS_C2H_2:S_AXIS_C2H_3:M_AXIS_H2C_0:M_AXIS_H2C_1:M_AXIS_H2C_2:M_AXIS_H2C_3:sc0_ats_m_axis_cq:sc0_ats_m_axis_rc:sc0_ats_s_axis_cc:sc0_ats_s_axis_rq:sc1_ats_m_axis_cq:sc1_ats_m_axis_rc:sc1_ats_s_axis_cc:sc1_ats_s_axis_rq:cxs_tx:cxs_rx", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "ASSOCIATED_RESET": [ { "value": "axi_aresetn", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "axi_aclk" } ] + } + }, + "RST.axi_aresetn": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "axi_aresetn" } ] + } + }, + "RST.sys_rst_n": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "BOARD.ASSOCIATED_PARAM": [ { "value": "SYS_RST_N_BOARD_INTERFACE", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "TYPE": [ { "value": "PCIE_PERST", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "sys_rst_n" } ] + } + }, + "RST.user_reset": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "address_space_ref": "M_AXI", + "parameters": { + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "format": "long", "usage": "all" } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "format": "long", "usage": "all" } ], + "HAS_BURST.VALUE_SRC": [ { "value": "CONSTANT", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "64", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache" } ], + "ARID": [ { "physical_name": "m_axi_arid" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache" } ], + "AWID": [ { "physical_name": "m_axi_awid" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "BID": [ { "physical_name": "m_axi_bid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RID": [ { "physical_name": "m_axi_rid" } ], + "RLAST": [ { "physical_name": "m_axi_rlast" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WLAST": [ { "physical_name": "m_axi_wlast" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ] + } + }, + "pcie_cfg_mgmt": { + "vlnv": "xilinx.com:interface:pcie_cfg_mgmt:1.0", + "abstraction_type": "xilinx.com:interface:pcie_cfg_mgmt_rtl:1.0", + "mode": "slave", + "port_maps": { + "ADDR": [ { "physical_name": "cfg_mgmt_addr" } ], + "BYTE_EN": [ { "physical_name": "cfg_mgmt_byte_enable" } ], + "READ_DATA": [ { "physical_name": "cfg_mgmt_read_data" } ], + "READ_EN": [ { "physical_name": "cfg_mgmt_read" } ], + "READ_WRITE_DONE": [ { "physical_name": "cfg_mgmt_read_write_done" } ], + "TYPE1_CFG_REG_ACCESS": [ { "physical_name": "cfg_mgmt_type1_cfg_reg_access" } ], + "WRITE_DATA": [ { "physical_name": "cfg_mgmt_write_data" } ], + "WRITE_EN": [ { "physical_name": "cfg_mgmt_write" } ] + } + }, + "pcie_mgt": { + "vlnv": "xilinx.com:interface:pcie_7x_mgt:1.0", + "abstraction_type": "xilinx.com:interface:pcie_7x_mgt_rtl:1.0", + "mode": "master", + "parameters": { + "BOARD.ASSOCIATED_PARAM": [ { "value": "PCIE_BOARD_INTERFACE", "value_src": "constant", "value_permission": "bd", "usage": "all" } ] + }, + "port_maps": { + "rxn": [ { "physical_name": "pci_exp_rxn" } ], + "rxp": [ { "physical_name": "pci_exp_rxp" } ], + "txn": [ { "physical_name": "pci_exp_txn" } ], + "txp": [ { "physical_name": "pci_exp_txp" } ] + } + } + }, + "address_spaces": { + "M_AXI": { + "range": "16777216T", + "width": "64" + }, + "M_AXI_LITE": { + "range": "4G", + "width": "32" + }, + "M_AXI_BYPASS": { + "range": "16777216T", + "width": "64" + }, + "M_AXI_B": { + "range": "16777216T", + "width": "64" + } + }, + "memory_maps": { + "S_AXI_LITE": { + "address_blocks": { + "CTL0": { + "base_address": "0", + "range": "65536", + "usage": "memory", + "access": "read-write", + "parameters": { + "OFFSET_BASE_PARAM": [ { "value": "baseaddr" } ], + "OFFSET_HIGH_PARAM": [ { "value": "highaddr" } ] + } + } + } + }, + "S_AXI_B": { + "address_blocks": { + "BAR0": { + "base_address": "0", + "range": "1048576", + "usage": "memory", + "access": "read-write", + "parameters": { + "OFFSET_BASE_PARAM": [ { "value": "axibar_0" } ], + "OFFSET_HIGH_PARAM": [ { "value": "axibar_highaddr_0" } ] + } + } + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci new file mode 100644 index 0000000..eac8627 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci @@ -0,0 +1,51 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_xlconstant_0_0", + "cell_name": "xlconstant_0", + "component_reference": "xilinx.com:ip:xlconstant:1.1", + "ip_revision": "7", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_0_0", + "parameters": { + "component_parameters": { + "Component_Name": [ { "value": "Top_xlconstant_0_0", "resolve_type": "user", "usage": "all" } ], + "CONST_WIDTH": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CONST_VAL": [ { "value": "1", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "CONST_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CONST_VAL": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "7" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_0_0" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "dout": [ { "direction": "out", "size_left": "0", "size_right": "0" } ] + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci new file mode 100644 index 0000000..aa4314b --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci @@ -0,0 +1,51 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_xlconstant_2_0", + "cell_name": "xlconstant_2", + "component_reference": "xilinx.com:ip:xlconstant:1.1", + "ip_revision": "7", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_2_0", + "parameters": { + "component_parameters": { + "Component_Name": [ { "value": "Top_xlconstant_2_0", "resolve_type": "user", "usage": "all" } ], + "CONST_WIDTH": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CONST_VAL": [ { "value": "1", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "CONST_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CONST_VAL": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "7" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_2_0" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "dout": [ { "direction": "out", "size_left": "0", "size_right": "0" } ] + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/test.tcl b/nitefury_pcie_xdma_ddr/project/test.tcl new file mode 100644 index 0000000..0102b0d --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/test.tcl @@ -0,0 +1,90 @@ + + +# Project configuration ------------------------------------------------------- + +create_project -force xdma480t +set_property SOURCE_MGMT_MODE None [current_project] +set_property STEPS.SYNTH_DESIGN.ARGS.ASSERT true [get_runs synth_1] +set_property PART xc7k480tffg1156-2L [current_project] + +# Files inclusion + +# import_ip ../sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci +# import_ip ../sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci +# import_ip ../sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci +# import_ip ../sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci +# import_ip ../sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci +# import_ip ../sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci +# import_ip ../sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci +# import_ip ../sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci +# import_ip ../sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci +# import_ip ../sources/ip/Top_auto_us_0/Top_auto_us_0.xci + +import_ip ../sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci +import_ip ../sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci +import_ip ../sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci +import_ip ../sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci +import_ip ../sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci +import_ip ../sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci +import_ip ../sources/ip/Top_xbar_0/Top_xbar_0.xci +import_ip ../sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci +import_ip ../sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci +import_ip ../sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci +import_ip ../sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci + + +# generate_target all [get_files ../sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci] +# generate_target all [get_files ../sources/Top.bd] + + +add_file ../sources/Top_wrapper.v +add_file ../sources/Top.bd + + +# Constraints inclusion + +add_file -fileset constrs_1 ../normal.xdc +# set_property TARGET_CONSTRS_FILE /home/colin/develop/abstractaccelerator/fpga/xc7z010/timing.xdc [current_fileset -constrset] + +# Top-level specification +set_property TOP Top_wrapper [current_fileset] + +# Verilog Includes +# set_property INCLUDE_DIRS { /home/colin/develop/abstractaccelerator/fpga/xc7z010 } [current_fileset] + +# Verilog Parameters / VHDL Generics +set_property GENERIC { FREQ=100000000 SECS=1 } -objects [get_filesets sources_1] + + +close_project + +# Design flow ----------------------------------------------------------------- + +open_project xdma480t + +# Synthesis + +# PRESYNTH +# set_property DESIGN_MODE GateLvl [current_fileset] +reset_run synth_1 +launch_runs synth_1 +wait_on_run synth_1 +#report_property [get_runs synth_1] +if { [get_property STATUS [get_runs synth_1]] ne "synth_design Complete!" } { exit 1 } + +# Place and Route + +reset_run impl_1 +launch_runs impl_1 +wait_on_run impl_1 +#report_property [get_runs impl_1] +if { [get_property STATUS [get_runs impl_1]] ne "route_design Complete!" } { exit 1 } + +# Bitstream generation + +open_run impl_1 +write_bitstream -force xdma480t +write_debug_probes -force -quiet xdma480t.ltx + +close_project +